WorldWideScience

Sample records for remote pecvd silicon

  1. Remote PECVD silicon nitride films with improved electrical properties for GaAs P-HEMT passivation

    CERN Document Server

    Sohn, M K; Kim, K H; Yang, S G; Seo, K S

    1998-01-01

    In order to obtain thin silicon nitride films with excellent electrical and mechanical properties, we employed RPECVD (Remote Plasma Enhanced Chemical Vapor Deposition) process which produces less plasma-induced damage than the conventional PECVD. Through the optical and electrical measurements of the deposited films, we optimized the various RPECVD process parameters. The optimized silicon nitride films showed excellent characteristics such as small etch rate (approx 33 A/min by 7:1 BHF), high breakdown field (>9 MV/cm), and low compressive stress (approx 3.3x10 sup 9 dyne/cm sup 2). We successfully applied thin RPECVD silicon nitride films to the surface passivation of GaAs pseudomorphic high electron mobility transistors (P-HEMTs) with negligible degradations in DC and RF characteristics.

  2. Laser annealed HWCVD and PECVD thin silicon films. Electron field emission

    International Nuclear Information System (INIS)

    O'Neill, K.A.; Shaikh, M.Z.; Lyttle, G.; Anthony, S.; Fan, Y.C.; Persheyev, S.K.; Rose, M.J.

    2006-01-01

    Electron Field Emission (FE) properties of various laser annealed thin silicon films on different substrates were investigated. HWCVD microcrystalline and PECVD amorphous silicon films were irradiated with Nd : YAG and XeCl Excimer lasers at varying energy densities. Encouraging FE results were mainly from XeCl Excimer laser processed PECVD and HWCVD films on metal backplanes. FE measurements were complemented by the study of film surface morphology. Geometric field enhancement factors from surface measurements and Fowler-Nordheim Theory (FNT) were compared. FE properties of the films were also found to be particularly influenced by the backplane material

  3. Optical and electronic properties of HWCVD and PECVD silicon films irradiated using excimer and Nd:Yag lasers

    International Nuclear Information System (INIS)

    Shaikh, M.Z.; O'Neill, K.A.; Anthony, S.; Persheyev, S.K.; Rose, M.J.

    2006-01-01

    Thin silicon film samples were deposited using HWCVD and PECVD techniques to study the influence of laser annealing on their optical and electronic properties. Samples were annealed in air using a XeCl excimer and Nd:Yag lasers. Excimer laser annealing (ELA) at 50 to 222 mJ/cm 2 increased conductivity in PECVD films by 2 to 3 orders of magnitude and in HWCVD films by 1 to 2 orders of magnitude. ELA was also seen to decrease the optical gap in PECVD films by 0.5 eV and HWCVD films by 0.15 eV. Silicon-oxygen bond content was higher in as-deposited HWCVD films than PECVD films. Hydrogen content (at.%) in PECVD films was higher than HWCVD for higher H dilution ratios. A Nd:Yag laser 3-beam interference pattern was used to produce a periodic array of crystals in both PECVD and HWCVD films

  4. Effect of post-deposition implantation and annealing on the properties of PECVD deposited silicon nitride films

    International Nuclear Information System (INIS)

    Shams, Q.A.

    1988-01-01

    Recently it has been shown that memory-quality silicon nitride can be deposited using plasma enhanced chemical vapor deposition (PECVD). Nitrogen implantation and post-deposition annealing resulted in improved memory properties of MNOS devices. The primary objective of the work described here is the continuation of the above work. Silicon nitride films were deposited using argon as the carrier gas and evaluated in terms of memory performance as the charge-trapping layer in the metal-nitride-oxide-silicon (MNOS) capacitor structure. The bonding structure of PECVD silicon nitride was modified by annealing in different ambients at temperatures higher than the deposition temperature. Post-deposition ion implantation was used to introduce argon into the films in an attempt to influence the transfer, trapping, and emission of charge during write/erase exercising of the MNOS devices. Results show that the memory performance of PECVD silicon nitride is sensitive to the deposition parameters and post-deposition processing

  5. PECVD silicon carbide surface micromachining technology and selected MEMS applications

    NARCIS (Netherlands)

    Rajaraman, V.; Pakula, L.S.; Yang, H.; French, P.J.; Sarro, P.M.

    2011-01-01

    Attractive material properties of plasma enhanced chemical vapour deposited (PECVD) silicon carbide (SiC) when combined with CMOS-compatible low thermal budget processing provides an ideal technology platform for developing various microelectromechanical systems (MEMS) devices and merging them with

  6. On the intrinsic moisture permeation rate of remote microwave plasma-deposited silicon nitride layers

    NARCIS (Netherlands)

    van Assche, F. J. H.; Unnikrishnan, S.; Michels, J. J.; van Mol, A. M. B.; van de Weijer, P.; M. C. M. van de Sanden,; Creatore, M.

    2014-01-01

    We report on a low substrate temperature (110 °C) remote microwave plasma-enhanced chemical vapor deposition (PECVD) process of silicon nitride barrier layers against moisture permeation for organic light emitting diodes (OLEDs) and other moisture sensitive devices such as organic

  7. PECVD deposition of device-quality intrinsic amorphous silicon at high growth rate

    Energy Technology Data Exchange (ETDEWEB)

    Carabe, J [Inst. de Energias Renovables, CIEMAT, Madrid (Spain); Gandia, J J [Inst. de Energias Renovables, CIEMAT, Madrid (Spain); Gutierrez, M T [Inst. de Energias Renovables, CIEMAT, Madrid (Spain)

    1993-11-01

    The combined influence of RF-power density (RFP) and silane flow-rate ([Phi]) on the deposition rate of plasma-enhanced chemical vapour deposition (PECVD) intrinsic amorphous silicon has been investigated. The correlation of the results obtained from the characterisation of the material with the silane deposition efficiency, as deduced from mass spectrometry, has led to an interpretation allowing to deposit intrinsic amorphous-silicon films having an optical gap of 1.87 eV and a photoconductive ratio (ratio of ambient-temperature conductivities under 1 sun AM1 and in dark) of 6 orders of magnitude at growth rates up to 10 A/s, without any structural modification of the PECVD system used. Such results are considered of high relevance regarding industrial competitiveness. (orig.)

  8. Plasma monitoring and PECVD process control in thin film silicon-based solar cell manufacturing

    Directory of Open Access Journals (Sweden)

    Gabriel Onno

    2014-02-01

    Full Text Available A key process in thin film silicon-based solar cell manufacturing is plasma enhanced chemical vapor deposition (PECVD of the active layers. The deposition process can be monitored in situ by plasma diagnostics. Three types of complementary diagnostics, namely optical emission spectroscopy, mass spectrometry and non-linear extended electron dynamics are applied to an industrial-type PECVD reactor. We investigated the influence of substrate and chamber wall temperature and chamber history on the PECVD process. The impact of chamber wall conditioning on the solar cell performance is demonstrated.

  9. Highly ordered amorphous silicon-carbon alloys obtained by RF PECVD

    CERN Document Server

    Pereyra, I; Carreno, M N P; Prado, R J; Fantini, M C A

    2000-01-01

    We have shown that close to stoichiometry RF PECVD amorphous silicon carbon alloys deposited under silane starving plasma conditions exhibit a tendency towards c-Si C chemical order. Motivated by this trend, we further explore the effect of increasing RF power and H sub 2 dilution of the gaseous mixtures, aiming to obtain the amorphous counterpart of c-Si C by the RF-PECVD technique. Doping experiments were also performed on ordered material using phosphorus and nitrogen as donor impurities and boron and aluminum as acceptor ones. For nitrogen a doping efficiency close to device quality a-Si:H was obtained, the lower activation energy being 0,12 eV with room temperature dark conductivity of 2.10 sup - sup 3 (OMEGA.cm). Nitrogen doping efficiency was higher than phosphorous for all studied samples. For p-type doping, results indicate that, even though the attained conductivity values are not device levels, aluminum doping conducted to a promising shift in the Fermi level. Also, aluminum resulted a more efficie...

  10. Quantitative Auger depth profiling of LPCVD and PECVD silicon nitride films

    International Nuclear Information System (INIS)

    Keim, E.G.; Aite, K.

    1989-01-01

    Thin silicon nitride films (100-210 nm) with refractive indices varying from 1.90 to 2.10 were deposited on silicon substrates by low pressure chemical vapour deposition (LPCVD) and plasma enhanced chemical vapour deposition (PECVD). Rutherford backscattering spectrometry (RBS), ellipsometry, surface profiling measurements and Auger electron spectroscopy (AES) in combination with Ar + sputtering were used to characterize these films. We have found that the use of (p-p)heights of the Si LVV and N KLL Auger transitions in the first derivative of the energy distribution (dN(E)/dE) leads to an accurate determination of the silicon nitride composition in Auger depth profiles over a wide range of atomic Si/N ratios. Moreover, we have shown that the Si KLL Auger transition, generally considered to be a better probe than the low energy Si LVV Auger transition in determining the chemical composition of silicon nitride layers, leads to deviating results. (orig.)

  11. Annealing temperature dependence of photoluminescent characteristics of silicon nanocrystals embedded in silicon-rich silicon nitride films grown by PECVD

    International Nuclear Information System (INIS)

    Chao, D.S.; Liang, J.H.

    2013-01-01

    Recently, light emission from silicon nanostructures has gained great interest due to its promising potential of realizing silicon-based optoelectronic applications. In this study, luminescent silicon nanocrystals (Si–NCs) were in situ synthesized in silicon-rich silicon nitride (SRSN) films grown by plasma-enhanced chemical vapor deposition (PECVD). SRSN films with various excess silicon contents were deposited by adjusting SiH 4 flow rate to 100 and 200 sccm and keeping NH 3 one at 40 sccm, and followed by furnace annealing (FA) treatments at 600, 850 and 1100 °C for 1 h. The effects of excess silicon content and post-annealing temperature on optical properties of Si–NCs were investigated by photoluminescence (PL) and Fourier transform infrared spectroscopy (FTIR). The origins of two groups of PL peaks found in this study can be attributed to defect-related interface states and quantum confinement effects (QCE). Defect-related interface states lead to the photon energy levels almost kept constant at about 3.4 eV, while QCE results in visible and tunable PL emission in the spectral range of yellow and blue light which depends on excess silicon content and post-annealing temperature. In addition, PL intensity was also demonstrated to be highly correlative to the excess silicon content and post-annealing temperature due to its corresponding effects on size, density, crystallinity, and surface passivation of Si–NCs. Considering the trade-off between surface passivation and structural properties of Si–NCs, an optimal post-annealing temperature of 600 °C was suggested to maximize the PL intensity of the SRSN films

  12. Ion beam analysis of PECVD silicon oxide thin films

    International Nuclear Information System (INIS)

    Fernandez-Lima, F.; Rodriguez, J.A.; Pedrero, E.; Fonseca Filho, H.D.; Llovera, A.; Riera, M.; Dominguez, C.; Behar, M.; Zawislak, F.C.

    2006-01-01

    A study of ion beam analysis techniques of plasma enhanced chemical vapor deposited (PECVD) silicon oxide thin films (1 μm thick) obtained from silane (SiH 4 ) and nitrous oxide (N 2 O) is reported. The film, elemental composition and surface morphology were determined as function of the reactant gas flow ratio, R = [N 2 O]/[SiH 4 ] in the 22-110 range using the Rutherford backscattering spectrometry, nuclear reaction analysis and atomic force microscopy techniques. The density of the films was determined by combining the RBS and thickness measurements. All the experiments were done at a deposition temperature of 300 deg. C. In all the cases almost stoichiometric oxides were obtained being the impurity content function of R. It was also observed that physical properties such as density, surface roughness and shape factor increase with R in the studied interval

  13. Photonic crystal waveguides in PECVD glass

    DEFF Research Database (Denmark)

    Liu, Haoling; Frandsen, Lars Hagedorn; Têtu, Amélie

    Silicon oxynitride (SiON) on silicon has found wide use as a robust and versatileplatform for integrated, optical devices. With plasma-enhanced chemical vapourdeposition (PECVD) the refractive index can be varied all the way from 1.5 (pure silica,SiO2) to 2.0 (pure silicon nitride, Si3N4). We have...... fabricated glasses with refractive indexup to approximately 1.75, with which value it is possible to fabricate photonic crystalwaveguides. These structures have the advantage of being transparent in the whole of thevisible region, which makes them different from photonic crystals made...

  14. Improved PECVD Si x N y film as a mask layer for deep wet etching of the silicon

    Science.gov (United States)

    Han, Jianqiang; Yin, Yi Jun; Han, Dong; Dong, LiZhen

    2017-09-01

    Although plasma enhanced chemical vapor deposition (PECVD) silicon nitride (Si x N y ) films have been extensively investigated by many researchers, requirements of film properties vary from device to device. For some applications utilizing Si x N y film as the mask Layer for deep wet etching of the silicon, it is very desirable to obtain a high quality film. In this study, Si x N y films were deposited on silicon substrates by PECVD technique from the mixtures of NH3 and 5% SiH4 diluted in Ar. The deposition temperature and RF power were fixed at 400 °C and 20 W, respectively. By adjusting the SiH4/NH3 flow ratio, Si x N y films of different compositions were deposited on silicon wafers. The stoichiometry, residual stress, etch rate in 1:50 HF, BHF solution and 40% KOH solution of deposited Si x N y films were measured. The experimental results show that the optimum SiH4/NH3 flow ratio at which deposited Si x N y films can perfectly protect the polysilicon resistors on the front side of wafers during KOH etching is between 1.63 and 2.24 under the given temperature and RF power. Polysilicon resistors protected by the Si x N y films can withstand 6 h 40% KOH double-side etching at 80 °C. At the range of SiH4/NH3 flow ratios, the Si/N atom ratio of films ranges from 0.645 to 0.702, which slightly deviate the ideal stoichiometric ratio of LPCVD Si3N4 film. In addition, the silicon nitride films with the best protection effect are not the films of minimum etch rate in KOH solution.

  15. Thick and low-stress PECVD amorphous silicon for MEMS applications

    International Nuclear Information System (INIS)

    Iliescu, Ciprian; Chen Bangtao

    2008-01-01

    This paper presents a solution for the deposition of thick amorphous silicon (α-Si:H) in PECVD reactors for MEMS applications, such as sacrificial layer or mask layer for dry or wet etching of glass. This achievement was possible by tuning the deposition parameters to a 'zero' value of the residual stress in the α-Si:H layer. The influence of the process parameters, such as power, frequency mode, temperature, pressure and SiH 4 /Ar flow rates for tuning the residual stress and for a good deposition rate is analyzed. The deposition of low-stress and thick (more than 12 µm in our case) α-Si:H layers was possible without generation of hillock defects (previously reported in literature for layers thicker then 2 µm). Finally, the paper presents some MEMS applications of such a deposited α-Si:H layer: masking layer for deep wet etching as well as dry etching of glass, and sacrificial layer for dry or wet release

  16. Surface wet-ability modification of thin PECVD silicon nitride layers by 40 keV argon ion treatments

    Science.gov (United States)

    Caridi, F.; Picciotto, A.; Vanzetti, L.; Iacob, E.; Scolaro, C.

    2015-10-01

    Measurements of wet-ability of liquid drops have been performed on a 30 nm silicon nitride (Si3N4) film deposited by a PECVD reactor on a silicon wafer and implanted by 40 keV argon ions at different doses. Surface treatments by using Ar ion beams have been employed to modify the wet-ability. The chemical composition of the first Si3N4 monolayer was investigated by means of X-ray Photoelectron Spectroscopy (XPS). The surface morphology was tested by Atomic Force Microscopy (AFM). Results put in evidence the best implantation conditions for silicon nitride to increase or to reduce the wet-ability of the biological liquid. This permits to improve the biocompatibility and functionality of Si3N4. In particular experimental results show that argon ion bombardment increases the contact angle, enhances the oxygen content and increases the surface roughness.

  17. Doping efficiency analysis of highly phosphorous doped epitaxial/amorphous silicon emitters grown by PECVD for high efficiency silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    El-Gohary, H.G.; Sivoththaman, S. [Waterloo Univ., ON (Canada). Dept. of Electrical and Computer Engineering

    2008-08-15

    The efficient doping of hydrogenated amorphous and crystalline silicon thin films is a key factor in the fabrication of silicon solar cells. The most popular method for developing those films is plasma enhanced chemical vapor deposition (PECVD) because it minimizes defect density and improves doping efficiency. This paper discussed the preparation of different structure phosphorous doped silicon emitters ranging from epitaxial to amorphous films at low temperature. Phosphine (PH{sub 3}) was employed as the doping gas source with the same gas concentration for both epitaxial and amorphous silicon emitters. The paper presented an analysis of dopant activation by applying a very short rapid thermal annealing process (RTP). A spreading resistance profile (SRP) and SIMS analysis were used to detect both the active dopant and the dopant concentrations, respectively. The paper also provided the results of a structural analysis for both bulk and cross-section at the interface using high-resolution transmission electron microscopy and Raman spectroscopy, for epitaxial and amorphous films. It was concluded that a unity doping efficiency could be achieved in epitaxial layers by applying an optimized temperature profile using short time processing rapid thermal processing technique. The high quality, one step epitaxial layers, led to both high conductive and high doping efficiency layers.

  18. PECVD-ONO: A New Deposited Firing Stable Rear Surface Passivation Layer System for Crystalline Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    M. Hofmann

    2008-01-01

    Full Text Available A novel plasma-enhanced chemical vapour deposited (PECVD stack layer system consisting of a-SiOx:H, a-SiNx:H, and a-SiOx:H is presented for silicon solar cell rear side passivation. Surface recombination velocities below 60 cm/s (after firing and below 30 cm/s (after forming gas anneal were achieved. Solar cell precursors without front and rear metallisation showed implied open-circuit voltages Voc values extracted from quasi-steady-state photoconductance (QSSPC measurements above 680 mV. Fully finished solar cells with up to 20.0% energy conversion efficiency are presented. A fit of the cell's internal quantum efficiency using software tool PC1D and a comparison to a full-area aluminium-back surface field (Al-BSF and thermal SiO2 is shown. PECVD-ONO was found to be clearly superior to Al-BSF. A separation of recombination at the metallised and the passivated area at the solar cell's rear is presented using the equations of Fischer and Kray. Nuclear reaction analysis (NRA has been used to evaluate the hydrogen depth profile of the passivation layer system at different stages.

  19. Dependence of wet etch rate on deposition, annealing conditions and etchants for PECVD silicon nitride film

    International Nuclear Information System (INIS)

    Tang Longjuan; Zhu Yinfang; Yang Jinling; Li Yan; Zhou Wei; Xie Jing; Liu Yunfei; Yang Fuhua

    2009-01-01

    The influence of deposition, annealing conditions, and etchants on the wet etch rate of plasma enhanced chemical vapor deposition (PECVD) silicon nitride thin film is studied. The deposition source gas flow rate and annealing temperature were varied to decrease the etch rate of SiN x :H by HF solution. A low etch rate was achieved by increasing the SiH 4 gas flow rate or annealing temperature, or decreasing the NH 3 and N2 gas flow rate. Concentrated, buffered, and dilute hydrofluoric acid were utilized as etchants for SiO 2 and SiN x :H. A high etching selectivity of SiO 2 over SiN x :H was obtained using highly concentrated buffered HF.

  20. Electrical characterization of MIS devices using PECVD SiN{sub x}:H films for application of silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Yoo, Jin-Su; Cho, Jun-Sik; Park, Joo-Hyung; Ahn, Seung-Kyu; Shin, Kee-Shik; Yoon, Kyung-Hoon [Korea Institute of Energy Research, Daejeon (Korea, Republic of); Yi, Jun-Sin [Sungkyunkwan University, Suwon (Korea, Republic of)

    2012-07-15

    The surface passivation of crystalline silicon solar cells using plasma enhanced chemical vapor deposition (PECVD), hydrogenated, silicon-nitride (SiN{sub x}:H) thin films has become significant due to a low-temperature, low-cost and very effective defect passivation process. Also, a good quality antireflection coating can be formed. In this work, SiN{sub x}:H thin films were deposited by varying the gas ratio R (=NH{sub 3}/SiH{sub 4}+NH{sub 3}) and were annealed by rapid thermal processing (RTP). Metal-insulator- semiconductor (MIS) devices were fabricated using SiN{sub x}:H thin films as insulator layers and they were analyzed in the temperature range of 100 - 400 K by using capacitance-voltage (C-V) and current-voltage (I-V) measurements. The annealed SiN{sub x}:H thin films were evaluated by using the electrical properties at different temperature to determine the effect of surface passivation. We achieved an energy conversion efficiency of 18.1% under one-sun standard testing conditions for large-area (156 mm x 156 mm) crystalline-silicon solar cells.

  1. Low-macroscopic field emission from silicon-incorporated diamond-like carbon film synthesized by dc PECVD

    International Nuclear Information System (INIS)

    Ahmed, Sk.F.; Mitra, M.K.; Chattopadhyay, K.K.

    2007-01-01

    Silicon-incorporated diamond-like carbon (Si-DLC) films were deposited via dc plasma-enhanced chemical vapor deposition (PECVD), on glass and alumina substrates at a substrate temperature 300 deg. C. The precursor gas used was acetylene and for Si incorporation, tetraethyl orthosilicate dissolved in methanol was used. Si atomic percentage in the films was varied from 0% to 19.3% as measured from energy-dispersive X-ray analysis (EDX). The binding energies of C 1s, Si 2s and Si 2p were determined from X-ray photoelectron spectroscopic studies. We have observed low-macroscopic field electron emission from Si-DLC thin films deposited on glass substrates. The emission properties have been studied for a fixed anode-sample separation of 80 μm for different Si atomic percentages in the films. The turn-on field was also found to vary from 16.19 to 3.61 V/μm for a fixed anode-sample separation of 80 μm with a variation of silicon atomic percentage in the films 0% to 19.3%. The turn-on field and approximate work function are calculated and we have tried to explain the emission mechanism there from. It was found that the turn-on field and effective emission barrier were reduced by Si incorporation than undoped DLC

  2. Amorphous silicon/crystalline silicon heterojunctions for nuclear radiation detector applications

    International Nuclear Information System (INIS)

    Walton, J.T.; Hong, W.S.; Luke, P.N.; Wang, N.W.; Ziemba, F.P.

    1996-01-01

    Results on the characterization of the electrical properties of amorphous silicon films for the three different growth methods, RF sputtering, PECVD, and LPCVD are reported. The performance of these a-Si films as heterojunctions on high resistivity p-type and n-type crystalline silicon is examined by measuring the noise, leakage current and the alpha particle response of 5 mm diameter detector structures. It is demonstrated that heterojunction detectors formed by RF sputtered films and PECVD films are comparable in performance with conventional surface barrier detectors. The results indicate that the a-Si/c-Si heterojunctions have the potential to greatly simplify detector fabrication. Directions for future avenues of nuclear particle detector development are indicated

  3. Annealing effects on photoluminescence of SiNx films grown by PECVD

    International Nuclear Information System (INIS)

    Komarov, F.F.; Parkhomenko, I.N.; Vlasukova, L.A.; Milchanin, O.V.; Togambayeva, A.K.; Kovalchuk, N.S.

    2013-01-01

    Si-rich and N-rich silicon nitride films were deposited at low temperature 300 °C by using plasma-enhanced chemical vapor deposition (PECVD). The optical and structural properties of these films have been investigated by ellipsometry, Rutherford backscattering (RBS), transmission electron microscopy (TEM), Raman spectroscopy (RS) and photoluminescence (PL). The formation of silicon clusters in both Si-rich and N-rich silicon nitride films after annealing at 900 °C and 1000 °C for hour in N 2 ambient has been revealed by TEM. Dependency of PL spectra on stoichiometry and post-annealing temperature was analyzed. The contribution of Si and N-related defects in emitting properties of Si-rich and N-rich SiN x has been discussed. (authors)

  4. High-Rate Fabrication of a-Si-Based Thin-Film Solar Cells Using Large-Area VHF PECVD Processes

    Energy Technology Data Exchange (ETDEWEB)

    Deng, Xunming [University of Toledo; Fan, Qi Hua

    2011-12-31

    The University of Toledo (UT), working in concert with it’s a-Si-based PV industry partner Xunlight Corporation (Xunlight), has conducted a comprehensive study to develop a large-area (3ft x 3ft) VHF PECVD system for high rate uniform fabrication of silicon absorber layers, and the large-area VHF PECVD processes to achieve high performance a-Si/a-SiGe or a-Si/nc-Si tandem junction solar cells during the period of July 1, 2008 to Dec. 31, 2011, under DOE Award No. DE-FG36-08GO18073. The project had two primary goals: (i) to develop and improve a large area (3 ft × 3 ft) VHF PECVD system for high rate fabrication of > = 8 Å/s a-Si and >= 20 Å/s nc-Si or 4 Å/s a-SiGe absorber layers with high uniformity in film thicknesses and in material structures. (ii) to develop and optimize the large-area VHF PECVD processes to achieve high-performance a-Si/nc-Si or a-Si/a-SiGe tandem-junction solar cells with >= 10% stable efficiency. Our work has met the goals and is summarized in “Accomplishments versus goals and objectives”.

  5. Influence of residual stress on the adhesion and surface morphology of PECVD-coated polypropylene

    Science.gov (United States)

    Jaritz, Montgomery; Hopmann, Christian; Behm, Henrik; Kirchheim, Dennis; Wilski, Stefan; Grochla, Dario; Banko, Lars; Ludwig, Alfred; Böke, Marc; Winter, Jörg; Bahre, Hendrik; Dahlmann, Rainer

    2017-11-01

    The properties of plasma-enhanced chemical vapour deposition (PECVD) coatings on polymer materials depend to some extent on the surface and material properties of the substrate. Here, isotactic polypropylene (PP) substrates are coated with silicon oxide (SiO x ) films. Plasmas for the deposition of SiO x are energetic and oxidative due to the high amount of oxygen in the gas mixture. Residual stress measurements using single Si cantilever stress sensors showed that these coatings contain high compressive stress. To investigate the influence of the plasma and the coatings, residual stress, silicon organic (SiOCH) coatings with different thicknesses between the PP and the SiO x coating are used as a means to protect the substrate from the oxidative SiO x coating process. Pull-off tests are performed to analyse differences in the adhesion of these coating systems. It could be shown that the adhesion of the PECVD coatings on PP depends on the coatings’ residual stress. In a PP/SiOCH/SiO x -multilayer system the residual stress can be significantly reduced by increasing the thickness of the SiOCH coating, resulting in enhanced adhesion.

  6. Microstructure Related Characterization of a-Si:H Thin Films PECVD Deposited under Varied Hydrogen Dilution

    Directory of Open Access Journals (Sweden)

    Veronika Vavrunkova

    2007-01-01

    Full Text Available We report on the structure and optical properties of hydrogenated silicon thin films deposited by plasma - enhanced chemical vapor deposition (PECVD from silane diluted with hydrogen in a wide dilution range. The samples deposited with dilutions below 30 were detected as amorphous hydrogenated silicon (a-Si:H with crystalline grains of several nanometers in size which represent the medium-range order of a-Si:H. The optical characterization confirmed increasing ordering with the increasing dilution. The optical band gap was observed to be increasing function of the dilution.

  7. Structurally controlled deposition of silicon onto nanowires

    Science.gov (United States)

    Wang, Weijie; Liu, Zuqin; Han, Song; Bornstein, Jonathan; Stefan, Constantin Ionel

    2018-03-20

    Provided herein are nanostructures for lithium ion battery electrodes and methods of fabrication. In some embodiments, a nanostructure template coated with a silicon coating is provided. The silicon coating may include a non-conformal, more porous layer and a conformal, denser layer on the non-conformal, more porous layer. In some embodiments, two different deposition processes, e.g., a PECVD layer to deposit the non-conformal layer and a thermal CVD process to deposit the conformal layer, are used. Anodes including the nanostructures have longer cycle lifetimes than anodes made using either a PECVD or thermal CVD method alone.

  8. Influence of Chemical Composition and Structure in Silicon Dielectric Materials on Passivation of Thin Crystalline Silicon on Glass.

    Science.gov (United States)

    Calnan, Sonya; Gabriel, Onno; Rothert, Inga; Werth, Matteo; Ring, Sven; Stannowski, Bernd; Schlatmann, Rutger

    2015-09-02

    In this study, various silicon dielectric films, namely, a-SiOx:H, a-SiNx:H, and a-SiOxNy:H, grown by plasma enhanced chemical vapor deposition (PECVD) were evaluated for use as interlayers (ILs) between crystalline silicon and glass. Chemical bonding analysis using Fourier transform infrared spectroscopy showed that high values of oxidant gases (CO2 and/or N2), added to SiH4 during PECVD, reduced the Si-H and N-H bond density in the silicon dielectrics. Various three layer stacks combining the silicon dielectric materials were designed to minimize optical losses between silicon and glass in rear side contacted heterojunction pn test cells. The PECVD grown silicon dielectrics retained their functionality despite being subjected to harsh subsequent processing such as crystallization of the silicon at 1414 °C or above. High values of short circuit current density (Jsc; without additional hydrogen passivation) required a high density of Si-H bonds and for the nitrogen containing films, additionally, a high N-H bond density. Concurrently high values of both Jsc and open circuit voltage Voc were only observed when [Si-H] was equal to or exceeded [N-H]. Generally, Voc correlated with a high density of [Si-H] bonds in the silicon dielectric; otherwise, additional hydrogen passivation using an active plasma process was required. The highest Voc ∼ 560 mV, for a silicon acceptor concentration of about 10(16) cm(-3), was observed for stacks where an a-SiOxNy:H film was adjacent to the silicon. Regardless of the cell absorber thickness, field effect passivation of the buried silicon surface by the silicon dielectric was mandatory for efficient collection of carriers generated from short wavelength light (in the vicinity of the glass-Si interface). However, additional hydrogen passivation was obligatory for an increased diffusion length of the photogenerated carriers and thus Jsc in solar cells with thicker absorbers.

  9. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    Science.gov (United States)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  10. Strong white and blue photoluminescence from silicon nanocrystals in SiNx grown by remote PECVD using SiCl4/NH3

    International Nuclear Information System (INIS)

    Benami, A; Santana, G; Ortiz, A; Ponce, A; Romeu, D; Aguilar-Hernandez, J; Contreras-Puente, G; Alonso, J C

    2007-01-01

    Strong white and blue photoluminescence (PL) from as-grown silicon nanocrystals (nc-Si) in SiN x films prepared by remote plasma enhanced chemical vapour deposition using SiCl 4 /NH 3 mixtures is reported. The colour and intensity of the PL could be controlled by adjusting the NH 3 flow rate. Samples with white emission were annealed at 1000 deg. C, obtaining a strong improvement of the PL intensity with a blue colour. The PL can be attributed to quantum confinement effect in nc-Si embedded in SiN x matrix, which is improved when a better passivation of nc-Si surface with chlorine and nitrogen atoms is obtained. The size, density and structure of the nc-Si in the as-grown and annealed films were confirmed and measured by high-resolution transmission electron microscopy

  11. Robust Wafer-Level Thin-Film Encapsulation (Packaging) of Microstructures (MEMS) using Low Stress PECVD Silicon Carbide

    NARCIS (Netherlands)

    Rajaraman, V.; Pakula, L.S.; Pham, H.T.M.; Sarro, P.M.; French, P.J.

    2009-01-01

    This paper presents a new low-cost, CMOS-compatible and robust wafer-level encapsulation technique developed using a stress-optimised PECVD SiC as the capping and sealing material, imparting harsh environment capability. This technique has been applied for the fabrication and encapsulation of a wide

  12. Plasma-enhanced growth, composition, and refractive index of silicon oxy-nitride films

    DEFF Research Database (Denmark)

    Mattsson, Kent Erik

    1995-01-01

    Secondary ion mass spectrometry and refractive index measurements have been carried out on silicon oxy-nitride produced by plasma-enhanced chemical vapor deposition (PECVD). Nitrous oxide and ammonia were added to a constant flow of 2% silane in nitrogen, to produce oxy-nitride films with atomic...... nitrogen concentrations between 2 and 10 at. %. A simple atomic valence model is found to describe both the measured atomic concentrations and published material compositions for silicon oxy-nitride produced by PECVD. A relation between the Si–N bond concentration and the refractive index is found......-product. A model, that combine the chemical net reaction and the stoichiometric rules, is found to agree with measured deposition rates for given material compositions. Effects of annealing in a nitrogen atmosphere has been investigated for the 400 °C– 1100 °C temperature range. It is observed that PECVD oxy...

  13. Silicon integrated circuit process

    International Nuclear Information System (INIS)

    Lee, Jong Duck

    1985-12-01

    This book introduces the process of silicon integrated circuit. It is composed of seven parts, which are oxidation process, diffusion process, ion implantation process such as ion implantation equipment, damage, annealing and influence on manufacture of integrated circuit and device, chemical vapor deposition process like silicon Epitaxy LPCVD and PECVD, photolithography process, including a sensitizer, spin, harden bake, reflection of light and problems related process, infrared light bake, wet-etch, dry etch, special etch and problems of etching, metal process like metal process like metal-silicon connection, aluminum process, credibility of aluminum and test process.

  14. Silicon integrated circuit process

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jong Duck

    1985-12-15

    This book introduces the process of silicon integrated circuit. It is composed of seven parts, which are oxidation process, diffusion process, ion implantation process such as ion implantation equipment, damage, annealing and influence on manufacture of integrated circuit and device, chemical vapor deposition process like silicon Epitaxy LPCVD and PECVD, photolithography process, including a sensitizer, spin, harden bake, reflection of light and problems related process, infrared light bake, wet-etch, dry etch, special etch and problems of etching, metal process like metal process like metal-silicon connection, aluminum process, credibility of aluminum and test process.

  15. Ge nanoclusters in PECVD-deposited glass after heat treating and electron irradiation

    DEFF Research Database (Denmark)

    Ou, Haiyan; Rørdam, Troels Peter; Rottwitt, Karsten

    2007-01-01

    This paper reports the formation of Ge nanoclusters in silica glass thin films deposited by plasma-enhanced chemical vapor deposition (PECVD). We studied the samples by transmission electron microscopy (TEM) and Raman spectroscopy after annealing. TEM investigation shows that the Ge nanoclusters...... at two areaswere formed by different mechanisms. The Ge nanoclusters formed in a single row along the interface of a silicon substrate and the silica glass film by annealing during high-temperature heat treatment. Ge nanoclusters did not initially form in the bulk of the film but could be subsequently...... formed by the electron-beam irradiation. The interface between the silicon substrate and the silica glass film was investigated by Raman spectroscopy. The shift of the Raman peaks around 286.8 cm−1 and 495 cm−1 suggests that the interface is a Si1−xGex alloy film and that the composition x varies along...

  16. Formation of SiN{sub x}:H by PECVD: optimization of the optical, bulk passivation and structural properties for photovoltaic applications; Elaboration de SiN{sub x}:H par PECVD: optimisation des proprietes optiques, passivantes et structurales pour applications photovoltaiques

    Energy Technology Data Exchange (ETDEWEB)

    Lelievre, J.F

    2007-04-15

    The hydrogenated silicon nitride SiNx:H is widely used as antireflection coating and passivation layer in the manufacture of silicon photovoltaic cells. The aim of this work was to implement a low frequency (440 kHz) PECVD reactor and to characterize the obtained SiN layers. After having determined the parameters of the optimal deposition, the physico-chemical structure of the layers has been studied. The optical properties have been studied with the aim to improve the antireflection coating of the photovoltaic cells. The surface and bulk passivation properties, induced by the SiN layer in terms of its stoichiometry, have been analyzed and have revealed the excellent passivating efficiency of this material. At last, have been studied the formation conditions of the silicon nano-crystals in the SiN matrix. (O.M.)

  17. Potential of ITO nanoparticles formed by hydrogen treatment in PECVD for improved performance of back grid contact crystalline silicon solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Mandal, Sourav; Mitra, Suchismita; Dhar, Sukanta; Ghosh, Hemanta; Banerjee, Chandan, E-mail: chandanbanerjee74@gmail.com; Datta, Swapan K.; Saha, Hiranmoy

    2015-09-15

    Highlights: • Indium tin oxide (ITO) nanoparticles as back scatterers in c-Si solar cells. • ITO NP have comparatively low dissipative losses and tunable optical properties. • ITO NP formed by hydrogen plasma treatment on sputtered ITO film. • Enhanced absorption and carrier collection at longer wavelengths due to enhanced light trapping. - Abstract: This paper discusses the prospect of using indium tin oxide (ITO) nanoparticles as back scatterers in crystalline silicon solar cells instead of commonly used metal nanoparticles as ITO nanoparticles have comparatively low dissipative losses and tunable optical properties. ITO nanoparticles of ∼5–10 nm size is developed on the rear side of the solar cell by deposition of ∼5–10 nm thick ITO layer by DC magnetron sputtering followed by hydrogen treatment in PECVD. The silicon solar cell is fabricated in the laboratory using conventional method with grid metal contact at the back surface. Various characterizations like FESEM, TEM, AFM, XRD, EQE and IV characteristics are performed to analyze the morphology, chemical composition, optical characteristics and electrical performance of the device. ITO nanoparticles at the back surface of the solar cell significantly enhances the short circuit current, open circuit voltage and efficiency of the solar cell. These enhancements may be attributed to the increased absorption and carrier collection at longer wavelengths of solar spectrum due to enhanced light trapping by the ITO nanoparticles and surface passivation by the hydrogen treatment of the back surface.

  18. Formation of SiNx:H by PECVD: optimization of the optical, bulk passivation and structural properties for photovoltaic applications

    International Nuclear Information System (INIS)

    Lelievre, J.F.

    2007-04-01

    The hydrogenated silicon nitride SiNx:H is widely used as antireflection coating and passivation layer in the manufacture of silicon photovoltaic cells. The aim of this work was to implement a low frequency (440 kHz) PECVD reactor and to characterize the obtained SiN layers. After having determined the parameters of the optimal deposition, the physico-chemical structure of the layers has been studied. The optical properties have been studied with the aim to improve the antireflection coating of the photovoltaic cells. The surface and bulk passivation properties, induced by the SiN layer in terms of its stoichiometry, have been analyzed and have revealed the excellent passivating efficiency of this material. At last, have been studied the formation conditions of the silicon nano-crystals in the SiN matrix. (O.M.)

  19. Effect of PECVD deposition parameters on structural and optoelectronics properties of hydrogenated polymorphous silicon thin films deposited by dichlorosilane for implementation in solar cells

    International Nuclear Information System (INIS)

    Álvarez-Macías, C.; Hernández González, Oscar Daniel; Barrera Calva, Enrique; Gómez González, L.; Santana, G.

    2015-01-01

    Hydrogenated polymorphous silicon (pm-Si: H) thin films were deposited at room temperature by plasma enhanced chemical vapor deposition (PECVD) using SiH2Cl2 as precursor gas. We examine the effect of deposition pressure (250 y 500 mTorr) and H2 dilution (flow rates 25, 50, 75 y 100 sccm) on the structural and optoelectronics properties. The nano-structural properties was confirmed by Raman spectroscopy studies in terms of the changes in crystallite sizes and their volume fractions. On the other hand, by FTIR analysis we notice bond configurations associated to photostability of the nanostructures, which was confirmed by Light soaking experiments during 250h. We found a tunable band gap and important behaviors on the electronic transport properties measurements for samples with high and low incorporation of oxygen whose compositions were determined by XPS measurements. Understanding structural and chemical properties of pm- Si: H thin films is key towards optimizing their electrical and optical properties for applications in solar cells. (full text)

  20. Effect of additive gases and injection methods on chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F2 remote plasmas

    International Nuclear Information System (INIS)

    Yun, Y. B.; Park, S. M.; Kim, D. J.; Lee, N.-E.; Kim, K. S.; Bae, G. H.

    2007-01-01

    The authors investigated the effects of various additive gases and different injection methods on the chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F 2 remote plasmas. N 2 and N 2 +O 2 gases in the F 2 /Ar/N 2 and F 2 /Ar/N 2 /O 2 remote plasmas effectively increased the etch rate of the layers. The addition of direct-injected NO gas increased the etch rates most significantly. NO radicals generated by the addition of N 2 and N 2 +O 2 or direct-injected NO molecules contributed to the effective removal of nitrogen and oxygen in the silicon nitride and oxide layers, by forming N 2 O and NO 2 by-products, respectively, and thereby enhancing SiF 4 formation. As a result of the effective removal of the oxygen, nitrogen, and silicon atoms in the layers, the chemical dry etch rates were enhanced significantly. The process regime for the etch rate enhancement of the layers was extended at elevated temperature

  1. The enhanced nucleation factors and field electron emission property of diamond synthesized by RF-PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Yang Guangmin [College of Physics, Changchun Normal University, Jilin Province, Changchun 130032 (China); Xu Qiang [Changchun Institute of Technology, Changchun 130021 (China); Wang Xin [Department of Materials Science, Key Laboratory of Mobile Materials, MOE, and State Key Laboratory of Superhard Materials, Jilin University, Changchun 130012 (China); Zheng Weitao, E-mail: wtzheng@jlu.edu.cn [Department of Materials Science, Key Laboratory of Mobile Materials, MOE, and State Key Laboratory of Superhard Materials, Jilin University, Changchun 130012 (China)

    2012-03-15

    Highlights: Black-Right-Pointing-Pointer Submicron-diamond, microcrystalline diamond, and nanocrystalline diamond were synthesized using different substrates and pretreatment methods. Black-Right-Pointing-Pointer Three techniques have been developed to create some density of diamond on substrate surfaces by PECVD deposition procedure. Black-Right-Pointing-Pointer The field electron emission property was also investigated. - Abstract: In this work, submicron-diamond (SD), microcrystalline diamond (MD), and nanocrystalline diamond (ND) were synthesized using different substrates and pretreatment methods. In order to investigate influencing factors on nucleation, three techniques have been developed to create some density of diamond on substrate surfaces: (a) with chemical-etching technique (NaOH water solution at 80 Degree-Sign C for 3, 8, 15 min, respectively), (b) (Co(NO{sub 3}){sub 3}/Mg(NO{sub 3}){sub 2}{center_dot}6H{sub 2}O or Fe(NO{sub 3}){sub 3}{center_dot}9H{sub 2}O/Mg(NO{sub 3}){sub 2}{center_dot}6H{sub 2}O alcohol solution) dripping on silicon substrate, and (c) NaCl substrate directly by following a same PECVD deposition procedure. Furthermore, the field electron emission property was also investigated.

  2. Leakage current of amorphous silicon p-i-n diodes made by ion shower doping

    International Nuclear Information System (INIS)

    Kim, Hee Joon; Cho, Gyuseong; Choi, Joonhoo; Jung, Kwan-Wook

    2002-01-01

    In this letter, we report the leakage current of amorphous silicon (a-Si:H) p-i-n photodiodes, of which the p layer is formed by ion shower doping. The ion shower doping technique has an advantage over plasma-enhanced chemical vapor deposition (PECVD) in the fabrication of a large-area amorphous silicon flat-panel detector. The leakage current of the ion shower diodes shows a better uniformity within a 30 cmx40 cm substrate than that of the PECVD diodes. However, it shows a higher leakage current of 2-3 pA/mm 2 at -5 V. This high current originates from the high injection current at the p-i junction

  3. High Growth Rate Deposition of Hydrogenated Amorphous Silicon-Germanium Films and Devices Using ECR-PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yong [Iowa State Univ., Ames, IA (United States)

    2002-01-01

    Hydrogenated amorphous silicon germanium films (a-SiGe:H) and devices have been extensively studied because of the tunable band gap for matching the solar spectrum and mature the fabrication techniques. a-SiGe:H thin film solar cells have great potential for commercial manufacture because of very low cost and adaptability to large-scale manufacturing. Although it has been demonstrated that a-SiGe:H thin films and devices with good quality can be produced successfully, some issues regarding growth chemistry have remained yet unexplored, such as the hydrogen and inert-gas dilution, bombardment effect, and chemical annealing, to name a few. The alloying of the SiGe introduces above an order-of-magnitude higher defect density, which degrades the performance of the a-SiGe:H thin film solar cells. This degradation becomes worse when high growth-rate deposition is required. Preferential attachment of hydrogen to silicon, clustering of Ge and Si, and columnar structure and buried dihydride radicals make the film intolerably bad. The work presented here uses the Electron-Cyclotron-Resonance Plasma-Enhanced Chemical Vapor Deposition (ECR-PECVD) technique to fabricate a-SiGe:H films and devices with high growth rates. Helium gas, together with a small amount of H2, was used as the plasma species. Thickness, optical band gap, conductivity, Urbach energy, mobility-lifetime product, I-V curve, and quantum efficiency were characterized during the process of pursuing good materials. The microstructure of the a-(Si,Ge):H material was probed by Fourier-Transform Infrared spectroscopy. They found that the advantages of using helium as the main plasma species are: (1) high growth rate--the energetic helium ions break the reactive gas more efficiently than hydrogen ions; (2) homogeneous growth--heavy helium ions impinging on the surface promote the surface mobility of the reactive radicals, so that heteroepitaxy growth as clustering of Ge and Si, columnar structure are

  4. Hydrogen diffusion between plasma-deposited silicon nitride-polyimide polymer interfaces

    International Nuclear Information System (INIS)

    Nguyen, S.V.; Kerbaugh, M.

    1988-01-01

    This paper reports a nuclear reaction analysis (NRA) for hydrogen technique used to analyze the hydrogen concentration near plasma enhanced chemical vapor deposition (PECVD) silicon nitride-polyimide interfaces at various nitride-deposition and polyimide-polymer-curing temperatures. The CF 4 + O 2 (8% O 2 ) plasma-etch-rate variation of PECVD silicon nitride films deposited on polyimide appeared to correlate well with the variation of hydrogen-depth profiles in the nitride films. The NRA data indicate that hydrogen-depth-profile fluctuation in the nitride films is due to hydrogen diffusion between the nitride-polyimide interfaces during deposition. Annealing treatment of polyimide films in a hydrogen atmosphere prior to the nitride film deposition tends to enhance the hydrogen-depth-profile uniformity in the nitride films, and thus substantially reduces or eliminates variation in the nitride plasma-etch rate

  5. PECVD SiO2 dielectric for niobium Josephson IC process

    International Nuclear Information System (INIS)

    Lee, S.Y.; Nandakumar, V.; Murdock, B.; Hebert, D.

    1991-01-01

    PECVD SiO 2 dielectric has been evaluated as an insulator for a Nb-based, all-refractory Josephson integrated circuit process. First, the properties of PECVD SiO 2 films were measured and compared with those of evaporated SiO films. Second, the PECVD SiO 2 dielectric film was used in our Nb-based Josephson integrated circuit process. The main problem was found to be the deterioration of the critical temperature of the superconducting niobium adjacent to the SiO 2 . The cause and a solution of the problem were investigated. Finally, a Josephson integrated sampler circuit was fabricated and tested. This paper shows acceptable junction I-V characteristics and a measured time resolution of a 4.9 ps pulse in liquid helium

  6. Evolution of a Native Oxide Layer at the a-Si:H/c-Si Interface and Its Influence on a Silicon Heterojunction Solar Cell.

    Science.gov (United States)

    Liu, Wenzhu; Meng, Fanying; Zhang, Xiaoyu; Liu, Zhengxin

    2015-12-09

    The interface microstructure of a silicon heterojunction (SHJ) solar cell was investigated. We found an ultrathin native oxide layer (NOL) with a thickness of several angstroms was formed on the crystalline silicon (c-Si) surface in a very short time (∼30 s) after being etched by HF solution. Although the NOL had a loose structure with defects that are detrimental for surface passivation, it acted as a barrier to restrain the epitaxial growth of hydrogenated amorphous silicon (a-Si:H) during the plasma-enhanced chemical vapor deposition (PECVD). The microstructure change of the NOL during the PECVD deposition of a-Si:H layers with different conditions and under different H2 plasma treatments were systemically investigated in detail. When a brief H2 plasma was applied to treat the a-Si:H layer after the PECVD deposition, interstitial oxygen and small-size SiO2 precipitates were transformed to hydrogenated amorphous silicon suboxide alloy (a-SiO(x):H, x ∼ 1.5). In the meantime, the interface defect density was reduced by about 50%, and the parameters of the SHJ solar cell were improved due to the post H2 plasma treatment.

  7. Study of the effect of the deposition parameters on the structural, electric and optical characteristics of polymorphous silicon films prepared by low frequency PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Moreno, M., E-mail: mmoreno@inaoep.mx [Instituto Nacional de Astrofisica, Optica y Electronica, INAOE, Puebla (Mexico); Torres, A. [Instituto Nacional de Astrofisica, Optica y Electronica, INAOE, Puebla (Mexico); Ambrosio, R. [Universidad Autonoma de Ciudad Juarez, Electrical Deparment, Chihuahua (Mexico); Zuniga, C.; Torres-Rios, A.; Monfil, K.; Rosales, P.; Itzmoyotl, A. [Instituto Nacional de Astrofisica, Optica y Electronica, INAOE, Puebla (Mexico)

    2011-10-25

    In this work we present our results on the deposition and characterization of polymorphous silicon (pm-Si:H) films prepared by low frequency plasma enhanced chemical vapor deposition (LF-PECVD). We have studied the effect of the plasma deposition parameters (as the chamber pressure and gas flow rates of SiH{sub 4} and H{sub 2}) on the structural, electric, and optical characteristics of the films. The temperature dependence of conductivity ({sigma}(T)), activation energy (E{sub a}), optical band gap (E{sub g}) and deposition rate (V{sub d}) were extracted for pm-Si:H films deposited at different pressure values and different gas flow rates. We observed that the chamber pressure is an important parameter that has a significant effect on the electric characteristics, and as well on the morphology of the pm-Si:H films (deduced from atomic force microscopy). It was found an optimal pressure range, in order to produce pm-Si:H films with high E{sub a} and room temperature conductivity, {sigma}{sub RT}, which are key parameters for thermal detection applications.

  8. Study of the effect of the deposition parameters on the structural, electric and optical characteristics of polymorphous silicon films prepared by low frequency PECVD

    International Nuclear Information System (INIS)

    Moreno, M.; Torres, A.; Ambrosio, R.; Zuniga, C.; Torres-Rios, A.; Monfil, K.; Rosales, P.; Itzmoyotl, A.

    2011-01-01

    In this work we present our results on the deposition and characterization of polymorphous silicon (pm-Si:H) films prepared by low frequency plasma enhanced chemical vapor deposition (LF-PECVD). We have studied the effect of the plasma deposition parameters (as the chamber pressure and gas flow rates of SiH 4 and H 2 ) on the structural, electric, and optical characteristics of the films. The temperature dependence of conductivity (σ(T)), activation energy (E a ), optical band gap (E g ) and deposition rate (V d ) were extracted for pm-Si:H films deposited at different pressure values and different gas flow rates. We observed that the chamber pressure is an important parameter that has a significant effect on the electric characteristics, and as well on the morphology of the pm-Si:H films (deduced from atomic force microscopy). It was found an optimal pressure range, in order to produce pm-Si:H films with high E a and room temperature conductivity, σ RT , which are key parameters for thermal detection applications.

  9. Characterisation of silicon carbide films deposited by plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Iliescu, Ciprian; Chen Bangtao; Wei Jiashen; Pang, A.J.

    2008-01-01

    The paper presents a characterisation of amorphous silicon carbide films deposited in plasma-enhanced chemical vapour deposition (PECVD) reactors for MEMS applications. The main parameter was optimised in order to achieve a low stress and high deposition rate. We noticed that the high frequency mode (13.56 MHz) gives a low stress value which can be tuned from tensile to compressive by selecting the correct power. The low frequency mode (380 kHz) generates high compressive stress (around 500 MPa) due to ion bombardment and, as a result, densification of the layer achieved. Temperature can decrease the compressive value of the stress (due to annealing effect). A low etching rate of the amorphous silicon carbide layer was noticed for wet etching in KOH 30% at 80 o C (around 13 A/min) while in HF 49% the layer is practically inert. A very slow etching rate of amorphous silicon carbide layer in XeF 2 -7 A/min- was observed. The paper presents an example of this application: PECVD-amorphous silicon carbide cantilevers fabricated using surface micromachining by dry-released technique in XeF 2

  10. Thin film silicon modules on plastic superstrates

    NARCIS (Netherlands)

    Rath, J.K.; Liu, Y; Borreman, A.; Hamers, E.A.G.; Schlatmann, R.; Jongerden, G.J.; Schropp, R.E.I.

    2008-01-01

    The aim of this research is to fabricate high efficiency a-Si/μc-Si tandem solar cell modules on flexible (polymer) superstrates using the Helianthos concept. As a first step we began by depositing the top cell which contains an amorphous silicon (a-Si:H) i-layer of 350 nm made by VHF PECVD at 50

  11. Observation of apparent MOS regimes on Al/PECVD grown boron nitride/p-c-Si/Al MIS structure, investigated through admittance spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Oezdemir, Orhan [Yildiz Technical University, Department of Physics, Esenler, istanbul (Turkey)

    2009-02-15

    PECVD grown boron nitride (BN) on crystalline silicon (c-Si) semiconductor was investigated by admittance measurement in the form of metal/insulator/semiconductor (MIS) structure. Apart from well-known regimes of traditional MOS structure, gradual bypassing of depletion layer was observed once ambient temperature (frequency) increased (decreased). Such an anomalous behavior was interpreted through modulations of charges located within BN film and/or at the interfacial layer of BN film/c-Si junction in terms of weighted average concept. (author)

  12. Fabrication of silicon condenser microphones using single wafer technology

    NARCIS (Netherlands)

    Scheeper, P.R.; van der Donk, A.G.H.; Olthuis, Wouter; Bergveld, Piet

    1992-01-01

    A condenser microphone design that can be fabricated using the sacrificial layer technique is proposed and tested. The microphone backplate is a 1-¿m plasma-enhanced chemical-vapor-deposited (PECVD) silicon nitride film with a high density of acoustic holes (120-525 holes/mm2), covered with a thin

  13. The ALU+ concept: n-type silicon solar cells with surface passivated screen-printed aluminum-alloyed rear emitter

    NARCIS (Netherlands)

    Bock, R.; Schmidt, J.; Mau, S.; Hoex, B.; Kessels, W.M.M.; Brendel, R.

    2009-01-01

    Aluminum-doped p-type (Al-p+) silicon emitters fabricated by means of screen-printing and firing are effectively passivated by plasma-enhanced chemicalvapor deposited (PECVD) amorphous silicon (a-Si) and atomic-layer-deposited (ALD) aluminum oxide (Al2O3) as well as Al2O3/SiNx stacks, where the

  14. Frequency effects and properties of plasma deposited fluorinated silicon nitride

    International Nuclear Information System (INIS)

    Chang, C.; Flamm, D.L.; Ibbotson, D.E.; Mucha, J.A.

    1988-01-01

    The properties of low-hydrogen, fluorinated plasma-enhanced chemical vapor deposition (PECVD) silicon nitride films grown using NF 3 /SiH 4 /N 2 feed mixtures in 200 kHz and 14 MHz discharges were compared. High-energy ion bombardment at 200 kHz is expected to enhance surface diffusion and chemical reconstruction. Compared to fluorinated silicon nitride deposited at 14 MHz under otherwise comparable conditions, the 200 kHz films had a lower Si--H bond concentration (approx. 21 cm -3 ), lower total hydrogen content (5--8 x 10 21 cm -3 ), better resistance to oxidation, lower compressive stress (-0.7 to -1.5 Gdyne/cm), and higher density (3.1 g/cm 3 ). The dielectric constant of better low-frequency Class I films was constant to 500 MHz, while that of high-frequency films fell up to 15% between 100 Hz and 10 MHz. The absorption edges of low-frequency PECVD fluorinated silicon nitride films were between 5.0 and 6.1 eV, which compare with 4.4 to 5.6 eV for the high-excitation frequency fluorinated material and 3 to 4 eV for conventional PECVD nitride. However high-frequency films may have fewer trap centers and a lower dielectric constant. 14 MHz p-SiN:F films grown with NH 3 as an auxiliary nitrogen source showed absorption edges similar to low-frequency material grown from NF 3 /SiH 4 /N 2 , but they have substantially more N--H bonding. The dielectric constant and absorption edge of these films were comparable to those of low-frequency p-SiN:F from NF 3 /SiH 4 /N 2

  15. Silicon Thin-Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Guy Beaucarne

    2007-01-01

    with plasma-enhanced chemical vapor deposition (PECVD. In spite of the fundamental limitation of this material due to its disorder and metastability, the technology is now gaining industrial momentum thanks to the entry of equipment manufacturers with experience with large-area PECVD. Microcrystalline Si (also called nanocrystalline Si is a material with crystallites in the nanometer range in an amorphous matrix, and which contains less defects than amorphous silicon. Its lower bandgap makes it particularly appropriate as active material for the bottom cell in tandem and triple junction devices. The combination of an amorphous silicon top cell and a microcrystalline bottom cell has yielded promising results, but much work is needed to implement it on large-area and to limit light-induced degradation. Finally thin-film polysilicon solar cells, with grain size in the micrometer range, has recently emerged as an alternative photovoltaic technology. The layers have a grain size ranging from 1 μm to several tens of microns, and are formed at a temperature ranging from 600 to more than 1000∘C. Solid Phase Crystallization has yielded the best results so far but there has recently been fast progress with seed layer approaches, particularly those using the aluminum-induced crystallization technique.

  16. Amorphous gallium oxide grown by low-temperature PECVD

    KAUST Repository

    Kobayashi, Eiji

    2018-03-02

    Owing to the wide application of metal oxides in energy conversion devices, the fabrication of these oxides using conventional, damage-free, and upscalable techniques is of critical importance in the optoelectronics community. Here, the authors demonstrate the growth of hydrogenated amorphous gallium oxide (a-GaO:H) thin-films by plasma-enhanced chemical vapor deposition (PECVD) at temperatures below 200 °C. In this way, conformal films are deposited at high deposition rates, achieving high broadband transparency, wide band gap (3.5-4 eV), and low refractive index (1.6 at 500 nm). The authors link this low refractive index to the presence of nanoscale voids enclosing H, as indicated by electron energy-loss spectroscopy. This work opens the path for further metal-oxide developments by low-temperature, scalable and damage-free PECVD processes.

  17. Amorphous silicon solar cells on nano-imprinted commodity paper without sacrificing efficiency

    NARCIS (Netherlands)

    Werf, van der C.H.M.; Budel, T.; Dorenkamper, M.S.; Zhang, D.; Soppe, W.; de Neve, H.; Schropp, R.E.I.

    2015-01-01

    Paper is a cheap substrate which is in principle compatible with the process temperature applied in the plasma enhanced chemical vapour deposition (PECVD) and hot wire CVD (HWCVD) of thin film silicon solar cells. The main drawback of paper for this application is the porosity due to its fibre like

  18. Amorphous gallium oxide grown by low-temperature PECVD

    KAUST Repository

    Kobayashi, Eiji; Boccard, Mathieu; Jeangros, Quentin; Rodkey, Nathan; Vresilovic, Daniel; Hessler-Wyser, Aï cha; Dö beli, Max; Franta, Daniel; De Wolf, Stefaan; Morales-Masis, Monica; Ballif, Christophe

    2018-01-01

    demonstrate the growth of hydrogenated amorphous gallium oxide (a-GaO:H) thin-films by plasma-enhanced chemical vapor deposition (PECVD) at temperatures below 200 °C. In this way, conformal films are deposited at high deposition rates, achieving high broadband

  19. Compositional analysis of silicon oxide/silicon nitride thin films

    Directory of Open Access Journals (Sweden)

    Meziani Samir

    2016-06-01

    Full Text Available Hydrogen, amorphous silicon nitride (SiNx:H abbreviated SiNx films were grown on multicrystalline silicon (mc-Si substrate by plasma enhanced chemical vapour deposition (PECVD in parallel configuration using NH3/SiH4 gas mixtures. The mc-Si wafers were taken from the same column of Si cast ingot. After the deposition process, the layers were oxidized (thermal oxidation in dry oxygen ambient environment at 950 °C to get oxide/nitride (ON structure. Secondary ion mass spectroscopy (SIMS, Rutherford backscattering spectroscopy (RBS, Auger electron spectroscopy (AES and energy dispersive X-ray analysis (EDX were employed for analyzing quantitatively the chemical composition and stoichiometry in the oxide-nitride stacked films. The effect of annealing temperature on the chemical composition of ON structure has been investigated. Some species, O, N, Si were redistributed in this structure during the thermal oxidation of SiNx. Indeed, oxygen diffused to the nitride layer into Si2O2N during dry oxidation.

  20. Light-induced enhancement of the minority carrier lifetime in boron-doped Czochralski silicon passivated by doped silicon nitride

    International Nuclear Information System (INIS)

    Wang, Hongzhe; Chen, Chao; Pan, Miao; Sun, Yiling; Yang, Xi

    2015-01-01

    Graphical abstract: - Highlights: • The phosphorus-doped SiN x with negative fixed charge was deposited by PECVD. • The increase of lifetime was observed on P-doped SiN x passivated Si under illumination. • The enhancement of lifetime was caused by the increase of negative fixed charges. - Abstract: This study reports a doubling of the effective minority carrier lifetime under light soaking conditions, observed in a boron-doped p-type Czochralski grown silicon wafer passivated by a phosphorus-doped silicon nitride thin film. The analysis of capacitance–voltage curves revealed that the fixed charge in this phosphorus-doped silicon nitride film was negative, which was unlike the well-known positive fixed charges observed in traditional undoped silicon nitride. The analysis results revealed that the enhancement phenomenon of minority carrier lifetime was caused by the abrupt increase in the density of negative fixed charge (from 7.2 × 10 11 to 1.2 × 10 12 cm −2 ) after light soaking.

  1. Microcrystalline bottom cells in large area thin film silicon MICROMORPH™ solar modules

    Czech Academy of Sciences Publication Activity Database

    Hoetzel, J.E.; Caglar, O.; Cashmore, J.S.; Goury, C.; Kalaš, J.; Klindworth, M.; Kupich, M.; Leu, G.F.; Lindic, M.H.; Losio, P.A.; Mates, Tomáš; Mereu, B.; Roschek, T.; Sinicco, I.

    2016-01-01

    Roč. 157, Dec (2016), s. 178-189 ISSN 0927-0248 R&D Projects: GA MŠk LM2015087 Institutional support: RVO:68378271 Keywords : microcrystalline silicon * material quality * PECVD * Raman crystallinity * grading * micromorph Subject RIV: BM - Solid Matter Physics ; Magnetism OBOR OECD: Condensed matter physics (including formerly solid state physics, supercond.) Impact factor: 4.784, year: 2016

  2. Combination of silicon nitride and porous silicon induced optoelectronic features enhancement of multicrystalline silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Rabha, Mohamed Ben; Dimassi, Wissem; Gaidi, Mounir; Ezzaouia, Hatem; Bessais, Brahim [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia)

    2011-06-15

    The effects of antireflection (ARC) and surface passivation films on optoelectronic features of multicrystalline silicon (mc-Si) were investigated in order to perform high efficiency solar cells. A double layer consisting of Plasma Enhanced Chemical Vapor Deposition (PECVD) of silicon nitride (SiN{sub x}) on porous silicon (PS) was achieved on mc-Si surfaces. It was found that this treatment decreases the total surface reflectivity from about 25% to around 6% in the 450-1100 nm wavelength range. As a result, the effective minority carrier diffusion length, estimated from the Laser-beam-induced current (LBIC) method, was found to increase from 312 {mu}m for PS-treated cells to about 798 {mu}m for SiN{sub x}/PS-treated ones. The deposition of SiN{sub x} was found to impressively enhance the minority carrier diffusion length probably due to hydrogen passivation of surface, grain boundaries and bulk defects. Fourier Transform Infrared Spectroscopy (FTIR) shows that the vibration modes of the highly suitable passivating Si-H bonds exhibit frequency shifts toward higher wavenumber, depending on the x ratio of the introduced N atoms neighbors. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. The method of synthesizing of superhydrophobic surfaces by PECVD

    Science.gov (United States)

    Orazbayev, Sagi; Gabdullin, Maratbek; Ramazanov, Tlekkabul; Dosbolayev, Merlan; Zhunisbekov, Askar; Omirbekov, Dulat; Otarbay, Zhuldyz

    2018-03-01

    The aim of this work was to obtain superhydrophobic surfaces in a plasma medium. The experiment was carried out using the PECVD method in two different modes: constant and pulsing. The surface roughness was obtained by applying nanoparticles synthesized in plasma in a mixture of argon and methane. The resulting particles were deposited on the surface of silicon and glass materials. The contact angle increased linearly depending on the number of cycles, until it reached 160° at 150-160th cycles, after that the increase in cycles does not affect the contact angle, since the saturation process is in progress. Also the effect of the working gas composition on the hydrophobicity of the surface was studied. At low concentrations of methane (1%) only particles are synthesized in the working gas, and hydrophobicity is unstable, with an increase in methane concentration (7%) nanofilms are synthesized from nanoclusters, and surface hydrophobicity is relatively stable. In addition, a pulsing plasma mode was used to obtain superhydrophobic surfaces. The hydrophobicity of the sample showed that the strength of the nanofilm was stable in comparison with the sample obtained in the first mode, but the contact angle was lower. The obtained samples were examined using SEM, SPM, optical analysis, and their contact angles were determined.

  4. Effect of silane/hydrogen ratio on microcrystalline silicon thin films by remote inductively coupled plasma

    Science.gov (United States)

    Guo, Y. N.; Wei, D. Y.; Xiao, S. Q.; Huang, S. Y.; Zhou, H. P.; Xu, S.

    2013-05-01

    Hydrogenated microcrystalline silicon (μc-Si:H) thin films were prepared by remote low frequency inductively coupled plasma (ICP) chemical vapor deposition system, and the effect of silane/hydrogen ratio on the microstructure and electrical properties of μc-Si:H films was systematically investigated. As silane/hydrogen ratio increases, the crystalline volume fraction Fc decreases and the ratio of the intensity of (220) peak to that of (111) peak drops as silane flow rate is increased. The FTIR result indicates that the μc-Si:H films prepared by remote ICP have a high optical response with a low hydrogen content, which is in favor of reducing light-induced degradation effect. Furthermore, the processing window of the phase transition region for remote ICP is much wider than that for typical ICP. The photosensitivity of μc-Si:H films can exceed 100 at the transition region and this ensures the possibility of the fabrication of microcrystalline silicon thin film solar cells with a open-circuit voltage of about 700 mV.

  5. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  6. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  7. Investigation of Low-Cost Surface Processing Techniques for Large-Size Multicrystalline Silicon Solar Cells

    OpenAIRE

    Cheng, Yuang-Tung; Ho, Jyh-Jier; Lee, William J.; Tsai, Song-Yeu; Lu, Yung-An; Liou, Jia-Jhe; Chang, Shun-Hsyung; Wang, Kang L.

    2010-01-01

    The subject of the present work is to develop a simple and effective method of enhancing conversion efficiency in large-size solar cells using multicrystalline silicon (mc-Si) wafer. In this work, industrial-type mc-Si solar cells with area of 125×125 mm2 were acid etched to produce simultaneously POCl3 emitters and silicon nitride deposition by plasma-enhanced chemical vapor deposited (PECVD). The study of surface morphology and reflectivity of different mc-Si etched surfaces has also been d...

  8. Improved size distribution control of silicon nanocrystals in a spatially confined remote plasma

    NARCIS (Netherlands)

    Dogan, I.; Westerman, R. H. J.; M. C. M. van de Sanden,

    2015-01-01

    This work demonstrates how to improve the size distribution of silicon nanocrystals (Si-NCs) synthesized in a remote plasma, in which the flow dynamics and the particular chemistry initially resulted in the formation of small (2-10 nm) and large (50-120 nm) Si-NCs. Plasma consists of two regions: an

  9. Hydrogen dissociation in the deposition of GaN films with ECR-PECVD process

    Science.gov (United States)

    Fu, S. L.; Wang, C. A.; Ding, L. C.; Qin, Y. X.

    2018-05-01

    The hydrogen dissociation and its effect on the GaN film growth in the ECR-PECVD process are investigated in this paper. We use N2 and trimethylgallium (TMG) as N and Ga sources respectively in the ECR- PECVD process. The results show that the rate of hydrogen dissociation increases with the microwave power and it becomes higher at high microwave power (> 500 W). However, this population increase of the H species dissociated from the TMG gas in ECR plasma is not enough to change the growth condition from Ga-rich to N-rich.

  10. GE NANOCLUSTERS IN PLANAR GLASS WAVEGUIDES DEPOSITED BY PECVD

    DEFF Research Database (Denmark)

    Haiyan, Ou; Olsen, Johnny H.; Rottwitt, Karsten

    2004-01-01

    Germanium (Ge) has been widely used as the dopant in the core layer of planar glass waveguides to increase the refractive index because it gives a small propagation loss. Plasma enhanced chemical vapour deposition (PECVD) and flame hydrolysis deposition (FHD) are two main material deposition meth...

  11. Thermal post-deposition treatment effects on nanocrystalline hydrogenated silicon prepared by PECVD under different hydrogen flow rates

    Energy Technology Data Exchange (ETDEWEB)

    Amor, Sana Ben, E-mail: sana.benamor1@gmail.com [Photovoltaic Laboratory Research and Technology Centre of Energy, Borj-Cedria Science and Technology Park, BP 95, 2050 Hammam-Lif (Tunisia); University of Applied Medical Sciences of Hafr El Baten (Saudi Arabia); Meddeb, Hosny; Daik, Ridha; Othman, Afef Ben; Slama, Sonia Ben; Dimassi, Wissem; Ezzaouia, Hatem [Photovoltaic Laboratory Research and Technology Centre of Energy, Borj-Cedria Science and Technology Park, BP 95, 2050 Hammam-Lif (Tunisia)

    2016-01-01

    Graphical abstract: At high annealing temperatures, many atoms do not suffer the attraction of surface species due to the thermal agitation and consequently few atoms are adsorbed. As the temperature is lowered the adsorption is more efficient to the point that is no more atoms in the gas phase. Indeed at relatively low temperatures, the atoms have too little energy to escape from the surface or even to vibrate against it. They lost their degree of freedom in the direction perpendicular to the surface. But this does not prevent the atoms to diffuse along the surface. As a result, the layer's thickness decrease with increasing the annealing temperature. - Highlights: The results extracted from this work are: • The post-deposition thermal treatment improves the crystallinity the film at moderate temperature (500 °C). • The higher annealing temperature can lead to decrease the silicon–hydrogen bonds and increase the Si–Si bonds. • Moderate annealing temperature (700 °C) seems to be crucial for obtaining high minority carrier life times. • Hydrogen effusion phenomenon start occurring at 500–550 °C and get worsen at 900 °C. - Abstract: In this paper, hydrogenated nanocrystalline silicon (nc-Si:H) thin films were deposited on mono-crystalline silicon substrate by plasma enhanced chemical vapor deposition (PECVD) under different hydrogen flow rates followed by a thermal treatment in an infrared furnace at different temperature ranging from 300 to 900 °C. The investigated structural, morphological and optoelectronic properties of samples were found to be strongly dependent on the annealing temperature. Raman spectroscopy revealed that nc-Si:H films contain crystalline, amorphous and mixed structures as well. We find that post-deposition thermal treatment may lead to a tendency for structural improvement and a decrease of the disorder in the film network at moderate temperature under 500 °C. As for annealing at higher temperature up to 900

  12. FTIR and electrical characterization of a-Si:H layers deposited by PECVD at different boron ratios

    Energy Technology Data Exchange (ETDEWEB)

    Orduna-Diaz, A., E-mail: abdu@susu.inaoep.mx [Instituto Nacional de Astrofisica, Optica y Electronica, Luis Enrique Erro No. 1, Tonantzintla, Puebla 72840 (Mexico); Trevino-Palacios, C.G. [Instituto Nacional de Astrofisica, Optica y Electronica, Luis Enrique Erro No. 1, Tonantzintla, Puebla 72840 (Mexico); Rojas-Lopez, M.; Delgado-Macuil, R.; Gayou, V.L. [Centro de Investigacion en Biotecnologia Aplicada (CIBA), IPN, Tlaxcala, Tlax. 72197 (Mexico); Torres-Jacome, A. [Instituto Nacional de Astrofisica, Optica y Electronica, Luis Enrique Erro No. 1, Tonantzintla, Puebla 72840 (Mexico)

    2010-10-25

    Hydrogenated amorphous silicon (a-Si:H) has found applications in flat panel displays, photovoltaic solar cell and recently has been employed in boron doped microbolometer array. We have performed electrical and structural characterizations of a-Si:H layers prepared by plasma enhanced chemical vapor deposition (PECVD) method at 540 K on glass substrates at different diborane (B{sub 2}H{sub 6}) flow ratios (500, 250, 150 and 50 sccm). Fourier transform infrared spectroscopy (FTIR) measurements obtained by specular reflectance sampling mode, show Si-Si, B-O, Si-H, and Si-O vibrational modes (611, 1300, 2100 and 1100 cm{sup -1} respectively) with different strengths which are associated to hydrogen and boron content. The current-voltage curves show that at 250 sccm flow of boron the material shows the lowest resistivity, but for the 150 sccm boron flow it is obtained the highest temperature coefficient of resistance (TCR).

  13. Silicon Nanocrystal Synthesis in Microplasma Reactor

    Science.gov (United States)

    Nozaki, Tomohiro; Sasaki, Kenji; Ogino, Tomohisa; Asahi, Daisuke; Okazaki, Ken

    Nanocrystalline silicon particles with grains smaller than 5 nm are widely recognized as a key material in optoelectronic devices, lithium battery electrodes, and bio-medical labels. Another important characteristic is that silicon is an environmentally safe material that is used in numerous silicon technologies. To date, several synthesis methods such as sputtering, laser ablation, and plasma-enhanced chemical vapor deposition (PECVD) based on low-pressure silane chemistry (SiH4) have been developed for precise control of size and density distributions of silicon nanocrystals. In this study, we explore the possibility of microplasma technologies for efficient production of mono-dispersed nanocrystalline silicon particles on a micrometer-scale, continuous-flow plasma reactor operated at atmospheric pressure. Mixtures of argon, hydrogen, and silicon tetrachloride were activated using a very-high-frequency (144 MHz) power source in a capillary glass tube with volume of less than 1 μl. Fundamental plasma parameters of the microplasma were characterized using optical emission spectroscopy, which respectively indicated electron density of 1015 cm-3, argon excitation temperature of 5000 K, and rotational temperature of 1500 K. Such high-density non-thermal reactive plasma can decompose silicon tetrachloride into atomic silicon to produce supersaturated silicon vapor, followed by gas-phase nucleation via three-body collision: particle synthesis in high-density plasma media is beneficial for promoting nucleation processes. In addition, further growth of silicon nuclei can be terminated in a short-residence-time reactor. Micro-Raman scattering spectra showed that as-deposited particles are mostly amorphous silicon with a small fraction of silicon nanocrystals. Transmission electron micrography confirmed individual 3-15 nm silicon nanocrystals. Although particles were not mono-dispersed, they were well separated and not coagulated.

  14. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  15. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuanyong; Chu, Paul K.; Ding Chuanxian

    2007-01-01

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans

  16. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu Xuanyong [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China) and Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: xyliu@mail.sic.ac.cn; Chu, Paul K. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: paul.chu@cityu.edu.hk; Ding Chuanxian [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China)

    2007-01-15

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter <1 0 0> silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans.

  17. Multiscale Computational Fluid Dynamics: Methodology and Application to PECVD of Thin Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Marquis Crose

    2017-02-01

    Full Text Available This work focuses on the development of a multiscale computational fluid dynamics (CFD simulation framework with application to plasma-enhanced chemical vapor deposition of thin film solar cells. A macroscopic, CFD model is proposed which is capable of accurately reproducing plasma chemistry and transport phenomena within a 2D axisymmetric reactor geometry. Additionally, the complex interactions that take place on the surface of a-Si:H thin films are coupled with the CFD simulation using a novel kinetic Monte Carlo scheme which describes the thin film growth, leading to a multiscale CFD model. Due to the significant computational challenges imposed by this multiscale CFD model, a parallel computation strategy is presented which allows for reduced processing time via the discretization of both the gas-phase mesh and microscopic thin film growth processes. Finally, the multiscale CFD model has been applied to the PECVD process at industrially relevant operating conditions revealing non-uniformities greater than 20% in the growth rate of amorphous silicon films across the radius of the wafer.

  18. The Barrier Properties of PET Coated DLC Film Deposited by Microwave Surface-Wave PECVD

    Science.gov (United States)

    Yin, Lianhua; Chen, Qiang

    2017-12-01

    In this paper we report the investigation of diamond-like carbon (DLC) deposited by microwave surface-wave plasma enhanced chemical vapor deposition (PECVD) on the polyethylene terephthalate (PET) web for the purpose of the barrier property improvement. In order to characterize the properties of DLC coatings, we used several substrates, silicon wafer, glass, and PET web and KBr tablet. The deposition rate was obtained by surface profiler based on the DLC deposited on glass substrates; Fourier transform infrared spectroscope (FTIR) was carried out on KBr tablets to investigate chemical composition and bonding structure; the morphology of the DLC coating was analyzed by atomic force microscope (AFM) on Si substrates. For the barrier properties of PET webs, we measured the oxygen transmission rate (OTR) and water vapor transmission rate (WVTR) after coated with DLC films. We addressed the film barrier property related to process parameters, such as microwave power and pulse parameter in this work. The results show that the DLC coatings can greatly improve the barrier properties of PET webs.

  19. Compensation of decreased ion energy by increased hydrogen dilution in plasma deposition of thin film silicon solar cells at low substrate temperatures

    NARCIS (Netherlands)

    Verkerk, A.D.; de Jong, M.M.; Rath, J.K.; Brinza, M.; Schropp, R.E.I.; Goedheer, W.J.; Krzhizhanovskaya, V.V.; Gorbachev, Y.E.; Orlov, K.E.; Khilkevitch, E.M.; Smirnov, A.S.

    2009-01-01

    In order to deposit thin film silicon solar cells on plastics and papers, the deposition process needs to be adapted for low deposition temperatures. In a very high frequency plasma-enhanced chemical vapor deposition (VHF PECVD) process, both the gas phase and the surface processes are affected by

  20. High efficiency high rate microcrystalline silicon thin-film solar cells deposited at plasma excitation frequencies larger than 100 MHz

    Czech Academy of Sciences Publication Activity Database

    Strobel, C.; Leszczynska, B.; Merkel, U.; Kuske, J.; Fischer, D.D.; Albert, M.; Holovský, Jakub; Michard, S.

    2015-01-01

    Roč. 143, Dec (2015), 347-353 ISSN 0927-0248 R&D Projects: GA MŠk 7E12029 EU Projects: European Commission(XE) 283501 - Fast Track Institutional support: RVO:68378271 Keywords : VHF * PECVD * microcrystalline silicon * solar cell * high rate * high efficiency Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 4.732, year: 2015

  1. Amorphous silicon as high index photonic material

    Science.gov (United States)

    Lipka, T.; Harke, A.; Horn, O.; Amthor, J.; Müller, J.

    2009-05-01

    Silicon-on-Insulator (SOI) photonics has become an attractive research topic within the area of integrated optics. This paper aims to fabricate SOI-structures for optical communication applications with lower costs compared to standard fabrication processes as well as to provide a higher flexibility with respect to waveguide and substrate material choice. Amorphous silicon is deposited on thermal oxidized silicon wafers with plasma-enhanced chemical vapor deposition (PECVD). The material is optimized in terms of optical light transmission and refractive index. Different a-Si:H waveguides with low propagation losses are presented. The waveguides were processed with CMOS-compatible fabrication technologies and standard DUV-lithography enabling high volume production. To overcome the large mode-field diameter mismatch between incoupling fiber and sub-μm waveguides three dimensional, amorphous silicon tapers were fabricated with a KOH etched shadow mask for patterning. Using ellipsometric and Raman spectroscopic measurements the material properties as refractive index, layer thickness, crystallinity and material composition were analyzed. Rapid thermal annealing (RTA) experiments of amorphous thin films and rib waveguides were performed aiming to tune the refractive index of the deposited a-Si:H waveguide core layer after deposition.

  2. Microcrystalline thin-film solar cell deposition on moving substrates using a linear VHF-PECVD reactor and a cross-flow geometry

    International Nuclear Information System (INIS)

    Flikweert, A J; Zimmermann, T; Merdzhanova, T; Weigand, D; Appenzeller, W; Gordijn, A

    2012-01-01

    A concept for high-rate plasma deposition (PECVD) of hydrogenated microcrystalline silicon on moving substrates (dynamic deposition) is developed and evaluated. The chamber allows for substrates up to a size of 40 × 40 cm 2 . The deposition plasma is sustained between linear VHF electrodes (60 MHz) and a moving substrate. Due to the gas flow geometry and the high degree of source gas depletion, from the carrier's point of view the silane concentration varies when passing the electrodes. This is known to lead to different growth conditions which can induce transitions from microcrystalline to amorphous growth. The effect of different silane concentrations is simulated at a standard RF showerhead electrode by intentionally varying the silane concentration during deposition in static mode. This variation may decrease the layer quality of microcrystalline silicon, due to a shift of the crystallinity away from the optimum. However, adapting the input silane concentration, state-of-the-art solar cells are obtained. Microcrystalline cells (ZnO : Al/Ag back contacts) produced by the linear VHF plasma sources show an efficiency of 7.9% and 6.6% for depositions in static and dynamic mode, respectively. (paper)

  3. Experimental and theoretical rationalization of the growth mechanism of silicon quantum dots in non-stoichiometric SiN x : role of chlorine in plasma enhanced chemical vapour deposition

    Science.gov (United States)

    Mon-Pérez, E.; Salazar, J.; Ramos, E.; Santoyo Salazar, J.; López Suárez, A.; Dutt, A.; Santana, G.; Marel Monroy, B.

    2016-11-01

    Silicon quantum dots (Si-QDs) embedded in an insulator matrix are important from a technological and application point of view. Thus, being able to synthesize them in situ during the matrix growth process is technologically advantageous. The use of SiH2Cl2 as the silicon precursor in the plasma enhanced chemical vapour deposition (PECVD) process allows us to obtain Si-QDs without post-thermal annealing. Foremost in this work, is a theoretical rationalization of the mechanism responsible for Si-QD generation in a film including an analysis of the energy released by the extraction of HCl and the insertion of silylene species into the terminal surface bonds. From the results obtained using density functional theory (DFT), we propose an explanation of the mechanism responsible for the formation of Si-QDs in non-stoichiometric SiN x starting from chlorinated precursors in a PECVD system. Micrograph images obtained through transmission electron microscopy confirmed the presence of Si-QDs, even in nitrogen-rich (N-rich) samples. The film stoichiometry was controlled by varying the growth parameters, in particular the NH3/SiH2Cl2 ratio and hydrogen dilution. Experimental and theoretical results together show that using a PECVD system, along with chlorinated precursors it is possible to obtain Si-QDs at a low substrate temperature without annealing treatment. The optical property studies carried out in the present work highlight the prospects of these thin films for down shifting and as an antireflection coating in silicon solar cells.

  4. High-aspect-ratio, silicon oxide-enclosed pillar structures in microfluidic liquid chromatography.

    Science.gov (United States)

    Taylor, Lisa C; Lavrik, Nickolay V; Sepaniak, Michael J

    2010-11-15

    The present paper discusses the ability to separate chemical species using high-aspect-ratio, silicon oxide-enclosed pillar arrays. These miniaturized chromatographic systems require smaller sample volumes, experience less flow resistance, and generate superior separation efficiency over traditional packed bed liquid chromatographic columns, improvements controlled by the increased order and decreased pore size of the systems. In our distinctive fabrication sequence, plasma-enhanced chemical vapor deposition (PECVD) of silicon oxide is used to alter the surface and structural properties of the pillars for facile surface modification while improving the pillar mechanical stability and increasing surface area. The separation behavior of model compounds within our pillar systems indicated an unexpected hydrophobic-like separation mechanism. The effects of organic modifier, ionic concentration, and pressure-driven flow rate were studied. A decrease in the organic content of the mobile phase increased peak resolution while detrimentally effecting peak shape. A resolution of 4.7 (RSD = 3.7%) was obtained for nearly perfect Gaussian shaped peaks, exhibiting plate heights as low as 1.1 and 1.8 μm for fluorescein and sulforhodamine B, respectively. Contact angle measurements and DART mass spectrometry analysis indicate that our employed elastomeric soft bonding technique modifies pillar properties, creating a fortuitous stationary phase. This discovery provides evidence supporting the ability to easily functionalize PECVD oxide surfaces by gas-phase reactions.

  5. Nanocomposite metal amorphous-carbon thin films deposited by hybrid PVD and PECVD technique.

    Science.gov (United States)

    Teixeira, V; Soares, P; Martins, A J; Carneiro, J; Cerqueira, F

    2009-07-01

    Carbon based films can combine the properties of solid lubricating graphite structure and hard diamond crystal structure, i.e., high hardness, chemical inertness, high thermal conductivity and optical transparency without the crystalline structure of diamond. Issues of fundamental importance associated with nanocarbon coatings are reducing stress, improving adhesion and compatibility with substrates. In this work new nanocomposite coatings with improved toughness based in nanocrystalline phases of metals and ceramics embedded in amorphous carbon matrix are being developed within the frame of a research project: nc-MeNxCy/a-C(Me) with Me = Mo, Si, Al, Ti, etc. Carbide forming metal/carbon (Me/C) composite films with Me = Mo, W or Ti possess appropriate properties to overcome the limitation of pure DLC films. These novel coating architectures will be adopted with the objective to decrease residual stress, improve adherence and fracture toughness, obtain low friction coefficient and high wear-resistance. Nanocomposite DLC's films were deposited by hybrid technique using a PVD-Physically Vapor Deposition (magnetron sputtering) and Plasma Enhanced Chemical Vapor Deposition (PECVD), by the use of CH4 gas. The parameters varied were: deposition time, substrate temperature (180 degrees C) and dopant (Si + Mo) of the amorphous carbon matrix. All the depositions were made on silicon wafers and steel substrates precoated with a silicon inter-layer. The characterisation of the film's physico-mechanical properties will be presented in order to understand the influence of the deposition parameters and metal content used within the a-C matrix in the thin film properties. Film microstructure and film hybridization state was characterized by Raman Spectroscopy. In order to characterize morphology SEM and AFM will be used. Film composition was measured by Energy-Dispersive X-ray analysis (EDS) and by X-ray photoelectron spectroscopy (XPS). The contact angle for the produced DLC's on

  6. High performance SONOS flash memory with in-situ silicon nanocrystals embedded in silicon nitride charge trapping layer

    Science.gov (United States)

    Lim, Jae-Gab; Yang, Seung-Dong; Yun, Ho-Jin; Jung, Jun-Kyo; Park, Jung-Hyun; Lim, Chan; Cho, Gyu-seok; Park, Seong-gye; Huh, Chul; Lee, Hi-Deok; Lee, Ga-Won

    2018-02-01

    In this paper, SONOS-type flash memory device with highly improved charge-trapping efficiency is suggested by using silicon nanocrystals (Si-NCs) embedded in silicon nitride (SiNX) charge trapping layer. The Si-NCs were in-situ grown by PECVD without additional post annealing process. The fabricated device shows high program/erase speed and retention property which is suitable for multi-level cell (MLC) application. Excellent performance and reliability for MLC are demonstrated with large memory window of ∼8.5 V and superior retention characteristics of 7% charge loss for 10 years. High resolution transmission electron microscopy image confirms the Si-NC formation and the size is around 1-2 nm which can be verified again in X-ray photoelectron spectroscopy (XPS) where pure Si bonds increase. Besides, XPS analysis implies that more nitrogen atoms make stable bonds at the regular lattice point. Photoluminescence spectra results also illustrate that Si-NCs formation in SiNx is an effective method to form deep trap states.

  7. Influence of ion bombardment on microcrystalline silicon material quality and solar cell performances

    OpenAIRE

    Bugnon, G; Feltrin, A; Sculati-Meillaud, F; Bailat, J; Ballif, C

    2008-01-01

    Microcrystalline hydrogenated silicon growth with VHF-PECVD was examined in an industrial type parallel plate KAITM reactor. The influence of pressure on material quality was studied in single junction solar cells. Solar cells with their intrinsic layer prepared at higher pressures exhibit remarkable improvements, reaching 8.2% efficiency at 3.5 mbar. Further analyzes showed that μc- Si:H intrinsic layers grown at higher pressures have a significantly lower defect density. These results are a...

  8. Synthesis of Silicon Nanocrystals in Microplasma Reactor

    Science.gov (United States)

    Nozaki, Tomohiro; Sasaki, Kenji; Ogino, Tomohisa; Asahi, Daisuke; Okazaki, Ken

    Nanocrystalline silicon particles with a grain size of at least less than 10 nm are widely recognized as one of the key materials in optoelectronic devices, electrodes of lithium battery, bio-medical labels. There is also important character that silicon is safe material to the environment and easily gets involved in existing silicon technologies. To date, several synthesis methods such as sputtering, laser ablation, and plasma enhanced chemical vapor deposition (PECVD) based on low-pressure silane chemistry (SiH4) have been developed for precise control of size and density distributions of silicon nanocrystals. We explore the possibility of microplasma technologies for the efficient production of mono-dispersed nanocrystalline silicon particles in a micrometer-scale, continuous-flow plasma reactor operated at atmospheric pressure. Mixtures of argon, hydrogen, and silicon tetrachloride were activated using very high frequency (VHF = 144 MHz) power source in a capillary glass tube with a volume of less than 1 μ-liter. Fundamental plasma parameters of VHF capacitively coupled microplasma were characterized by optical emission spectroscopy, showing electron density of approximately 1015 cm-3 and rotational temperature of 1500 K, respectively. Such high-density non-thermal reactive plasma has a capability of decomposing silicon tetrachloride into atomic silicon to produce supersaturated atomic silicon vapor, followed by gas phase nucleation via three-body collision. The particle synthesis in high-density plasma media is beneficial for promoting nucleation process. In addition, further growth of silicon nuclei was able to be favorably terminated in a short-residence time reactor. Micro Raman scattering spectrum showed that as-deposited particles were mostly amorphous silicon with small fraction of silicon nanocrystals. Transmission electron micrograph confirmed individual silicon nanocrystals of 3-15 nm size. Although those particles were not mono-dispersed, they were

  9. Experimental and theoretical rationalization of the growth mechanism of silicon quantum dots in non-stoichiometric SiN x : role of chlorine in plasma enhanced chemical vapour deposition.

    Science.gov (United States)

    Mon-Pérez, E; Salazar, J; Ramos, E; Salazar, J Santoyo; Suárez, A López; Dutt, A; Santana, G; Monroy, B Marel

    2016-11-11

    Silicon quantum dots (Si-QDs) embedded in an insulator matrix are important from a technological and application point of view. Thus, being able to synthesize them in situ during the matrix growth process is technologically advantageous. The use of SiH 2 Cl 2 as the silicon precursor in the plasma enhanced chemical vapour deposition (PECVD) process allows us to obtain Si-QDs without post-thermal annealing. Foremost in this work, is a theoretical rationalization of the mechanism responsible for Si-QD generation in a film including an analysis of the energy released by the extraction of HCl and the insertion of silylene species into the terminal surface bonds. From the results obtained using density functional theory (DFT), we propose an explanation of the mechanism responsible for the formation of Si-QDs in non-stoichiometric SiN x starting from chlorinated precursors in a PECVD system. Micrograph images obtained through transmission electron microscopy confirmed the presence of Si-QDs, even in nitrogen-rich (N-rich) samples. The film stoichiometry was controlled by varying the growth parameters, in particular the NH 3 /SiH 2 Cl 2 ratio and hydrogen dilution. Experimental and theoretical results together show that using a PECVD system, along with chlorinated precursors it is possible to obtain Si-QDs at a low substrate temperature without annealing treatment. The optical property studies carried out in the present work highlight the prospects of these thin films for down shifting and as an antireflection coating in silicon solar cells.

  10. Thermionic Properties of Carbon Based Nanomaterials Produced by Microhollow Cathode PECVD

    Science.gov (United States)

    Haase, John R.; Wolinksy, Jason J.; Bailey, Paul S.; George, Jeffrey A.; Go, David B.

    2015-01-01

    Thermionic emission is the process in which materials at sufficiently high temperature spontaneously emit electrons. This process occurs when electrons in a material gain sufficient thermal energy from heating to overcome the material's potential barrier, referred to as the work function. For most bulk materials very high temperatures (greater than 1500 K) are needed to produce appreciable emission. Carbon-based nanomaterials have shown significant promise as emission materials because of their low work functions, nanoscale geometry, and negative electron affinity. One method of producing these materials is through the process known as microhollow cathode PECVD. In a microhollow cathode plasma, high energy electrons oscillate at very high energies through the Pendel effect. These high energy electrons create numerous radical species and the technique has been shown to be an effective method of growing carbon based nanomaterials. In this work, we explore the thermionic emission properties of carbon based nanomaterials produced by microhollow cathode PECVD under a variety of synthesis conditions. Initial studies demonstrate measureable current at low temperatures (approximately 800 K) and work functions (approximately 3.3 eV) for these materials.

  11. PECVD Tekniği ile Büyütülmüş İnce Filmlerde Oluşan Ge ve SiGe Nanokristallerin Geçirgen Elektron Mikroskobu (TEM) ,Raman ve Fotoışıma Spektroskopisi Teknikleri ile İncelenmesi

    OpenAIRE

    Şahin, Bünyamin; Ağan, Sedat

    2009-01-01

    We report an experimental study, optical properties of Ge and SiGe nanocrystals in SiOx structures are investigated by using Transmission Electron Microscopy (TEM), Raman and Photlüminescence Spectroscopy techniques. Ge nanocrystals in silicon oxide thin films have been grown with different annealing time by Plasma Enhanced Chemical Vapor Deposition (PECVD) technique. The aim of our work is to determine size and size distiributions Ge, SiGe nanocrystals in SiOx martix due to annealing process...

  12. Raman study of localized recrystallization of amorphous silicon induced by laser beam

    KAUST Repository

    Tabet, Nouar A.

    2012-06-01

    The adoption of amorphous silicon based solar cells has been drastically hindered by the low efficiency of these devices, which is mainly due to a low hole mobility. It has been shown that using both crystallized and amorphous silicon layers in solar cells leads to an enhancement of the device performance. In this study the crystallization of a-Si prepared by PECVD under various growth conditions has been investigated. The growth stresses in the films are determined by measuring the curvature change of the silicon substrate before and after film deposition. Localized crystallization is induced by exposing a-Si films to focused 532 nm laser beam of power ranging from 0.08 to 8 mW. The crystallization process is monitored by recording the Raman spectra after various exposures. The results suggest that growth stresses in the films affect the minimum laser power (threshold power). In addition, a detailed analysis of the width and position of the Raman signal indicates that the silicon grains in the crystallized regions are of few nm diameter. © 2012 IEEE.

  13. Raman study of localized recrystallization of amorphous silicon induced by laser beam

    KAUST Repository

    Tabet, Nouar A.; Al-Sayoud, Abduljabar; Said, Seyed; Yang, Xiaoming; Yang, Yang; Syed, Ahad A.; Diallo, Elhadj; Wang, Zhihong; Wang, Xianbin; Johlin, Eric; Simmons, Christine; Buonassisi, Tonio

    2012-01-01

    The adoption of amorphous silicon based solar cells has been drastically hindered by the low efficiency of these devices, which is mainly due to a low hole mobility. It has been shown that using both crystallized and amorphous silicon layers in solar cells leads to an enhancement of the device performance. In this study the crystallization of a-Si prepared by PECVD under various growth conditions has been investigated. The growth stresses in the films are determined by measuring the curvature change of the silicon substrate before and after film deposition. Localized crystallization is induced by exposing a-Si films to focused 532 nm laser beam of power ranging from 0.08 to 8 mW. The crystallization process is monitored by recording the Raman spectra after various exposures. The results suggest that growth stresses in the films affect the minimum laser power (threshold power). In addition, a detailed analysis of the width and position of the Raman signal indicates that the silicon grains in the crystallized regions are of few nm diameter. © 2012 IEEE.

  14. PECVD de composes de silicium sur polymeres: Etude de la premiere phase du depot

    Science.gov (United States)

    Dennler, Gilles

    Since their first introduction in the early 90's, transparent barriers against oxygen and/or water vapor permeation through polymers, such as SiO 2, are the object of increasing interest in the food and pharmaceutical packaging industries, and more recently for the encapsulation of organic-based displays. It is now well known that these thin layers possess barrier properties only if they are thicker than a certain critical thickness, dc. For example, dc is around 12 nm in the case of SiO2 on KaptonRTM PI; below this value, the measured "Oxygen Transmission Rate" (OTR, in standard cm3/m2/day/bar) is roughly the same as that of the uncoated polymer. Until now, no detailed research has been carried out to explain this observation, but a hypothesis was proposed in the literature, based on island-like growth structure of the coating for d ≤ dc. According to this hypothesis, the surface energy of the polymeric substrates is so low that the Volmer-Weber (island-coalescence) growth mode occurs. We have aimed to verify this explanation, that is, to study the initial phase of silicon-compound (SiO2 and SiN) growth on four different polymeric substrates, namely polyimide (KaptonRTM PI), polycarbonate (LexanRTM PC), polypropylene (PP), and polyethyleneterephthalate (MylarRTM PET). Three different deposition methods were used, namely reactive evaporation of SiO, radio-frequency (RF) Plasma Enhanced Chemical Vapor Deposition (RF PECVD), and Distributed Electron Cyclotron Resonance (DECR) PECVD. In this latter case, the substrates were placed in three different positions: (i) in the active glow zone, (ii) downstream, and (iii) downstream, but shielded from photon emission (e.g. VUV) from the plasma. Angle-Resolved X-Ray Photoelectron Spectroscopy (ARXPS), Rutherford Backscattering Spectroscopy (RBS), and Scanning Electron Microscopy (SEM), the latter performed after Reactive Ion Etching (RIE) by oxygen plasma, revealed that growth indeed occurs in a Volmer-Weber mode in the

  15. Interface properties of the amorphous silicon/crystalline silicon heterojunction photovoltaic cell

    Science.gov (United States)

    Halliop, Basia

    Amorphous-crystalline silicon (a-Si:H/c-Si) heterojunctions have the potential of being a very high efficiency silicon photovoltaic platform technology with accompanying cost and energy budget reductions. In this research a heterojunction cell structure based on a-Si:H deposited using a DC saddle field plasma enhanced vapour deposition (DCSF PECVD) technique is studied, and the a-Si:H/c-Si and indium tin oxide/a-Si:H interfaces are examined using several characterization methods. Photocarrier radiometry (PCR) is used for the first time to probe the a-Si:H/c-Si junction. PCR is demonstrated as a carrier lifetime measurement technique -- specifically, confirming carrier lifetimes above 1 ms for 1-5 Ocm phosphorous-doped c-Si wafers passivated on both sides with 30 nm of i-a-Si:H. PCR is also used to determine surface recombination velocity and mobility, and to probe recombination at the a-Si:H/c-Si interface, distinguishing interface recombination from recombination within the a-Si:H layer or at the a-Si:H surface. A complementary technique, lateral conductivity is applied over a temperature range of 140 K to 430 K to construct energy band diagrams of a-Si:H/c-Si junctions. Boron doped a-Si:H films on glass are shown to have activation energies of 0.3 to 0.35 eV, tuneable by adjusting the diborane to silane gas ratio during deposition. Heterojunction samples show evidence of a strong hole inversion layer and a valence band offset of approximately 0.4 eV; carrier concentration in the inversion layer is reduced in p-a-Si:H/i-a-Si:H/ c-Si structures as intrinsic layer thickness increases, while carrier lifetime is increased. The indium tin oxide/amorphous silicon interface is also examined. Optimal ITO films were prepared with a sheet resistance of 17.3 O/[special character omitted] and AM1.5 averaged transmittance of 92.1%., for a film thickness of approximately 85 nm, using temperatures below 200°C. Two different heat treatments are found to cause crystallization of

  16. Amorphous Silicon-Germanium Films with Embedded Nanocrystals for Thermal Detectors with Very High Sensitivity

    Directory of Open Access Journals (Sweden)

    Cesar Calleja

    2016-01-01

    Full Text Available We have optimized the deposition conditions of amorphous silicon-germanium films with embedded nanocrystals in a plasma enhanced chemical vapor deposition (PECVD reactor, working at a standard frequency of 13.56 MHz. The objective was to produce films with very large Temperature Coefficient of Resistance (TCR, which is a signature of the sensitivity in thermal detectors (microbolometers. Morphological, electrical, and optical characterization were performed in the films, and we found optimal conditions for obtaining films with very high values of thermal coefficient of resistance (TCR = 7.9% K−1. Our results show that amorphous silicon-germanium films with embedded nanocrystals can be used as thermosensitive films in high performance infrared focal plane arrays (IRFPAs used in commercial thermal cameras.

  17. The influence of passivation and photovoltaic properties of α-Si:H coverage on silicon nanowire array solar cells

    Science.gov (United States)

    2013-01-01

    Silicon nanowire (SiNW) arrays for radial p-n junction solar cells offer potential advantages of light trapping effects and quick charge collection. Nevertheless, lower open circuit voltages (Voc) lead to lower energy conversion efficiencies. In such cases, the performance of the solar cells depends critically on the quality of the SiNW interfaces. In this study, SiNW core-shell solar cells have been fabricated by growing crystalline silicon (c-Si) nanowires via the metal-assisted chemical etching method and by depositing hydrogenated amorphous silicon (α-Si:H) via the plasma-enhanced chemical vapor deposition (PECVD) method. The influence of deposition parameters on the coverage and, consequently, the passivation and photovoltaic properties of α-Si:H layers on SiNW solar cells have been analyzed. PMID:24059343

  18. Amorphous Silicon-Germanium Films with Embedded Nano crystals for Thermal Detectors with Very High Sensitivity

    International Nuclear Information System (INIS)

    Calleja, C.; Torres, A.; Rosales-Quintero, P.; Moreno, M.

    2016-01-01

    We have optimized the deposition conditions of amorphous silicon-germanium films with embedded nano crystals in a plasma enhanced chemical vapor deposition (PECVD) reactor, working at a standard frequency of 13.56 MHz. The objective was to produce films with very large Temperature Coefficient of Resistance (TCR), which is a signature of the sensitivity in thermal detectors (micro bolometers). Morphological, electrical, and optical characterization were performed in the films, and we found optimal conditions for obtaining films with very high values of thermal coefficient of resistance (TCR = 7.9%K -1 ). Our results show that amorphous silicon-germanium films with embedded nano crystals can be used as thermo sensitive films in high performance infrared focal plane arrays (IRFPAs) used in commercial thermal cameras.

  19. Using MDECR-PECVD to study the impact of ion bombardment energy on microstructural properties of μc-Si:H thin film grown from an SiF{sub 4}/H{sub 2} chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Junkang; Florea, Ileana; Bulkin, Pavel V.; Maurice, Jean-Luc; Johnson, Erik V. [LPICM, CNRS, Ecole Polytechnique, Universite Paris Saclay, 91128 Palaiseau (France)

    2016-12-15

    The matrix-distributed electron cyclotron resonance plasma-enhanced chemical vapor deposition (MDECR-PECVD) technique has been shown to achieve high deposition rates for hydrogenated microcrystalline silicon (μc-Si:H) thin film. Due to the fact that plasma is sustained by a microwave discharge, by biasing the substrate holder with additional power supply, one can achieve independent control over the plasma density and the maximum ion bombardment energy (IBE). In this work, we present studies of the impact of IBE on the microstructural properties of the μc-Si:H film deposited by MDECR-PECVD. Insufficient ion bombardment is found to be responsible for the substantial presence of nano-porous regions within the material, resulting in significant post-deposition oxidation. Good agreement between transmission electron microscopy (TEM) Fresnel contrast analysis and the results of infrared absorption and hydrogen effusion measurements for the deposited films suggest that moderate IBE is of vital importance to achieve high quality μc-Si:H. In doing so, denser films with significantly decreased nano-porous regions and better stability are obtained, which is of great interest to optimize the process parameters for solar cell applications. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Silicon-conductive nanopaper for Li-ion batteries

    KAUST Repository

    Hu, Liangbing

    2013-01-01

    There is an increasing interest in the development of thin, flexible energy storage devices for new applications. For large scale and low cost devices, structures with the use of earth abundant materials are attractive. In this study, we fabricated flexible and conductive nanopaper aerogels with incorporated carbon nanotubes (CNT). Such conductive nanopaper is made from aqueous dispersions with dispersed CNT and cellulose nanofibers. Such aerogels are highly porous with open channels that allow the deposition of a thin-layer of silicon through a plasma-enhanced CVD (PECVD) method. Meanwhile, the open channels also allow for an excellent ion accessibility to the surface of silicon. We demonstrated that such lightweight and flexible Si-conductive nanopaper structure performs well as Li-ion battery anodes. A stable capacity of 1200. mA. h/g for 100 cycles in half-cells is achieved. Such flexible anodes based on earth abundant materials and aqueous dispersions could potentially open new opportunities for low-cost energy devices, and potentially can be applied for large-scale energy storage. © 2012 Elsevier Ltd.

  1. Dry Phosphorus silicate glass etching and surface conditioning and cleaning for multi-crystalline silicon solar cell processing

    International Nuclear Information System (INIS)

    Kagilik, Ahmed S.

    2014-01-01

    As an alternative to the wet chemical etching method, dry chemical etching processes for Phosphorus silicate glass [PSG} layer removal using Trifluormethane/Sulfur Hexafluoride (CHF 3 / SF 6 ) gas mixture in commercial silicon-nitride plasma enhanced chemical vapour deposition (SiN-PECVD) system is applied. The dependence of the solar cell performance on the etching temperature is investigated and optimized. It is found that the SiN-PECVD system temperature variation has a significant impact on the whole solar cell characteristics. A dry plasma cleaning treatment of the Si wafer surface after the PSG removal step is also investigated and developed. The cleaning step is used to remove the polymer film which is formed during the PSG etching using both oxygen and hydrogen gases. By applying an additional cleaning step, the polymer film deposited on the silicon wafer surface after PSG etching is eliminated. The effect of different plasma cleaning conditions on solar cell performance is investigated. After optimization of the plasma operating conditions, the performance of the solar cell is improved and the overall gain in efficiency of 0.6% absolute is yielded compared to a cell without any further cleaning step. On the other hand, the best solar cell characteristics can reach values close to that achieved by the conventional wet chemical etching processes demonstrating the effectiveness of the additional O 2 /H 2 post cleaning treatment.(author)

  2. Investigation of Low-Cost Surface Processing Techniques for Large-Size Multicrystalline Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Yuang-Tung Cheng

    2010-01-01

    Full Text Available The subject of the present work is to develop a simple and effective method of enhancing conversion efficiency in large-size solar cells using multicrystalline silicon (mc-Si wafer. In this work, industrial-type mc-Si solar cells with area of 125×125 mm2 were acid etched to produce simultaneously POCl3 emitters and silicon nitride deposition by plasma-enhanced chemical vapor deposited (PECVD. The study of surface morphology and reflectivity of different mc-Si etched surfaces has also been discussed in this research. Using our optimal acid etching solution ratio, we are able to fabricate mc-Si solar cells of 16.34% conversion efficiency with double layers silicon nitride (Si3N4 coating. From our experiment, we find that depositing double layers silicon nitride coating on mc-Si solar cells can get the optimal performance parameters. Open circuit (Voc is 616 mV, short circuit current (Jsc is 34.1 mA/cm2, and minority carrier diffusion length is 474.16 μm. The isotropic texturing and silicon nitride layers coating approach contribute to lowering cost and achieving high efficiency in mass production.

  3. Back scattering involving embedded silicon nitride (SiN) nanoparticles for c-Si solar cells

    Science.gov (United States)

    Ghosh, Hemanta; Mitra, Suchismita; Siddiqui, M. S.; Saxena, A. K.; Chaudhuri, Partha; Saha, Hiranmay; Banerjee, Chandan

    2018-04-01

    A novel material, structure and method of synthesis for dielectric light trapping have been presented in this paper. First, the light scattering behaviour of silicon nitride nanoparticles have been theoretically studied in order to find the optimized size for dielectric back scattering by FDTD simulations from Lumerical Inc. The optical results have been used in electrical analysis and thereby, estimate the effect of nanoparticles on efficiency of the solar cells depending on substrate thickness. Experimentally, silicon nitride (SiN) nanoparticles have been formed using hydrogen plasma treatment on SiN layer deposited by Plasma Enhanced Chemical Vapour Deposition (PECVD). The size and area coverage of the nanoparticles were controlled by varying the working pressure, power density and treatment duration. The nanoparticles were integrated with partial rear contact c-Si solar cells as dielectric back reflector structures for the light trapping in thin silicon solar cells. Experimental results revealed the increases of current density by 2.7% in presence of SiN nanoparticles.

  4. Switching Vertical to Horizontal Graphene Growth Using Faraday Cage-Assisted PECVD Approach for High-Performance Transparent Heating Device.

    Science.gov (United States)

    Qi, Yue; Deng, Bing; Guo, Xiao; Chen, Shulin; Gao, Jing; Li, Tianran; Dou, Zhipeng; Ci, Haina; Sun, Jingyu; Chen, Zhaolong; Wang, Ruoyu; Cui, Lingzhi; Chen, Xudong; Chen, Ke; Wang, Huihui; Wang, Sheng; Gao, Peng; Rummeli, Mark H; Peng, Hailin; Zhang, Yanfeng; Liu, Zhongfan

    2018-02-01

    Plasma-enhanced chemical vapor deposition (PECVD) is an applicable route to achieve low-temperature growth of graphene, typically shaped like vertical nanowalls. However, for transparent electronic applications, the rich exposed edges and high specific surface area of vertical graphene (VG) nanowalls can enhance the carrier scattering and light absorption, resulting in high sheet resistance and low transmittance. Thus, the synthesis of laid-down graphene (LG) is imperative. Here, a Faraday cage is designed to switch graphene growth in PECVD from the vertical to the horizontal direction by weakening ion bombardment and shielding electric field. Consequently, laid-down graphene is synthesized on low-softening-point soda-lime glass (6 cm × 10 cm) at ≈580 °C. This is hardly realized through the conventional PECVD or the thermal chemical vapor deposition methods with the necessity of high growth temperature (1000 °C-1600 °C). Laid-down graphene glass has higher transparency, lower sheet resistance, and much improved macroscopic uniformity when compare to its vertical graphene counterpart and it performs better in transparent heating devices. This will inspire the next-generation applications in low-cost transparent electronics. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Tuning the optical properties of RF-PECVD grown μc-Si:H thin films using different hydrogen flow rate

    Science.gov (United States)

    Dushaq, Ghada; Nayfeh, Ammar; Rasras, Mahmoud

    2017-07-01

    In this paper we study the effect of H2/SiH4 dilution ratio (R) on the structural and optical properties of hydrogenated microcrystalline silicon embedded in amorphous matrix thin films. The thin films are prepared using standard RF-PECVD process at substrate temperature of 200 °C. The effect of hydrogen dilution ratio on the optical index of refraction and the absorption coefficient were investigated. It was observed that by incorporating higher hydrogen flow rate in the films with low SiH4 concentration, the optical index of refraction can be tuned over a broad range of wavelengths due to the variation of crystalline properties of the produced films. By varying the hydrogen flow of μc-Si:H samples, ∼8% and 12% reduction in the index of refraction at 400 nm and at 1500 nm can be achieved, respectively. In addition a 78% reduction in surface roughness is obtained when 60sccm of H2 is used in the deposition compared to the sample without any H2 incorporation.

  6. Insight into excimer laser crystallization exploiting ellipsometry: Effect of silicon film precursor

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, Maria [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)], E-mail: maria.losurdo@ba.imip.cnr.it; Giangregorio, Maria M.; Sacchetti, Alberto; Capezzuto, Pio; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy); Mariucci, Luigi; Fortunato, Guglielmo [IFN-CNR, Via Cineto Romano, 42 - 00156 Rome (Italy)

    2007-07-16

    The optical diagnostic of spectroscopic ellipsometry is shown to be an effective tool to investigate the mechanism of excimer laser crystallization (ELC) of silicon thin films. A detailed spectroscopic ellipsometric investigation of the microstructures of polycrystalline Si films obtained on SiO{sub 2}/Si wafers by ELC of a-Si:H and nc-Si films deposited, respectively, by SiH{sub 4} plasma enhanced chemical vapor deposition (PECVD) and SiF{sub 4}-PECVD is presented. It is shown that ellipsometric spectra of the pseudodielectric function of polysilicon thin films allows to discern the three different ELC regimes of partial melting, super lateral growth and complete melting. Exploiting ellipsometry and atomic force microscopy, it is shown that ELC of nc-Si has very low energy density threshold of 95 mJ/cm{sup 2} for complete melting, and that re-crystallization to large grains of {approx} 2 {mu}m can be achieved by multi-shot irradiation at an energy density as low as 260 mJ/cm{sup 2} when using nc-Si when compared to 340 mJ/cm{sup 2} for the ELC of a-Si films.

  7. Hard Coat Layers by PE-CVD Process for the Top Surface of Touch Panel

    International Nuclear Information System (INIS)

    Okunishi, T; Sato, N; Yazawa, K

    2013-01-01

    In order to protect surface from damages, the high pencil hardness and the high abrasion resistance are required for the hard coat layers on polyethylene telephthalate (PET) films for the application of touch panel surface. We have already found that the UV-curing-hard-coat-polymer (UHP) coated PET films show the poor abrasion resistance, while they have the high pencil hardness. It reveals that the abrasion resistance of hard coat layers of the UHP is not simply dependent on the pencil hardness. In this work, we have studied to improve the abrasion resistance of SiOC films as hard coat layers, which were formed by PE-CVD process on UHP coated PET. The abrasion resistance was evaluated by Taber abrasion test. PE-CVD hard coat layers which formed on UHP coater PET films have showed the better abrasion resistance and have the possibility of substitution to the thin glass sheets for touch panel application.

  8. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  9. Argon plasma treatment of silicon nitride (SiN) for improved antireflection coating on c-Si solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Ghosh, Hemanta; Mitra, Suchismita; Saha, Hiranmay; Datta, Swapan Kumar; Banerjee, Chandan, E-mail: chandanbanerjee74@gmail.com

    2017-01-15

    Highlights: • Antireflection properties of argon plasma treated silicon nitride layer and its effect on crystalline silicon solar cell. • The reduction in reflection due to the formation of a silicon oxynitride/silicon nitride double layer. • EQE reveals a relative increase of 2.72% in J{sub sc} and 4.46% in conversion efficiency. - Abstract: Antireflection properties of argon plasma treated silicon nitride layer and its effect on crystalline silicon solar cell is presented here. Hydrogenated silicon nitride (a-SiN:H) layer has been deposited on a silicon substrate by Plasma Enhanced Chemical Vapour Deposition (PECVD) using a mixture of silane (SiH{sub 4}), ammonia (NH{sub 3}) and hydrogen (H{sub 2}) gases followed by a argon plasma treatment. Optical analysis reveals a significant reduction in reflectance after argon plasma treatment of silicon nitride layer. While FESEM shows nanostructures on the surface of the silicon nitride film, FTIR reveals a change in Si−N, Si−O and N−H bonds. On the other hand, ellipsometry shows the variation of refractive index and formation of double layer. Finally, a c-Si solar cell has been fabricated with the said anti-reflection coating. External quantum efficiency reveals a relative increase of 2.72% in the short circuit current density and 4.46% in conversion efficiency over a baseline efficiency of 16.58%.

  10. Determination of density of band-gap states of hydrogenated amorphous silicon suboxide thin films

    International Nuclear Information System (INIS)

    Bacioglu, A.

    2005-01-01

    Variation of density of gap states of PECVD silicon suboxide films with different oxygen concentrations was evaluated through electrical and optical measurements. Optical transmission and constant photocurrent method (CPM) were used to determine absorption coefficient as a function of photon energy. From these measurements the localized density of states between the valance band mobility edge and Fermi level has been determined. To determine the variation of conduction band edge, steady state photoconductivity (SSPC), photoconductivity response time (PCRT) and transient photoconductivity (TPC) measurements were utilized. Results indicate that the conduction and valance band edges, both, widen monotonically with oxygen content

  11. Effect of PECVD SiNx/SiOy Nx –Si interface property on surface passivation of silicon wafer

    International Nuclear Information System (INIS)

    Jia Xiao-Jie; Zhou Chun-Lan; Zhou Su; Wang Wen-Jing; Zhu Jun-Jie

    2016-01-01

    It is studied in this paper that the electrical characteristics of the interface between SiO y N x /SiN x stack and silicon wafer affect silicon surface passivation. The effects of precursor flow ratio and deposition temperature of the SiO y N x layer on interface parameters, such as interface state density Di t and fixed charge Q f , and the surface passivation quality of silicon are observed. Capacitance–voltage measurements reveal that inserting a thin SiO y N x layer between the SiN x and the silicon wafer can suppress Q f in the film and D it at the interface. The positive Q f and D it and a high surface recombination velocity in stacks are observed to increase with the introduced oxygen and minimal hydrogen in the SiO y N x film increasing. Prepared by deposition at a low temperature and a low ratio of N 2 O/SiH 4 flow rate, the SiO y N x /SiN x stacks result in a low effective surface recombination velocity (S eff ) of 6 cm/s on a p-type 1 Ω·cm–5 Ω·cm FZ silicon wafer. The positive relationship between S eff and D it suggests that the saturation of the interface defect is the main passivation mechanism although the field-effect passivation provided by the fixed charges also make a contribution to it. (paper)

  12. Towards high frequency heterojunction transistors: Electrical characterization of N-doped amorphous silicon-graphene diodes

    Science.gov (United States)

    Strobel, C.; Chavarin, C. A.; Kitzmann, J.; Lupina, G.; Wenger, Ch.; Albert, M.; Bartha, J. W.

    2017-06-01

    N-type doped amorphous hydrogenated silicon (a-Si:H) is deposited on top of graphene (Gr) by means of very high frequency (VHF) and radio frequency plasma-enhanced chemical vapor deposition (PECVD). In order to preserve the structural integrity of the monolayer graphene, a plasma excitation frequency of 140 MHz was successfully applied during the a-Si:H VHF-deposition. Raman spectroscopy results indicate the absence of a defect peak in the graphene spectrum after the VHF-PECVD of (n)-a-Si:H. The diode junction between (n)-a-Si:H and graphene was characterized using temperature dependent current-voltage (IV) and capacitance-voltage measurements, respectively. We demonstrate that the current at the (n)-a-Si:H-graphene interface is dominated by thermionic emission and recombination in the space charge region. The Schottky barrier height (qΦB), derived by temperature dependent IV-characteristics, is about 0.49 eV. The junction properties strongly depend on the applied deposition method of (n)-a-Si:H with a clear advantage of the VHF(140 MHz)-technology. We have demonstrated that (n)-a-Si:H-graphene junctions are a promising technology approach for high frequency heterojunction transistors.

  13. Effects of plasma-deposited silicon nitride passivation on the radiation hardness of CMOS integrated circuits

    International Nuclear Information System (INIS)

    Clement, J.J.

    1980-01-01

    The use of plasma-deposited silicon nitride as a final passivation over metal-gate CMOS integrated circuits degrades the radiation hardness of these devices. The hardness degradation is manifested by increased radiation-induced threshold voltage shifts caused principally by the charging of new interface states and, to a lesser extent, by the trapping of holes created upon exposure to ionizing radiation. The threshold voltage shifts are a strong function of the deposition temperature, and show very little dependence on thickness for films deposited at 300 0 C. There is some correlation between the threshold voltage shifts and the hydrogen content of the PECVD silicon nitride films used as the final passivation layer as a function of deposition temperature. The mechanism by which the hydrogen contained in these films may react with the Si/SiO 2 interface is not clear at this point

  14. Plasma immersion ion implantation of boron for ribbon silicon solar cells

    Directory of Open Access Journals (Sweden)

    Derbouz K.

    2013-09-01

    Full Text Available In this work, we report for the first time on the solar cell fabrication on n-type silicon RST (for Ribbon on Sacrificial Template using plasma immersion ion implantation. The experiments were also carried out on FZ silicon as a reference. Boron was implanted at energies from 10 to 15 kV and doses from 1015 to 1016 cm-2, then activated by a thermal annealing in a conventional furnace at 900 and 950 °C for 30 min. The n+ region acting as a back surface field was achieved by phosphorus spin-coating. The frontside boron emitter was passivated either by applying a 10 nm deposited SiOX plasma-enhanced chemical vapor deposition (PECVD or with a 10 nm grown thermal oxide. The anti-reflection coating layer formed a 60 nm thick SiNX layer. We show that energies less than 15 kV and doses around 5 × 1015 cm-2 are appropriate to achieve open circuit voltage higher than 590 mV and efficiency around 16.7% on FZ-Si. The photovoltaic performances on ribbon silicon are so far limited by the bulk quality of the material and by the quality of the junction through the presence of silicon carbide precipitates at the surface. Nevertheless, we demonstrate that plasma immersion ion implantation is very promising for solar cell fabrication on ultrathin silicon wafers such as ribbons.

  15. Defect states in microcrystalline silicon probed by photoluminescence spectroscopy

    International Nuclear Information System (INIS)

    Merdzhanova, T.; Carius, R.; Klein, S.; Finger, F.; Dimova-Malinovska, D.

    2006-01-01

    Photoluminescence (PL) spectroscopy is used to investigate defects and localized band tail states within the band gap of hydrogenated microcrystalline silicon (μc-Si:H) prepared by plasma enhanced chemical vapor deposition (PECVD) and hot wire chemical vapor deposition (HWCVD). The effect of the substrate temperature (T S ), which influences mainly the defect density, and silane concentration (SC), as Key parameter to control the microstructure of the material were varied. In high quality μc-Si:H films (T S = 185-200 deg. C) a PL band ('μc'-Si-band) is observed at ∼ 0.9-1.05 eV which is attributed to radiative recombination via localized band tail states in the microcrystalline phase. In μc-Si:H films prepared at higher T S (> 300 deg. C), an additional PL band at ∼ 0.7 eV with a width of ∼ 0.17 eV is found for both PECVD and HWCVD material. This band maintains its position at ∼ 0.7 eV with increasing SC in contrast to the observed shift of the 'μc'-Si-band to higher energies. Studies of the temperature dependences of the PL peak energy and intensity for the two bands show: (i) the PL band at 0.7 eV remains unaffected upon increasing temperature, while the 'μc'-Si-band shifts to lower energies (ii) a much weaker quenching for the 0.7 eV band compared to the 'μc'-Si-band. It was also found that the PL band at 0.7 eV exhibits a slightly stronger temperature dependence of the PL intensity compared to 'defect' band at 0.9 eV in a-Si:H suggesting similar recombination transition via deeper trap states. Due to a similar PL properties of the emission band previously observed in Czochralski-grown silicon (Cz-Si), the 0.7 eV band in μc-Si:H is assigned tentatively to defect-related transitions in the crystalline phase

  16. Hydrogen desorption from hydrogen fluoride and remote hydrogen plasma cleaned silicon carbide (0001) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    King, Sean W., E-mail: sean.king@intel.com; Tanaka, Satoru; Davis, Robert F. [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Nemanich, Robert J. [Department of Physics, North Carolina State University, Raleigh, North Carolina 27695 (United States)

    2015-09-15

    Due to the extreme chemical inertness of silicon carbide (SiC), in-situ thermal desorption is commonly utilized as a means to remove surface contamination prior to initiating critical semiconductor processing steps such as epitaxy, gate dielectric formation, and contact metallization. In-situ thermal desorption and silicon sublimation has also recently become a popular method for epitaxial growth of mono and few layer graphene. Accordingly, numerous thermal desorption experiments of various processed silicon carbide surfaces have been performed, but have ignored the presence of hydrogen, which is ubiquitous throughout semiconductor processing. In this regard, the authors have performed a combined temperature programmed desorption (TPD) and x-ray photoelectron spectroscopy (XPS) investigation of the desorption of molecular hydrogen (H{sub 2}) and various other oxygen, carbon, and fluorine related species from ex-situ aqueous hydrogen fluoride (HF) and in-situ remote hydrogen plasma cleaned 6H-SiC (0001) surfaces. Using XPS, the authors observed that temperatures on the order of 700–1000 °C are needed to fully desorb C-H, C-O and Si-O species from these surfaces. However, using TPD, the authors observed H{sub 2} desorption at both lower temperatures (200–550 °C) as well as higher temperatures (>700 °C). The low temperature H{sub 2} desorption was deconvoluted into multiple desorption states that, based on similarities to H{sub 2} desorption from Si (111), were attributed to silicon mono, di, and trihydride surface species as well as hydrogen trapped by subsurface defects, steps, or dopants. The higher temperature H{sub 2} desorption was similarly attributed to H{sub 2} evolved from surface O-H groups at ∼750 °C as well as the liberation of H{sub 2} during Si-O desorption at temperatures >800 °C. These results indicate that while ex-situ aqueous HF processed 6H-SiC (0001) surfaces annealed at <700 °C remain terminated by some surface C–O and

  17. Amorphous silicon/crystalline silicon heterojunctions for nuclear radiation detector applications

    International Nuclear Information System (INIS)

    Walton, J.T.; Hong, W.S.; Luke, P.N.; Wang, N.W.; Ziemba, F.P.

    1996-10-01

    Results on characterization of electrical properties of amorphous Si films for the 3 different growth methods (RF sputtering, PECVD [plasma enhanced], LPCVD [low pressure]) are reported. Performance of these a-Si films as heterojunctions on high resistivity p-type and n- type crystalline Si is examined by measuring the noise, leakage current, and the alpha particle response of 5mm dia detector structures. It is demonstrated that heterojunction detectors formed by RF sputtered films and PECVD films are comparable in performance with conventional surface barrier detectors. Results indicate that the a-Si/c-Si heterojunctions have the potential to greatly simplify detector fabrication. Directions for future avenues of nuclear particle detector development are indicated

  18. Study of plasma-material surface interaction using langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2012-01-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisiloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  19. Study of plasma-material surface interaction using Langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2009-06-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisoloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  20. Hydrogenated amorphous silicon coatings may modulate gingival cell response

    Science.gov (United States)

    Mussano, F.; Genova, T.; Laurenti, M.; Munaron, L.; Pirri, C. F.; Rivolo, P.; Carossa, S.; Mandracci, P.

    2018-04-01

    Silicon-based materials present a high potential for dental implant applications, since silicon has been proven necessary for the correct bone formation in animals and humans. Notably, the addition of silicon is effective to enhance the bioactivity of hydroxyapatite and other biomaterials. The present work aims to expand the knowledge of the role exerted by hydrogen in the biological interaction of silicon-based materials, comparing two hydrogenated amorphous silicon coatings, with different hydrogen content, as means to enhance soft tissue cell adhesion. To accomplish this task, the films were produced by plasma enhanced chemical vapor deposition (PECVD) on titanium substrates and their surface composition and hydrogen content were analyzed by means of X-ray photoelectron spectroscopy (XPS) and Fourier-transform infrared spectrophotometry (FTIR) respectively. The surface energy and roughness were measured through optical contact angle analysis (OCA) and high-resolution mechanical profilometry respectively. Coated surfaces showed a slightly lower roughness, compared to bare titanium samples, regardless of the hydrogen content. The early cell responses of human keratinocytes and fibroblasts were tested on the above mentioned surface modifications, in terms of cell adhesion, viability and morphometrical assessment. Films with lower hydrogen content were endowed with a surface energy comparable to the titanium surfaces. Films with higher hydrogen incorporation displayed a lower surface oxidation and a considerably lower surface energy, compared to the less hydrogenated samples. As regards mean cell area and focal adhesion density, both a-Si coatings influenced fibroblasts, but had no significant effects on keratinocytes. On the contrary, hydrogen-rich films increased manifolds the adhesion and viability of keratinocytes, but not of fibroblasts, suggesting a selective biological effect on these cells.

  1. Ge nanoclusters in PECVD-deposited glass caused only by heat treatment

    DEFF Research Database (Denmark)

    Ou, Haiyan; Rørdam, Troels Peter; Rottwitt, Karsten

    2008-01-01

    This paper reports the formation of Ge nanoclusters in a multi-layer structure consisting of alternating thin films of Ge-doped silica glass and SiGe, deposited by plasma-enhanced chemical vapor deposition (PECVD) and post annealed at 1100 °C in N2 atmosphere. We studied the annealed samples...... embedded with Ge nanoclusters after annealing. These nanoclusters are crystalline and varied in size. There were no clusters in the Ge-doped glass layer. Raman spectra verified the existence of crystalline Ge clusters. The positional shift of the Ge vibrational peak with the change of the focus depth...

  2. Effect of dc negative-bias and silicon introduction on performance of Si-B-N composite film by RF-PECD technique

    International Nuclear Information System (INIS)

    Meng Hua; Yu Xiang; Yu Junfeng; Wang Chengbiao

    2005-01-01

    Under action of different dc negative-bias voltages on samples incorporating with silicon, a series of Si-B-N composite films were synthesized on steel 1045 using RF-PECVD technique (radio-frequency plasma enhanced chemical vapor deposition), and the surface analysis of X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and etc. were followed. The experimental results showed: Si-B-N composite films had an obvious mixture phase of c-BN and h-BN crystal at a certain dc negative bias, and the film's mechanical performances including micro-hardness and adhesion were improved. Moreover, bias effect on deposition performance of Si-B-N composite film has been systematically investigated, and silicon introduction was found to be necessary for the growth of Si-B-N film and the improvement of adhesion

  3. Structural and optical properties of arsenic sulfide films synthesized by a novel PECVD-based approach

    Science.gov (United States)

    Mochalov, Leonid; Kudryashov, Mikhail; Logunov, Aleksandr; Zelentsov, Sergey; Nezhdanov, Aleksey; Mashin, Alexandr; Gogova, Daniela; Chidichimo, Giuseppe; De Filpo, Giovanni

    2017-11-01

    A new plasma-enhanced chemical vapor deposition-based (PECVD) approach for synthesizing of As-S films, with As content in the range 60-40 at.%, is demonstrated. The process has been carried out in a low-temperature Ar-plasma, employing for the first time volatile As and S as precursors. Utilization of inorganic elemental precursors, in contrast to the typically used in CVD metal-organic compounds or volatile hydrides/halides of Va- and VIa-group-elements, gives the possibility to reach the highest quality and purity of the As-S ≿halcogenide films. Quantum-chemical calculations have been performed to gain insight into the PECVD As-S chalcogenide films structure and the mechanism of its formation in the plasma discharge. An additional vibrational band near 650 cm-1 corresponding to cycled 2-dimensional units is observed by Raman spectroscopy. The process developed is cost-efficient one due to the very precise control and the long-term stability of the plasma parameters and it possesses a high potential for large-area applications such as fabrication of miniature integrated optical elements and 2D/3D printing of optical devices.

  4. Influence of PECVD deposited SiNx passivation layer thickness on In0.18Al0.82N/GaN/Si HEMT

    International Nuclear Information System (INIS)

    Singh, Sarab Preet; Liu, Yi; Ngoo, Yi Jie; Kyaw, Lwin Min; Bera, Milan Kumar; Chor, Eng Fong; Dolmanan, S B; Tripathy, Sudhiranjan

    2015-01-01

    The influence of plasma enhanced chemical vapour deposited (PECVD) silicon nitride (SiN x ) passivation film thickness on In 0.18 Al 0.82 N/GaN/Si heterostructures and HEMTs has been investigated. The formation of Si 3 N 4 was confirmed by x-ray photoelectron spectroscopy (XPS) measurements. X-ray reflectivity (XRR) measurements reveal that both the density and roughness of the SiN x film increase with increasing film thickness. With an increase in SiN x film thickness, a significant increase in two-dimensional electron gas (2DEG) density, drain current, extrinsic transconductance and negative threshold voltage shift of the In 0.18 Al 0.82 /GaN/Si HEMTs are observed. An optimal thickness of SiN x is ∼100 nm and it yields a substantial increase in 2DEG density (∼30%) with a minimum sheet resistance for In 0.18 Al 0.82 N/GaN/Si heterostructures. Furthermore, we correlate the observed SiN x film thickness-dependent electrical characteristics of In 0.18 Al 0.82 /GaN/Si HEMTs with the density of the SiN x film. (paper)

  5. Large area multicrystalline silicon solar cells with high efficiency. Final report; Grossflaechige multikristalline Silizium-Solarzellen mit hohen Wirkungsraden. Abschlussbericht

    Energy Technology Data Exchange (ETDEWEB)

    Ebest, G.; Erler, K.; Mrwa, A.; Ball, M.

    2001-09-01

    Solar cells were produced of wafers of die-cast and strip-drawn multicrystalline silicon and characterized. Production methods like SOD (spin-on doping), RTP (rapid thermal processing), PECVD (plasma enhanced chemical vapor deposition), RIE (reactive ion etching) and screen printing were investigated. The results are summarized as follows: 1. Layer resistance can be adjusted by variation of the RTP temperature cycle and by selecting appropriate doping materials (P507 by Filmtronics); 2. The low resistance required for screen printing metallization are obtained only with a different doping material (P8545SF-Filmtronics); 3. Metallized aluminium and copper require a 30 nm TiN layer as diffusion barrier; 4. Reflectivity will be reduced most effectively by RIE with chlorine gas on monocrystalline and multicrystalline silicon wafers. [German] Im Rahmen des Projektes wurden auf Wafern aus blockgegossenem und bandgezogenem multikristallinen Silizium Solarzellen hergestellt und charakterisiert. Fuer die Herstellung wurden Verfahren wie SOD (spin-on doping), RTP (rapid thermal processing), PECVD (plasma enhanced chemical vapor deposition), RIE (reactive ion etching) und Siebdruck untersucht. Die Ergebnisse lassen sich wie folgt zusammenfassen: 1. eine Einstellung des Schichtwiderstandes wird durch Variation des RTP-Temperaturzyklus sowie Auswahl verschiedener Dotierstoffe (P507 von Filmtronics) erreicht; 2. die fuer die Siebdruckmetallisierung erforderlichen geringen Schichtwiderstaende werden nur durch die Wahl eines anderen Dotierstoffes (P8545SF-Filmtronics) erreicht; 3. Aluminium- und Kupfermetallisierungen benoetigen eine 30 nm dicke TiN-Schicht als Diffusionsbarriere; und 4. die wirksamste Verminderung des Reflexionsgrades ist mittels RIE-Verfahren unter Verwendung von Chlorgas auf ein- und multikristalline Siliziumwafer erreichbar.

  6. On-chip remote charger model using plasmonic island circuit

    Directory of Open Access Journals (Sweden)

    J. Ali

    2018-06-01

    Full Text Available We propose the remote charger model using the light fidelity (LiFi transmission and integrate microring resonator circuit. It consists of the stacked layers of silicon-graphene-gold materials known as a plasmonic island placed at the center of the modified add-drop filter. The input light power from the remote LiFi can enter into the island via a silicon waveguide. The optimized input power is obtained by the coupled micro-lens on the silicon surface. The induced electron mobility generated in the gold layer by the interfacing layer between silicon-graphene. This is the reversed interaction of the whispering gallery mode light power of the microring system, in which the generated power is fed back into the microring circuit. The electron mobility is the required output and obtained at the device ports and characterized for the remote current source applications. The obtained calculation results have shown that the output current of ∼2.5 × 10−11 AW−1, with the gold height of 1.0 µm and the input power of 5.0 W is obtained at the output port, which is shown the potential application for a short range free pace remote charger.

  7. Nickel Electroless Plating: Adhesion Analysis for Mono-Type Crystalline Silicon Solar Cells.

    Science.gov (United States)

    Shin, Eun Gu; Rehman, Atteq ur; Lee, Sang Hee; Lee, Soo Hong

    2015-10-01

    The adhesion of the front electrodes to silicon substrate is the most important parameters to be optimized. Nickel silicide which is formed by sintering process using a silicon substrate improves the mechanical and electrical properties as well as act as diffusion barrier for copper. In this experiment p-type mono-crystalline czochralski (CZ) silicon wafers having resistivity of 1.5 Ω·cm were used to study one step and two step nickel electroless plating process. POCl3 diffusion process was performed to form the emitter with the sheet resistance of 70 ohm/sq. The Six, layer was set down as an antireflection coating (ARC) layer at emitter surface by plasma enhanced chemical vapor deposition (PECVD) process. Laser ablation process was used to open SiNx passivation layer locally for the formation of the front electrodes. Nickel was deposited by electroless plating process by one step and two step nickel electroless deposition process. The two step nickel plating was performed by applying a second nickel deposition step subsequent to the first sintering process. Furthermore, the adhesion analysis for both one step and two steps process was conducted using peel force tester (universal testing machine, H5KT) after depositing Cu contact by light induced plating (LIP).

  8. Low temperature synthesis and field emission characteristics of single to few layered graphene grown using PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Avshish; Khan, Sunny; Zulfequar, M.; Harsh; Husain, Mushahid, E-mail: mush_reslab@rediffmail.com

    2017-04-30

    Highlights: • Graphene was synthesized by PECVD system at a low temperature of 600 °C. • From different characterization techniques, the presence of single and few layered graphene was confirmed. • X-ray diffraction pattern of the graphene showed single crystalline nature of the film. • The as-grown graphene films were observed extremely good field emitters with long term emission current stability. - Abstract: In this work, high-quality graphene has successfully been synthesized on copper (Cu) coated Silicon (Si) substrate at very large-area by plasma enhanced chemical vapor deposition system. This method is low cost and highly effective for synthesizing graphene relatively at low temperature of 600 °C. Electron microscopy images have shown that surface morphology of the grown samples is quite uniform consisting of single layered graphene (SLG) to few layered graphene (FLG). Raman spectra reveal that graphene has been grown with high-quality having negligible defects and the observation of G and G' peaks is also an indicative of stokes phonon energy shift caused due to laser excitation. Scanning probe microscopy image also depicts the synthesis of single to few layered graphene. The field emission characteristics of as-grown graphene samples were studied in a planar diode configuration at room temperature. The graphene samples were observed to be a good field emitter having low turn-on field, higher field amplification factor and long term emission current stability.

  9. Use of porous silicon to minimize oxidation induced stacking fault defects in silicon

    International Nuclear Information System (INIS)

    Shieh, S.Y.; Evans, J.W.

    1992-01-01

    This paper presents methods for minimizing stacking fault defects, generated during oxidation of silicon, include damaging the back of the wafer or depositing poly-silicon on the back. In either case a highly defective structure is created and this is capable of gettering either self-interstitials or impurities which promote nucleation of stacking fault defects. A novel method of minimizing these defects is to form a patch of porous silicon on the back of the wafer by electrochemical etching. Annealing under inert gas prior to oxidation may then result in the necessary gettering. Experiments were carried out in which wafers were subjected to this treatment. Subsequent to oxidation, the wafers were etched to remove oxide and reveal defects. The regions of the wafer adjacent to the porous silicon patch were defect-free, whereas remote regions had defects. Deep level transient spectroscopy has been used to examine the gettering capability of porous silicon, and the paper discusses the mechanism by which the porous silicon getters

  10. Improved amorphous/crystalline silicon interface passivation for heterojunction solar cells by low-temperature chemical vapor deposition and post-annealing treatment.

    Science.gov (United States)

    Wang, Fengyou; Zhang, Xiaodan; Wang, Liguo; Jiang, Yuanjian; Wei, Changchun; Xu, Shengzhi; Zhao, Ying

    2014-10-07

    In this study, hydrogenated amorphous silicon (a-Si:H) thin films are deposited using a radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) system. The Si-H configuration of the a-Si:H/c-Si interface is regulated by optimizing the deposition temperature and post-annealing duration to improve the minority carrier lifetime (τeff) of a commercial Czochralski (Cz) silicon wafer. The mechanism of this improvement involves saturation of the microstructural defects with hydrogen evolved within the a-Si:H films due to the transformation from SiH2 into SiH during the annealing process. The post-annealing temperature is controlled to ∼180 °C so that silicon heterojunction solar cells (SHJ) could be prepared without an additional annealing step. To achieve better performance of the SHJ solar cells, we also optimize the thickness of the a-Si:H passivation layer. Finally, complete SHJ solar cells are fabricated using different temperatures for the a-Si:H film deposition to study the influence of the deposition temperature on the solar cell parameters. For the optimized a-Si:H deposition conditions, an efficiency of 18.41% is achieved on a textured Cz silicon wafer.

  11. Microstructure factor and mechanical and electronic properties of hydrogenated amorphous and nanocrystalline silicon thin-films for microelectromechanical systems applications

    International Nuclear Information System (INIS)

    Mouro, J.; Gualdino, A.; Chu, V.; Conde, J. P.

    2013-01-01

    Thin-film silicon allows the fabrication of MEMS devices at low processing temperatures, compatible with monolithic integration in advanced electronic circuits, on large-area, low-cost, and flexible substrates. The most relevant thin-film properties for applications as MEMS structural layers are the deposition rate, electrical conductivity, and mechanical stress. In this work, n + -type doped hydrogenated amorphous and nanocrystalline silicon thin-films were deposited by RF-PECVD, and the influence of the hydrogen dilution in the reactive mixture, the RF-power coupled to the plasma, the substrate temperature, and the deposition pressure on the structural, electrical, and mechanical properties of the films was studied. Three different types of silicon films were identified, corresponding to three internal structures: (i) porous amorphous silicon, deposited at high rates and presenting tensile mechanical stress and low electrical conductivity, (ii) dense amorphous silicon, deposited at intermediate rates and presenting compressive mechanical stress and higher values of electrical conductivity, and (iii) nanocrystalline silicon, deposited at very low rates and presenting the highest compressive mechanical stress and electrical conductivity. These results show the combinations of electromechanical material properties available in silicon thin-films and thus allow the optimized selection of a thin silicon film for a given MEMS application. Four representative silicon thin-films were chosen to be used as structural material of electrostatically actuated MEMS microresonators fabricated by surface micromachining. The effect of the mechanical stress of the structural layer was observed to have a great impact on the device resonance frequency, quality factor, and actuation force

  12. Microstructure factor and mechanical and electronic properties of hydrogenated amorphous and nanocrystalline silicon thin-films for microelectromechanical systems applications

    Energy Technology Data Exchange (ETDEWEB)

    Mouro, J.; Gualdino, A.; Chu, V. [Instituto de Engenharia de Sistemas e Computadores – Microsistemas e Nanotecnologias (INESC-MN) and IN – Institute of Nanoscience and Nanotechnology, 1000-029 Lisbon (Portugal); Conde, J. P. [Instituto de Engenharia de Sistemas e Computadores – Microsistemas e Nanotecnologias (INESC-MN) and IN – Institute of Nanoscience and Nanotechnology, 1000-029 Lisbon (Portugal); Department of Bioengineering, Instituto Superior Técnico (IST), 1049-001 Lisbon (Portugal)

    2013-11-14

    Thin-film silicon allows the fabrication of MEMS devices at low processing temperatures, compatible with monolithic integration in advanced electronic circuits, on large-area, low-cost, and flexible substrates. The most relevant thin-film properties for applications as MEMS structural layers are the deposition rate, electrical conductivity, and mechanical stress. In this work, n{sup +}-type doped hydrogenated amorphous and nanocrystalline silicon thin-films were deposited by RF-PECVD, and the influence of the hydrogen dilution in the reactive mixture, the RF-power coupled to the plasma, the substrate temperature, and the deposition pressure on the structural, electrical, and mechanical properties of the films was studied. Three different types of silicon films were identified, corresponding to three internal structures: (i) porous amorphous silicon, deposited at high rates and presenting tensile mechanical stress and low electrical conductivity, (ii) dense amorphous silicon, deposited at intermediate rates and presenting compressive mechanical stress and higher values of electrical conductivity, and (iii) nanocrystalline silicon, deposited at very low rates and presenting the highest compressive mechanical stress and electrical conductivity. These results show the combinations of electromechanical material properties available in silicon thin-films and thus allow the optimized selection of a thin silicon film for a given MEMS application. Four representative silicon thin-films were chosen to be used as structural material of electrostatically actuated MEMS microresonators fabricated by surface micromachining. The effect of the mechanical stress of the structural layer was observed to have a great impact on the device resonance frequency, quality factor, and actuation force.

  13. Synthesis and electrochemical properties of Ti-doped DLC films by a hybrid PVD/PECVD process

    Science.gov (United States)

    Jo, Yeong Ju; Zhang, Teng Fei; Son, Myoung Jun; Kim, Kwang Ho

    2018-03-01

    Low electrical conductivity and poor adhesion to metallic substrates are the main drawbacks of diamond-like carbon (DLC) films when used in electrode applications. In this study, Ti-doped DLC films with various Ti contents were synthesized on metal Ti substrates by a hybrid PVD/PECVD process, where PECVD was used for deposition of DLC films and PVD was used for Ti doping. The effects of the Ti doping ratio on the microstructure, adhesion strength, and electrical and electrochemical properties of the DLC films were systematically investigated. An increase in the Ti content led to increased surface roughness and a higher sp2/sp3 ratio of the Ti-DLC films. Ti atoms existed as amorphous-phase Ti carbide when the Ti doping ratio was less than 2.8 at.%, while the nanocrystalline TiC phase was formed in DLC films when the Ti doping ratio was exceeded 4.0 at.%. The adhesion strength, electrical resistivity, electrochemical activity and reversibility of the DLC films were greatly improved by Ti doping. The influence of Ti doping ratio on the electrical and electrochemical properties of the DLC films were also investigated and the best performance was obtained at a Ti content of 2.8 at.%.

  14. Powder free PECVD epitaxial silicon by plasma pulsing or increasing the growth temperature

    Science.gov (United States)

    Chen, Wanghua; Maurice, Jean-Luc; Vanel, Jean-Charles; Cabarrocas, Pere Roca i.

    2018-06-01

    Crystalline silicon thin films are promising candidates for low cost and flexible photovoltaics. Among various synthesis techniques, epitaxial growth via low temperature plasma-enhanced chemical vapor deposition is an interesting choice because of two low temperature related benefits: low thermal budget and better doping profile control. However, increasing the growth rate is a tricky issue because the agglomeration of clusters required for epitaxy leads to powder formation in the plasma. In this work, we have measured precisely the time evolution of the self-bias voltage in silane/hydrogen plasmas at millisecond time scale, for different values of the direct-current bias voltage applied to the radio frequency (RF) electrode and growth temperatures. We demonstrate that the decisive factor to increase the epitaxial growth rate, i.e. the inhibition of the agglomeration of plasma-born clusters, can be obtained by decreasing the RF OFF time or increasing the growth temperature. The influence of these two parameters on the growth rate and epitaxial film quality is also presented.

  15. A solid-state nuclear magnetic resonance study of post-plasma reactions in organosilicone microwave plasma-enhanced chemical vapor deposition (PECVD) coatings.

    Science.gov (United States)

    Hall, Colin J; Ponnusamy, Thirunavukkarasu; Murphy, Peter J; Lindberg, Mats; Antzutkin, Oleg N; Griesser, Hans J

    2014-06-11

    Plasma-polymerized organosilicone coatings can be used to impart abrasion resistance and barrier properties to plastic substrates such as polycarbonate. Coating rates suitable for industrial-scale deposition, up to 100 nm/s, can be achieved through the use of microwave plasma-enhanced chemical vapor deposition (PECVD), with optimal process vapors such as tetramethyldisiloxane (TMDSO) and oxygen. However, it has been found that under certain deposition conditions, such coatings are subject to post-plasma changes; crazing or cracking can occur anytime from days to months after deposition. To understand the cause of the crazing and its dependence on processing plasma parameters, the effects of post-plasma reactions on the chemical bonding structure of coatings deposited with varying TMDSO-to-O2 ratios was studied with (29)Si and (13)C solid-state magic angle spinning nuclear magnetic resonance (MAS NMR) using both single-pulse and cross-polarization techniques. The coatings showed complex chemical compositions significantly altered from the parent monomer. (29)Si MAS NMR spectra revealed four main groups of resonance lines, which correspond to four siloxane moieties (i.e., mono (M), di (D), tri (T), and quaternary (Q)) and how they are bound to oxygen. Quantitative measurements showed that the ratio of TMDSO to oxygen could shift the chemical structure of the coating from 39% to 55% in Q-type bonds and from 28% to 16% for D-type bonds. Post-plasma reactions were found to produce changes in relative intensities of (29)Si resonance lines. The NMR data were complemented by Fourier transform infrared (FTIR) spectroscopy. Together, these techniques have shown that the bonding environment of Si is drastically altered by varying the TMDSO-to-O2 ratio during PECVD, and that post-plasma reactions increase the cross-link density of the silicon-oxygen network. It appears that Si-H and Si-OH chemical groups are the most susceptible to post-plasma reactions. Coatings produced at a

  16. Epitaxial growth of silicon and germanium on (100-oriented crystalline substrates by RF PECVD at 175 °C

    Directory of Open Access Journals (Sweden)

    Mauguin O.

    2012-11-01

    Full Text Available We report on the epitaxial growth of crystalline Si and Ge thin films by standard radio frequency plasma enhanced chemical vapor deposition at 175 °C on (100-oriented silicon substrates. We also demonstrate the epitaxial growth of silicon films on epitaxially grown germanium layers so that multilayer samples sustaining epitaxy could be produced. We used spectroscopic ellipsometry, Raman spectroscopy, transmission electron microscopy and X-ray diffraction to characterize the structure of the films (amorphous, crystalline. These techniques were found to provide consistent results and provided information on the crystallinity and constraints in such lattice-mismatched structures. These results open the way to multiple quantum-well structures, which have been so far limited to few techniques such as Molecular Beam Epitaxy or MetalOrganic Chemical Vapor Deposition.

  17. Elaboration of TiO2 films by PECVD for use in photocatalysis

    International Nuclear Information System (INIS)

    Di Lalla, N; Lasorsa, C; Pineda Ramos, P

    2012-01-01

    We present the first results in the production of films of titanium dioxide (TiO 2 ) deposited by Plasma enhanced chemical vapor deposition (PECVD). The films are destined for use in photocatalysis for water treatment. The deposits were made on glass from titanium isopropoxide as precursor (Ti[OCH(CH 3 ) 2 ]4) and a controlled flow of O 2 . The films were grown at room temperature and 300 o C to compare properties. The characterization of the deposits was performed using scanning electron microscopy, UV-visible transmittance and infrared absorbance. The deposits were obtained with very good adhesion to substrates showing energy values of band gap of 2.83 eV

  18. Chemical and structural properties of polymorphous silicon thin films grown from dichlorosilane

    Energy Technology Data Exchange (ETDEWEB)

    Álvarez-Macías, C.; Monroy, B.M.; Huerta, L.; Canseco-Martínez, M.A. [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, A.P. 70-360, Coyoacán, C.P. 04510 México, D.F. (Mexico); Picquart, M. [Departamento de Física, Universidad Autónoma Metropolitana, Iztapalapa, A.P. 55-534, 09340 México, D.F. (Mexico); Santoyo-Salazar, J. [Departamento de Física, CINVESTAV-IPN, A.P. 14-740, C.P. 07000 México, D.F. (Mexico); Sánchez, M.F. García [Unidad Profesional Interdisciplinaria en Ingeniería y Tecnologías Avanzadas, Instituto Politécnico Nacional, Av. I.P.N. 2580, Gustavo A. Madero, 07340 México .D.F. (Mexico); Santana, G., E-mail: gsantana@iim.unam.mx [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, A.P. 70-360, Coyoacán, C.P. 04510 México, D.F. (Mexico)

    2013-11-15

    We have examined the effects of hydrogen dilution (R{sub H}) and deposition pressure on the morphological, structural and chemical properties of polymorphous silicon thin films (pm-Si:H), using dichlorosilane as silicon precursor in the plasma enhanced chemical vapor deposition (PECVD) process. The use of silicon chlorinated precursors enhances the crystallization process in as grown pm-Si:H samples, obtaining crystalline fractions from Raman spectra in the range of 65–95%. Atomic Force Microscopy results show the morphological differences obtained when the chlorine chemistry dominates the growth process and when the plasma–surface interactions become more prominent. Augmenting R{sub H} causes a considerable reduction in both roughness and topography, demonstrating an enhancement of ion bombardment and attack of the growing surface. X-ray Photoelectron Spectroscopy results show that, after ambient exposure, there is low concentration of oxygen inside the films grown at low R{sub H}, present in the form of Si-O, which can be considered as structural defects. Instead, oxidation increases with deposition pressure and dilution, along with film porosity, generating a secondary SiO{sub x} phase. For higher pressure and dilution, the amount of chlorine incorporated to the film decreases congruently with HCl chlorine extraction processes involving atomic hydrogen interactions with the surface. In all cases, weak silicon hydride (Si-H) bonds were not detected by infrared spectroscopy, while bonding configurations associated to the silicon nanocrystal surface were clearly observed. Since these films are generally used in photovoltaic devices, analyzing their chemical and structural properties such as oxygen incorporation to the films, along with chlorine and hydrogen, is fundamental in order to understand and optimize their electrical and optical properties.

  19. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  20. Design and fabrication of ultrathin silicon-nitride membranes for use in UV-visible airgap-based MEMS optical filters

    International Nuclear Information System (INIS)

    Ghaderi, Mohammadamir; Wolffenbuttel, Reinoud F.

    2016-01-01

    MEMS-based airgap optical filters are composed of quarter-wave thick high-index dielectric membranes that are separated by airgaps. The main challenge in the fabrication of these filters is the intertwined optical and mechanical requirements. The thickness of the layers decreases with design wavelength, which makes the optical performance in the UV more susceptible to fabrication tolerances, such as thickness and composition of the deposited layers, while the ability to sustain a certain level of residual stress by the structural strength becomes more critical. Silicon-nitride has a comparatively high Young's modulus and good optical properties, which makes it a suitable candidate as the membrane material. However, both the mechanical and optical properties in a silicon-nitride film strongly depend on the specifics of the deposition process. A design trade-off is required between the mechanical strength and the index of refraction, by tuning the silicon content in the silicon-nitride film. However, also the benefit of a high index of refraction in a silicon-rich film should be weighed against the increased UV optical absorption. This work presents the design, fabrication, and preliminary characterization of one and three quarter-wave thick silicon-nitride membranes with a one-quarter airgap and designed to give a spectral reflectance at 400 nm. The PECVD silicon-nitride layers were initially characterized, and the data was used for the optical and mechanical design of the airgap filters. A CMOS compatible process based on polysilicon sacrificial layers was used for the fabrication of the membranes. Optical characterization results are presented. (paper)

  1. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) yields better Hydrolytical Stability of Biocompatible SiOx Thin Films on Implant Alumina Ceramics compared to Rapid Thermal Evaporation Physical Vapor Deposition (PVD).

    Science.gov (United States)

    Böke, Frederik; Giner, Ignacio; Keller, Adrian; Grundmeier, Guido; Fischer, Horst

    2016-07-20

    Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma-optical emission spectroscopy (ICP-OES), and tensile strength tests. Differences in surface functionalization were investigated via two model organosilanes as well as the cell-cytotoxicity and viability on murine fibroblasts and human mesenchymal stromal cells (hMSC). We found that both SiOx interfaces did not affect the cell viability of both cell types. No significant differences between both films with regard to their interfacial tensile strength were detected, although failure mode analyses revealed a higher interfacial stability of the PE-CVD films compared to the PVD films. Twenty-eight day exposure to simulated body fluid (SBF) at 37 °C revealed a partial delamination of the thermally deposited PVD films whereas the PE-CVD films stayed largely intact. SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina. However, PE-CVD appears to be favorable for long-term direct film exposure to aqueous

  2. Silicon Nitride Deposition for Flexible Organic Electronic Devices by VHF (162 MHz)-PECVD Using a Multi-Tile Push-Pull Plasma Source.

    Science.gov (United States)

    Kim, Ki Seok; Kim, Ki Hyun; Ji, You Jin; Park, Jin Woo; Shin, Jae Hee; Ellingboe, Albert Rogers; Yeom, Geun Young

    2017-10-19

    Depositing a barrier film for moisture protection without damage at a low temperature is one of the most important steps for organic-based electronic devices. In this study, the authors investigated depositing thin, high-quality SiN x film on organic-based electronic devices, specifically, very high-frequency (162 MHz) plasma-enhanced chemical vapor deposition (VHF-PECVD) using a multi-tile push-pull plasma source with a gas mixture of NH 3 /SiH 4 at a low temperature of 80 °C. The thin deposited SiN x film exhibited excellent properties in the stoichiometry, chemical bonding, stress, and step coverage. Thin film quality and plasma damage were investigated by the water vapor transmission rate (WVTR) and by electrical characteristics of organic light-emitting diode (OLED) devices deposited with SiN x , respectively. The thin deposited SiN x film exhibited a low WVTR of 4.39 × 10 -4  g (m 2 · day) -1 for a single thin (430 nm thick) film SiN x and the electrical characteristics of OLED devices before and after the thin SiN x film deposition on the devices did not change, which indicated no electrical damage during the deposition of SiN x on the OLED device.

  3. Solar cell of 6.3% efficiency employing high deposition rate (8 nm/s) microcrystalline silicon photovoltaic layer

    Energy Technology Data Exchange (ETDEWEB)

    Sobajima, Yasushi; Nishino, Mitsutoshi; Fukumori, Taiga; Kurihara, Masanori; Higuchi, Takuya; Nakano, Shinya; Toyama, Toshihiko; Okamoto, Hiroaki [Department of Systems Innovation, Graduate School of Engineering Science, Osaka University, Toyonaka, Machikaneyama-cho 1-3, Osaka 560-8531 (Japan)

    2009-06-15

    Microcrystalline silicon ({mu}c-Si) films deposited at high growth rates up to 8.1 nm/s prepared by very-high-frequency-plasma-enhanced chemical vapor deposition (VHF-PECVD) at 18-24 Torr have been investigated. The relation between the deposition rates and input power revealed the depletion of silane. Under high-pressure deposition (HPD) conditions, the structural properties were improved. Furthermore, applying {mu}c-Si to n-i-p solar cells, short-circuit current density (J{sub SC}) was increased in accordance with the improvement of microstructure of i-layer. As a result, a conversion efficiency of 6.30% has been achieved employing the i-layer deposited at 8.1 nm/s under the HPD conditions. (author)

  4. Embedded layer of Ag nanoparticles prepared by a combined PECVD/PVD process producing SiOxCy-Ag nanocomposite thin films.

    Science.gov (United States)

    Bedel, Laurent; Cayron, Cyril; Jouve, Michel; Maury, Francis

    2012-01-13

    Structural properties of SiO(x)C(y)-Ag nanocomposite thin films prepared by a dual process PVD-PECVD in the same reactor have been investigated. The experimental results have demonstrated the influence of a PECVD process carried out at room temperature for the growth of a dielectric matrix on the size and the distribution density of Ag nanoparticles (NPs) deposited beforehand by magnetron sputtering. The plasma during the growth of the encapsulation SiO(x)C(y) layer caused a diffusion of silver from NPs through the SiO(x)C(y) matrix associated with a decrease in the average size of nanoparticles and an increase of their distribution density. Silver diffusion is blocked at a barrier interface to form a buried layer of individual Ag NPs which, for instance, can find plasmonic applications. Silver also diffuses toward the outer surface inducing antibacterial properties. In both cases initial Ag NPs act as reservoirs for multifunctional properties of advanced nanostructured films.

  5. Effect of the stoichiometry of Si-rich silicon nitride thin films on their photoluminescence and structural properties

    Energy Technology Data Exchange (ETDEWEB)

    Torchynska, T.V., E-mail: ttorch@esfm.ipn.mx [ESFM—Instituto Politecnico Nacional, Mexico DF 07738 (Mexico); Casas Espinola, J.L. [ESFM—Instituto Politecnico Nacional, Mexico DF 07738 (Mexico); Vergara Hernandez, E. [UPIITA—Instituto Politecnico Nacional, Mexico DF 07320 (Mexico); Khomenkova, L., E-mail: khomen@ukr.net [V. Lashkaryov Institute of Semiconductor Physics, 45 Pr. Nauky, 03028 Kyiv (Ukraine); Delachat, F.; Slaoui, A. [ICube, 23 rue du Loess, BP 20 CR, 67037 Strasbourg Cedex 2 (France)

    2015-04-30

    Si-rich Silicon nitride films were grown on silicon substrates by plasma enhanced chemical vapor deposition. The film stoichiometry was controlled via the variation of NH{sub 3}/SiH{sub 4} ratio from 0.45 up to 1.0. Thermal annealing at 1100 °C for 30 min in the nitrogen flow was applied to form the Si nanocrystals in the films that have been investigated by means of photoluminescence and Raman scattering methods, as well as transmission electron microscopy. Several emission bands have been detected with the peak positions at: 2.8–3.0 eV, 2.5–2.7 eV, 2.10–2.25 eV, and 1.75–1.98 eV. The temperature dependences of photoluminescence spectra were studied with the aim to confirm the types of optical transitions and the nature of light emitting defects in silicon nitride. The former three bands were assigned to the defects in silicon nitride, whereas the last one (1.75–1.98 eV) was attributed to the exciton recombination inside of Si nanocrystals. The photoluminescence mechanism is discussed. - Highlights: • Substoichiometric silicon nitride films were grown by PECVD technique. • The variation of the NH{sub 3}/SiH{sub 4} ratio controls excess Si content in the films. • Both Si nanocrystals and amorphous Si phase were observed in annealed films. • Temperature evolution of carrier recombination via Si nanocrystals and host defects.

  6. Preparation of SiC thin films by ion beam technology and PECVD

    International Nuclear Information System (INIS)

    Chen Changqing; Ren Congxin; Yang Lixin; Yan Jinlong; Zheng Zhihong; Zhou Zuyao; Chen Ping; Liu Xianghuai; Chen Xueliang

    1998-01-01

    The formation of β-SiC buried layers in p-type Si by ion beam methods is reported and a comparison of the results obtained under different experimental conditions is made. The preparation of amorphous SiC thin films by IBED is presented and the enhanced deposition of Xe + is found superior to that of Ar + . The work of synthesizing hydrogenated amorphous SiC films by RIBS and RIBAD is described with a discussion on the dependence of some physical parameters on the partial pressure ratio pCH 4 /pAr. Finally given is a brief introduction to a high quality α-SiC:H film which is prepared by PECVD and can exhibit green luminescence at room temperature

  7. Optimization of Recombination Layer in the Tunnel Junction of Amorphous Silicon Thin-Film Tandem Solar Cells

    Directory of Open Access Journals (Sweden)

    Yang-Shin Lin

    2011-01-01

    Full Text Available The amorphous silicon/amorphous silicon (a-Si/a-Si tandem solar cells have attracted much attention in recent years, due to the high efficiency and low manufacturing cost compared to the single-junction a-Si solar cells. In this paper, the tandem cells are fabricated by high-frequency plasma-enhanced chemical vapor deposition (HF-PECVD at 27.1 MHz. The effects of the recombination layer and the i-layer thickness matching on the cell performance have been investigated. The results show that the tandem cell with a p+ recombination layer and i2/i1 thickness ratio of 6 exhibits a maximum efficiency of 9.0% with the open-circuit voltage (Voc of 1.59 V, short-circuit current density (Jsc of 7.96 mA/cm2, and a fill factor (FF of 0.70. After light-soaking test, our a-Si/a-Si tandem cell with p+ recombination layer shows the excellent stability and the stabilized efficiency of 8.7%.

  8. High-pressure condition of SiH{sub 4}+Ar+H{sub 2} plasma for deposition of hydrogenated nanocrystalline silicon film

    Energy Technology Data Exchange (ETDEWEB)

    Parashar, A.; Kumar, Sushil; Dixit, P.N.; Gope, Jhuma; Rauthan, C.M.S. [Plasma Processed Materials Group, National Physical Laboratory, Dr. K.S. Krishnan Road, New Delhi 110012 (India); Hashmi, S.A. [Department of Physics and Astro Physics, University of Delhi, Delhi 110007 (India)

    2008-10-15

    The characteristics of 13.56-MHz discharged SiH{sub 4}+Ar+H{sub 2} plasma at high pressure (2-8 Torr), used for the deposition of hydrogenated nanocrystalline silicon (nc-Si:H) films in a capacitively coupled symmetric PECVD system, has been investigated. Plasma parameters such as average electron density, sheath field and bulk field are extracted from equivalent circuit model of the plasma using outputs (current, voltage and phase) of RF V-I probe under different pressure conditions. The conditions of growth in terms of plasma parameters are correlated with properties of the hydrogenated nanocrystalline silicon films characterized by Raman, AFM and dc conductivity. The film deposited at 4 Torr of pressure, where relatively low sheath/bulk field ratio is observed, exhibits high crystallinity and conductivity. The crystalline volume fraction of the films estimated from the Raman spectra is found to vary from 23% to 79%, and the trend of variation is similar to the RF real plasma impedance data. (author)

  9. Preparation of copper doped DLC films by DC PE-CVD method

    International Nuclear Information System (INIS)

    Marton, M.; Vojs, M.; Kotlar, M.; Michniak, P.; Flickyngerova, S.; Vesely, M.; Redhammer, R.

    2012-01-01

    We used PECVD method for deposition of Cu incorporated DLC thin films from CH 4 /Ar gas mixture. The size of nanoparticles varied with changing the deposition conditions in the range of tenth to hundreds of nm. After annealing process, new small Cu particles appeared in the space between the as deposited ones, and all the particles were distributed more homogenous within the films. The resistivity of the DLC films decreased first with adding of copper to 10 to 6·10 3 Ωcm, and second with the annealing process to 4·10 -2 to 3 Ωcm. Raman spectra show the tendency of DLCs to become more graphitic with increasing annealing temperature, which may be one possible contribution to increased conductivity of the annealed Cu-DLC films. (authors)

  10. Influence of the processing conditions on the structural properties of ZnO layers obtained by PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Kitova, S; Danev, G, E-mail: skitova@clf.bas.b [Institute of Optical Materials and Technology ' Acad. J. Malinowski' , Bulgarian Academy of Sciences, Acad. G. Bonchev str., bl.109, 1113 Sofia (Bulgaria)

    2010-11-01

    The plasma enhanced chemical vapor deposition (PECVD) is a powerful and flexible instrument for depositing thin layers, nanocomposites or nanostructures. In this work ZnO layers have been grown by metal-organic PECVD (RF - 13.56 MHz) on Si wafers. Zn acetylacetonate has been used as a precursor and oxygen as oxidant. The influence of the oxygen content in gas mixture, the total pressure, substrate temperature and ZnO seed layer on the structural properties of the layers deposited on Si wafers has been studied. ZnO layer properties were investigated by X-ray diffraction (XRD) and scanning electron microscopy (SEM). XRD data have shown that all layers are crystalline with hexagonal wurtzite structure. The crystallites are preferentially oriented along c-axis direction perpendicular to the substrate surfaces. The results obtained indicate that by controlling the oxygen content in gas mixture, the total pressure and substrate temperature during the film growth one can control the formation of c-axis phase and the crystallite grain size. Nanorods with good alignment, vertically orientated to the substrate surface can be observed in the layers deposited at low content of O{sub 2} in plasma at substrate temperature of 400 {sup o}C. Due to their structural characteristics these layers are potential materials for preparing chemical- and biosensors where inherently large surface to volume ratio of structured materials are important prerequisite for enhanced sensitivity.

  11. Synergy between plasma-assisted ALD and roll-to-roll atmospheric pressure PE-CVD processing of moisture barrier films on polymers

    NARCIS (Netherlands)

    Starostin, S.A.; Keuning, W.; Schalken, J.R.G.; Creatore, M.; Kessels, W.M.M.; Bouwstra, J.B.; Sanden, van de M.C.M.; Vries, de H.W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  12. Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers

    NARCIS (Netherlands)

    Starostin, S. A.; Keuning, W.; Schalken, J.; Creatore, M.; Kessels, W. M. M.; Bouwstra, J. B.; van de Sanden, M. C. M.; de Vries, H. W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  13. Morphology and Structural Characterization of Carbon Nanowalls Grown via VHF-PECVD

    Science.gov (United States)

    Akmal Hasanudin, M.; Wahab, Y.; Ismail, A. K.; Zahid Jamal, Z. A.

    2018-03-01

    A 150 MHz very high frequency plasma enhanced chemical vapor deposition (150 MHz VHF-PECVD) system was utilized to fabricate two-dimensional carbon nanostructure from the mixture of methane and hydrogen. Morphology and structural properties of the grown nanostructure were investigated by FESEM imaging and Raman spectroscopy. Carbon nanowalls (CNW) with dense and wavy-like structure were successfully synthesized. The wavy-like morphology of CNW was found to be more distinct during growth at small electrode spacing and denser with increasing deposition time due to better flux of hydrocarbon radicals to the substrate and higher rate of reaction, respectively. Typical characteristics of CNW were observed from strong D band, narrow bandwidth of G band and single broad peak of 2D band of Raman spectra indicating the presence of disordered nanocrystalline graphite structure with high degree of graphitization.

  14. Gas phase considerations for the deposition of thin film silicon solar cells by VHF-PECVD at low substrate temperatures

    NARCIS (Netherlands)

    Rath, J.K.; Verkerk, A.D.; Brinza, M.; Schropp, R.E.I.; Goedheer, W.J.; Krzhizhanovskaya, V.V.; Gorbachev, Y.E.; Orlov, K.E.; Khilkevitch, E.M.; Smirnov, A.S.

    2008-01-01

    Fabrication of thin film silicon solar cells on cheap plastics or paper-like substrate requires deposition process at very low substrate temperature, typically ≤ 100 °C. In a chemical vapor deposition process, low growth temperatures lead to materials with low density, high porosity, high disorder

  15. Confirming the key role of Ar+ ion bombardment in the growth feature of nanostructured carbon materials by PECVD

    Science.gov (United States)

    Liu, Yulin; Lin, Jinghuang; Jia, Henan; Chen, Shulin; Qi, Junlei; Qu, Chaoqun; Cao, Jian; Feng, Jicai; Fei, Weidong

    2017-11-01

    In order to confirm the key role of Ar+ ion bombardment in the growth feature of nanostructured carbon materials (NCMs), here we report a novel strategy to create different Ar+ ion states in situ in plasma enhanced chemical vapor deposition (PECVD) by separating catalyst film from the substrate. Different bombardment environments on either side of the catalyst film were created simultaneously to achieve multi-layered structural NCMs. Results showed that Ar+ ion bombardment is crucial and complex for the growth of NCMs. Firstly, Ar+ ion bombardment has both positive and negative effects on carbon nanotubes (CNTs). On one hand, Ar+ ions can break up the graphic structure of CNTs and suppress thin CNT nucleation and growth. On the other hand, Ar+ ion bombardment can remove redundant carbon layers on the surface of large catalyst particles which is essential for thick CNTs. As a result, the diameter of the CNTs depends on the Ar+ ion state. As for vertically oriented few-layer graphene (VFG), Ar+ ions are essential and can even convert the CNTs into VFG. Therefore, by combining with the catalyst separation method, specific or multi-layered structural NCMs can be obtained by PECVD only by changing the intensity of Ar+ ion bombardment, and these special NCMs are promising in many fields.

  16. Confirming the key role of Ar+ ion bombardment in the growth feature of nanostructured carbon materials by PECVD.

    Science.gov (United States)

    Liu, Yulin; Lin, Jinghuang; Jia, Henan; Chen, Shulin; Qi, Junlei; Qu, Chaoqun; Cao, Jian; Feng, Jicai; Fei, Weidong

    2017-11-24

    In order to confirm the key role of Ar + ion bombardment in the growth feature of nanostructured carbon materials (NCMs), here we report a novel strategy to create different Ar + ion states in situ in plasma enhanced chemical vapor deposition (PECVD) by separating catalyst film from the substrate. Different bombardment environments on either side of the catalyst film were created simultaneously to achieve multi-layered structural NCMs. Results showed that Ar + ion bombardment is crucial and complex for the growth of NCMs. Firstly, Ar + ion bombardment has both positive and negative effects on carbon nanotubes (CNTs). On one hand, Ar + ions can break up the graphic structure of CNTs and suppress thin CNT nucleation and growth. On the other hand, Ar + ion bombardment can remove redundant carbon layers on the surface of large catalyst particles which is essential for thick CNTs. As a result, the diameter of the CNTs depends on the Ar + ion state. As for vertically oriented few-layer graphene (VFG), Ar + ions are essential and can even convert the CNTs into VFG. Therefore, by combining with the catalyst separation method, specific or multi-layered structural NCMs can be obtained by PECVD only by changing the intensity of Ar + ion bombardment, and these special NCMs are promising in many fields.

  17. Remote nuclear screening system for hostile environments

    International Nuclear Information System (INIS)

    Addleman, R.S.; Keele, B.D.

    1996-01-01

    A remote measurement system has been constructed for in situ gamma and beta isotopic characterization of highly radioactive nuclear material in hostile environments. A small collimated, planar CdZnTe detector is used for gamma-ray spectroscopy. Spectral resolution of 2% full width at half maximum at 662 kiloelectronvolts has been obtained remotely using rise time compensation and limited pulse shape discrimination, Isotopc measurement of high-energy beta emitters was accomplished with a ruggedized, deeply depleted, surface barrier silicon dictator. The primary function of the remote nuclear screening system is to provide fast qualitative and quantitative isotopic assessment of high-level radioactive material

  18. Physical properties of P and B doped microcrystalline SI:H deposited by PECVD

    International Nuclear Information System (INIS)

    Rubino, A.; Addonizio, M.L.; Conte, G.; Nobile, G.; Terzini, E.

    1993-01-01

    Boron and phosphorus doped high conductivity microcrystalline thin films were deposited in a PECVD reactor. This paper reports conductivities as high as 3 and 41 S/cm for B and P doped materials respectively on films deposited at 210 degrees C. The conductivity, as well as, the microcrystalline fraction increase for the n layer with decreasing RF power, while, for the p material, an increase of power is needed to improve the film characteristics. The conductivity prefactor, as well as, the conductivity itself as a function of the activation energy show a slope inversion for both n and p materials at an activation energy of about 40 meV and 80 meV respectively. Different possible transport mechanisms are examined in order to explain the experimental data

  19. Investigation of functionalized silicon nanowires by self-assembled monolayer

    Energy Technology Data Exchange (ETDEWEB)

    Hemed, Nofar Mintz [Dept. of Physical Electronics, Eng. Faculty, and the University Res. Inst. for Nano Science and Nano-Technologies, Tel-Aviv University, Ramat-Aviv 69978 (Israel); Convertino, Annalisa [Istituto per la Microelettronica e i Microsistemi C.N.R.-Area della Ricerca di Roma, via del Fosso del Cavaliere 100, I-00133 Roma (Italy); Shacham-Diamand, Yosi [Dept. of Physical Electronics, Eng. Faculty, and the University Res. Inst. for Nano Science and Nano-Technologies, Tel-Aviv University, Ramat-Aviv 69978 (Israel); The Department of Applied Chemistry, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan)

    2016-03-30

    Graphical abstract: - Highlights: • We characterize and verify the existence of self-assembled monolayer (SAM) on silicon nanowires and α-Si:H. • We define the term “electrical coverage” and find the formula for both cases. • The SAM's electrical coverage on silicon nanowires is found to be ∼63%. • The SAM's electrical coverage on α-Si:H is found to be ∼65 ± 3%. • The amount of SAM on the SiNWs is sufficient and it can serve as a linker to biological molecules. - Abstract: The functionalization using self assembled monolayer (SAM) of silicon nanowires (SiNW) fabricated by plasma enhanced chemical vapor deposition (PECVD) is reported here. The SAM is being utilized as the first building block in the functionalization process. The morphology of the SiNW comprises a polycrystalline core wrapped by an hydrogenated amorphous silicon (α-Si:H) shell. Since most of the available methods for SAM verification and characterization are suitable only for flat substrates; therefore, in addition to the SiNW α-Si:H on flat samples were produced in the same system as the SiNWs. First we confirmed the SAM's presence on the flat α-Si:H samples using the following methods: contact angle measurement to determine the change in surface energy; atomic force microscopy (AFM) to determine uniformity and molecular coverage. Spectroscopic ellipsometry and X-ray reflectivity (XRR) were performed to measure SAM layer thickness and density. X-ray photoelectron spectroscopy (XPS) was applied to study the chemical states of the surface. Next, SiNW/SAM were tested by electrochemical impedance spectroscopy (EIS), and the results were compared to α-Si:H/SAM. The SAM electrical coverage on SiNW and α-Si:H was found to be ∼37% and ∼65 ± 3%, respectively. A model, based on transmission line theory for the nanowires is presented to explain the disparity in results between the nanowires and flat surface of the same materials.

  20. Hydrogenated Nano-/Micro-Crystalline Silicon Thin-Films for Thermoelectrics

    Science.gov (United States)

    Acosta, E.; Wight, N. M.; Smirnov, V.; Buckman, J.; Bennett, N. S.

    2018-06-01

    Thermoelectric technology has not yet been able to reach full-scale market penetration partly because most commercial materials employed are scarce/costly, environmentally unfriendly and in addition provide low conversion efficiency. The necessity to tackle some of these hurdles leads us to investigate the suitability of n-type hydrogenated microcrystalline silicon (μc-Si: H) in the fabrication of thermoelectric devices, produced by plasma enhanced chemical vapour deposition (PECVD), which is a mature process of proven scalability. This study reports an approach to optimise the thermoelectric power factor (PF) by varying the dopant concentration by means of post-annealing without impacting film morphology, at least for temperatures below 550°C. Results show an improvement in PF of more than 80%, which is driven by a noticeable increase of carrier mobility and Seebeck coefficient in spite of a reduction in carrier concentration. A PF of 2.08 × 10-4 W/mK2 at room temperature is reported for n-type films of 1 μm thickness, which is in line with the best values reported in recent literature for similar structures.

  1. Hydrogenated Nano-/Micro-Crystalline Silicon Thin-Films for Thermoelectrics

    Science.gov (United States)

    Acosta, E.; Wight, N. M.; Smirnov, V.; Buckman, J.; Bennett, N. S.

    2017-11-01

    Thermoelectric technology has not yet been able to reach full-scale market penetration partly because most commercial materials employed are scarce/costly, environmentally unfriendly and in addition provide low conversion efficiency. The necessity to tackle some of these hurdles leads us to investigate the suitability of n-type hydrogenated microcrystalline silicon (μc-Si: H) in the fabrication of thermoelectric devices, produced by plasma enhanced chemical vapour deposition (PECVD), which is a mature process of proven scalability. This study reports an approach to optimise the thermoelectric power factor (PF) by varying the dopant concentration by means of post-annealing without impacting film morphology, at least for temperatures below 550°C. Results show an improvement in PF of more than 80%, which is driven by a noticeable increase of carrier mobility and Seebeck coefficient in spite of a reduction in carrier concentration. A PF of 2.08 × 10-4 W/mK2 at room temperature is reported for n-type films of 1 μm thickness, which is in line with the best values reported in recent literature for similar structures.

  2. Barrier layer arrangement for conductive layers on silicon substrates

    International Nuclear Information System (INIS)

    Hung, L.S.; Agostinelli, J.A.

    1990-01-01

    This patent describes a circuit element comprised of a silicon substrate and a conductive layer located on the substrate. It is characterized in that the conductive layer consists essentially of a rare earth alkaline earth copper oxide and a barrier layer triad is interposed between the silicon substrate and the conductive layer comprised of a first triad layer located adjacent the silicon substrate consisting essentially of silica, a third triad layer remote from the silicon substrate consisting essentially of a least one Group 4 heavy metal oxide, and a second triad layer interposed between the first and third triad layers consisting essentially of a mixture of silica and at lease one Group 4 heavy metal oxide

  3. Bulletin of Materials Science | Indian Academy of Sciences

    Indian Academy of Sciences (India)

    Keywords. Silicon; PECVD; passivation; AFM; H3PO4 etch. Abstract. In this study, we have improved electrical characteristics such as the efficiency () and the fill factor (FF) of finished multicrystalline silicon (-Si) solar cells by using a new chemical treatment with a hot phosphoric (H3PO4) acidic solution. These -Si ...

  4. Heterogeneous silicon mesostructures for lipid-supported bioelectric interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Yuanwen; Carvalho-de-Souza, João L.; Wong, Raymond C. S.; Luo, Zhiqiang; Isheim, Dieter; Zuo, Xiaobing; Nicholls, Alan W.; Jung, Il Woong; Yue, Jiping; Liu, Di-Jia; Wang, Yucai; De Andrade, Vincent; Xiao, Xianghui; Navrazhnykh, Luizetta; Weiss, Dara E.; Wu, Xiaoyang; Seidman, David N.; Bezanilla, Francisco; Tian, Bozhi

    2016-06-27

    Silicon-based materials have widespread application as biophysical tools and biomedical devices. Here we introduce a biocompatible and degradable mesostructured form of silicon with multi-scale structural and chemical heterogeneities. The material was synthesized using mesoporous silica as a template through a chemical vapour deposition process. It has an amorphous atomic structure, an ordered nanowire-based framework and random submicrometre voids, and shows an average Young’s modulus that is 2–3 orders of magnitude smaller than that of single-crystalline silicon. In addition, we used the heterogeneous silicon mesostructures to design a lipid-bilayer-supported bioelectric interface that is remotely controlled and temporally transient, and that permits non-genetic and subcellular optical modulation of the electrophysiology dynamics in single dorsal root ganglia neurons. Our findings suggest that the biomimetic expansion of silicon into heterogeneous and deformable forms can open up opportunities in extracellular biomaterial or bioelectric systems.

  5. Single and multijunction silicon based thin film solar cells on a flexible substrate with absorber layers made by hot-wire CVD

    Science.gov (United States)

    Li, Hongbo

    2007-09-01

    With the worldwide growing concern about reliable energy supply and the environmental problems of fossil and nuclear energy production, the need for clean and sustainable energy sources is evident. Solar energy conversion, such as in photovoltaic systems, can play a major role in the urgently needed energy transition in electricity production. Solar cells based on thin film silicon and its alloys are a promising candidate that is capable of fulfilling the fast increasing demand of a reliable solar cell supply. The conventional method to deposit silicon thin films is based on plasma enhanced chemical vapour deposition (PECVD) techniques, which have the disadvantage of increasing film inhomogeneity at a high deposition rate when scaling up for the industrial production. In this thesis, we study the possibility of making high efficiency single and multijunction thin film silicon solar cells with the so-called hot-wire CVD technique, in which no strong electromagnetic field is involved in the deposition. Therefore, the up-scaling for industrial production is straightforward. We report and discuss our findings on the correlation of substrate surface rms roughness and the main output parameter of a solar cell, the open circuit voltage Voc of c-Si:H n i p cells. By considering all the possible reasons that could influence the Voc of such cells, we conclude that the near linear correlation of Voc and substrate surface rms roughness is the result the two most probable reasons: the unintentional doping through the cracks originated near the valleys of the substrate surface due to the in-diffusion of impurities, and the high density electrical defects formed by the collision of columnar silicon structures. Both of them relate to the morphology of substrate surface. Therefore, to have the best cell performance on a rough substrate surface, a good control on the substrate surface morphology is necessary. Another issue influencing the performance of c-Si:H solar cells is the

  6. Correlation between structure and optical properties of Si-based alloys deposited by PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, M.M. [Institute of Inorganic Methodologies and of Plasmas IMIP-CNR and INSTM-UdR Bari via Orabona, 4-70126 Bari (Italy)]. E-mail: michelaria@hotmail.com; Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas IMIP-CNR and INSTM-UdR Bari via Orabona, 4-70126 Bari (Italy); Sacchetti, A. [Institute of Inorganic Methodologies and of Plasmas IMIP-CNR and INSTM-UdR Bari via Orabona, 4-70126 Bari (Italy); Capezzuto, P. [Institute of Inorganic Methodologies and of Plasmas IMIP-CNR and INSTM-UdR Bari via Orabona, 4-70126 Bari (Italy); Bruno, G. [Institute of Inorganic Methodologies and of Plasmas IMIP-CNR and INSTM-UdR Bari via Orabona, 4-70126 Bari (Italy)

    2006-07-26

    Si-based thin films, including {mu}c-Si, Si{sub 1-x}Ge {sub x} and Si{sub 1-x}C {sub x} alloys, have been deposited by plasma enhanced chemical vapor deposition (PECVD) using SiF{sub 4}:H{sub 2}:He, SiF{sub 4}:GeH{sub 4}:H{sub 2} and SiF{sub 4}:CH{sub 4}:H{sub 2} plasmas, respectively. When SiF{sub 4} is used as Si-precursor, it is found that a low flux of CH{sub 4} or GeH{sub 4} results in incorporation of C and Ge in alloys as high as 30%. Correlations between microstructure and optical properties of films are investigated using spectroscopic ellipsometry. The role of fluorine atoms in the growth chemistry and material microstructure is discussed.

  7. Amorphous silicon-based PINIP structure for color sensor

    International Nuclear Information System (INIS)

    Zhang, S.; Raniero, L.; Fortunato, E.; Ferreira, I.; Aguas, H.; Martins, R.

    2005-01-01

    A series of hydrogenated amorphous silicon carbide (a-SiC:H) films was prepared by plasma enhanced chemical vapor deposition (PECVD) technology. The microstructure and photoelectronic properties of the film are investigated by absorption spectra (in the ultraviolet to near-infrared range) and Fourier transform infrared (FTIR) spectra. The results show that good band gap controllability (1.83-3.64 eV) was achieved by adjusting the plasma parameters. In the energy range around 2.1 eV, the a-Si 1-x C x :H films exhibit good photosensitivity, opening the possibility to use this wide band gap material for device application, especially when blue color detectors are concerned. A multilayer device with a stack of glass/TCO(ZnO:Ga)/P(a-SiC:H)/I(a-SiC:H)/N(a-Si:H)/I(a-Si:H)/P(a-Si:H)/Al has been prepared. The devices can detect blue and red colors under different bias voltages. The optimization of the device, especially the film thickness and the band gap offset used to achieve better detectivity, is also done in this work

  8. Characterization of Amorphous Silicon Advanced Materials and PV Devices: Final Technical Report, 15 December 2001--31 January 2005

    Energy Technology Data Exchange (ETDEWEB)

    Taylor, P. C.

    2005-11-01

    The major objectives of this subcontract have been: (1) understand the microscopic properties of the defects that contribute to the Staebler-Wronski effect to eliminate this effect, (2) perform correlated studies on films and devices made by novel techniques, especially those with promise to improve stability or deposition rates, (3) understand the structural, electronic, and optical properties of films of hydrogenated amorphous silicon (a-Si:H) made on the boundary between the amorphous and microcrystalline phases, (4) search for more stable intrinsic layers of a-Si:H, (5) characterize the important defects, impurities, and metastabilities in the bulk and at surfaces and interfaces in a-Si:H films and devices and in important alloy systems, and (6) make state-of-the-art plasma-enhanced chemical vapor deposition (PECVD) devices out of new, advanced materials, when appropriate. All of these goals are highly relevant to improving photovoltaic devices based on a-Si:H and related alloys. With regard to the first objective, we have identified a paired hydrogen site that may be the defect that stabilizes the silicon dangling bonds formed in the Staebler-Wronski effect.

  9. Tensile test of a silicon microstructure fully coated with submicrometer-thick diamond like carbon film using plasma enhanced chemical vapor deposition method

    Science.gov (United States)

    Zhang, Wenlei; Uesugi, Akio; Hirai, Yoshikazu; Tsuchiya, Toshiyuki; Tabata, Osamu

    2017-06-01

    This paper reports the tensile properties of single-crystal silicon (SCS) microstructures fully coated with sub-micrometer thick diamond like carbon (DLC) film using plasma enhanced chemical vapor deposition (PECVD). To minimize the deformations or damages caused by non-uniform coating of DLC, which has high compression residual stress, released SCS specimens with the dimensions of 120 µm long, 4 µm wide, and 5 µm thick were coated from the top and bottom side simultaneously. The thickness of DLC coating is around 150 nm and three different bias voltages were used for deposition. The tensile strength improved from 13.4 to 53.5% with the increasing of negative bias voltage. In addition, the deviation in strength also reduced significantly compared to bare SCS sample.

  10. Fundamental Research and Development for Improved Crystalline Silicon Solar Cells: Final Subcontract Report, March 2002 - July 2006

    Energy Technology Data Exchange (ETDEWEB)

    Rohatgi, A.

    2007-11-01

    This report summarizes the progress made by Georgia Tech in the 2002-2006 period toward high-efficiency, low-cost crystalline silicon solar cells. This program emphasize fundamental and applied research on commercial substrates and manufacturable technologies. A combination of material characterization, device modeling, technology development, and complete cell fabrication were used to accomplish the goals of this program. This report is divided into five sections that summarize our work on i) PECVD SiN-induced defect passivation (Sections 1 and 2); ii) the effect of material inhomogeneity on the performance of mc-Si solar cells (Section 3); iii) a comparison of light-induced degradation in commercially grown Ga- and B-doped Czochralski Si ingots (Section 4); and iv) the understanding of the formation of high-quality thick-film Ag contacts on high sheet-resistance emitters (Section 5).

  11. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  12. Transport mechanisms through PE-CVD coatings: influence of temperature, coating properties and defects on permeation of water vapour

    International Nuclear Information System (INIS)

    Kirchheim, Dennis; Jaritz, Montgomery; Hopmann, Christian; Dahlmann, Rainer; Mitschker, Felix; Awakowicz, Peter; Gebhard, Maximilian; Devi, Anjana; Brochhagen, Markus; Böke, Marc

    2017-01-01

    Gas transport mechanisms through plastics are usually described by the temperature-dependent Arrhenius-model and compositions of several plastic layers are represented by the CLT. When it comes to thin films such as plasma-enhanced chemical vapour deposition (PE-CVD) or plasma-enhanced atomic layer deposition (PE-ALD) coatings on substrates of polymeric material, a universal model is lacking. While existing models describe diffusion through defects, these models presume that permeation does not occur by other means of transport mechanisms. This paper correlates the existing transport models with data from water vapour transmission experiments. (paper)

  13. Extraction of the defect density of states in microcrystalline silicon from experimental results and simulation studies

    International Nuclear Information System (INIS)

    Tibermacine, T.; Ledra, M.; Ouhabab, N.; Merazga, A.

    2015-01-01

    The constant photocurrent method in the ac-mode (ac-CPM) is used to determine the defect density of states (DOS) in hydrogenated microcrystalline silicon (μc-Si:H) prepared by very high frequency plasma-enhanced chemical vapor deposition (VHF-PECVD). The absorption coefficient spectrum (ac-α(hv)), is measured under ac-CPM conditions at 60 Hz. The measured ac-α(hv) is converted by the CPM spectroscopy into a DOS distribution covering a portion in the lower energy range of occupied states. We have found that the density of valence band-tail states falls exponentially towards the gap with a typical band-tail width of 63 meV. Independently, computer simulations of the ac-CPM are developed using a DOS model that is consistent with the measured ac-α(hv) in the present work and a previously measured transient photocurrent (TPC) for the same material. The DOS distribution model suggested by the measurements in the lower and in the upper part of the energy-gap, as well as by the numerical modelling in the middle part of the energy-gap, coincide reasonably well with the real DOS distribution in hydrogenated microcrystalline silicon because the computed ac-α(hv) is found to agree satisfactorily with the measured ac-α(hv). (paper)

  14. Role of SiNx Barrier Layer on the Performances of Polyimide Ga2O3-doped ZnO p-i-n Hydrogenated Amorphous Silicon Thin Film Solar Cells

    Science.gov (United States)

    Wang, Fang-Hsing; Kuo, Hsin-Hui; Yang, Cheng-Fu; Liu, Min-Chu

    2014-01-01

    In this study, silicon nitride (SiNx) thin films were deposited on polyimide (PI) substrates as barrier layers by a plasma enhanced chemical vapor deposition (PECVD) system. The gallium-doped zinc oxide (GZO) thin films were deposited on PI and SiNx/PI substrates at room temperature (RT), 100 and 200 °C by radio frequency (RF) magnetron sputtering. The thicknesses of the GZO and SiNx thin films were controlled at around 160 ± 12 nm and 150 ± 10 nm, respectively. The optimal deposition parameters for the SiNx thin films were a working pressure of 800 × 10−3 Torr, a deposition power of 20 W, a deposition temperature of 200 °C, and gas flowing rates of SiH4 = 20 sccm and NH3 = 210 sccm, respectively. For the GZO/PI and GZO-SiNx/PI structures we had found that the GZO thin films deposited at 100 and 200 °C had higher crystallinity, higher electron mobility, larger carrier concentration, smaller resistivity, and higher optical transmittance ratio. For that, the GZO thin films deposited at 100 and 200 °C on PI and SiNx/PI substrates with thickness of ~000 nm were used to fabricate p-i-n hydrogenated amorphous silicon (α-Si) thin film solar cells. 0.5% HCl solution was used to etch the surfaces of the GZO/PI and GZO-SiNx/PI substrates. Finally, PECVD system was used to deposit α-Si thin film onto the etched surfaces of the GZO/PI and GZO-SiNx/PI substrates to fabricate α-Si thin film solar cells, and the solar cells’ properties were also investigated. We had found that substrates to get the optimally solar cells’ efficiency were 200 °C-deposited GZO-SiNx/PI. PMID:28788494

  15. Role of SiNx Barrier Layer on the Performances of Polyimide Ga2O3-doped ZnO p-i-n Hydrogenated Amorphous Silicon Thin Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Fang-Hsing Wang

    2014-02-01

    Full Text Available In this study, silicon nitride (SiNx thin films were deposited on polyimide (PI substrates as barrier layers by a plasma enhanced chemical vapor deposition (PECVD system. The gallium-doped zinc oxide (GZO thin films were deposited on PI and SiNx/PI substrates at room temperature (RT, 100 and 200 °C by radio frequency (RF magnetron sputtering. The thicknesses of the GZO and SiNx thin films were controlled at around 160 ± 12 nm and 150 ± 10 nm, respectively. The optimal deposition parameters for the SiNx thin films were a working pressure of 800 × 10−3 Torr, a deposition power of 20 W, a deposition temperature of 200 °C, and gas flowing rates of SiH4 = 20 sccm and NH3 = 210 sccm, respectively. For the GZO/PI and GZO-SiNx/PI structures we had found that the GZO thin films deposited at 100 and 200 °C had higher crystallinity, higher electron mobility, larger carrier concentration, smaller resistivity, and higher optical transmittance ratio. For that, the GZO thin films deposited at 100 and 200 °C on PI and SiNx/PI substrates with thickness of ~1000 nm were used to fabricate p-i-n hydrogenated amorphous silicon (α-Si thin film solar cells. 0.5% HCl solution was used to etch the surfaces of the GZO/PI and GZO-SiNx/PI substrates. Finally, PECVD system was used to deposit α-Si thin film onto the etched surfaces of the GZO/PI and GZO-SiNx/PI substrates to fabricate α-Si thin film solar cells, and the solar cells’ properties were also investigated. We had found that substrates to get the optimally solar cells’ efficiency were 200 °C-deposited GZO-SiNx/PI.

  16. Characterization of microcrystalline I-layer for solar cells prepared in low temperature - plastic compatible process

    KAUST Repository

    Sliz, Rafal; Ahnood, Arman; Nathan, Arokia; Myllyla, Risto; Jabbour, Ghassan E.

    2012-01-01

    Microcrystalline silicon (mc-Si) lms deposited using a Plasma Enhanced Chemical Vapour Deposition (PECVD) process constitute an important material for manufacturing low-cost, large-area thin-lm devices, such as solar cells or thin-lm transistors

  17. Influence of the structural and compositional properties of PECVD silicon nitride layers on the passivation of AIGaN/GaN HEMTs

    NARCIS (Netherlands)

    Karouta, F.; Krämer, M.C.J.C.M.; Kwaspen, J.J.M.; Grzegorczyk, A.; Hageman, P.R.; Hoex, B.; Kessels, W.M.M.; Klootwijk, J.H.; Timmering, E.C.; Smit, M.K.; Wang, J.; Shiojima, K.

    2008-01-01

    We have investigated the influence of the structural and compositional properties of silicon nitride layers on the passivation of AlGaN/GaN HEMTs grown on sapphire substrates by assessing their continuous wave (CW) and pulsed current-voltage (I-V) characteristics. We have looked at the effect of

  18. Excellent Silicon Surface Passivation Achieved by Industrial Inductively Coupled Plasma Deposited Hydrogenated Intrinsic Amorphous Silicon Suboxide

    Directory of Open Access Journals (Sweden)

    Jia Ge

    2014-01-01

    Full Text Available We present an alternative method of depositing a high-quality passivation film for heterojunction silicon wafer solar cells, in this paper. The deposition of hydrogenated intrinsic amorphous silicon suboxide is accomplished by decomposing hydrogen, silane, and carbon dioxide in an industrial remote inductively coupled plasma platform. Through the investigation on CO2 partial pressure and process temperature, excellent surface passivation quality and optical properties are achieved. It is found that the hydrogen content in the film is much higher than what is commonly reported in intrinsic amorphous silicon due to oxygen incorporation. The observed slow depletion of hydrogen with increasing temperature greatly enhances its process window as well. The effective lifetime of symmetrically passivated samples under the optimal condition exceeds 4.7 ms on planar n-type Czochralski silicon wafers with a resistivity of 1 Ωcm, which is equivalent to an effective surface recombination velocity of less than 1.7 cms−1 and an implied open-circuit voltage (Voc of 741 mV. A comparison with several high quality passivation schemes for solar cells reveals that the developed inductively coupled plasma deposited films show excellent passivation quality. The excellent optical property and resistance to degradation make it an excellent substitute for industrial heterojunction silicon solar cell production.

  19. Morphology and electronic transport of polycrystalline silicon films deposited by SiF sub 4 /H sub 2 at a substrate temperature of 200 deg. C

    CERN Document Server

    Hazra, S; Ray, S

    2002-01-01

    Undoped and phosphorous doped polycrystalline silicon (poly-Si) films were deposited using a SiF sub 4 /H sub 2 gas mixture at a substrate temperature of 200 deg. C by radio frequency plasma enhanced chemical vapor deposition (rf-PECVD). Fourier transform infrared (FTIR) spectroscopy and x-ray diffraction (XRD) experiments reveal that the present poly-Si films are equivalent to the poly-Si films deposited at high temperature (>600 deg. C). XRD and scanning electron microscope observations show that the crystalline quality of slightly P-doped film is better compared to that of undoped poly-Si films. Phosphorus atom concentration in the slightly P-doped poly-Si film is 5.0x10 sup 1 sup 6 atoms/cm sup 3. Association of a few phosphorous atoms in the silicon matrix enhances crystallization as eutectic-forming metals do. Dark conductivity of slightly P-doped film is 4 orders of magnitude higher, although mobility-lifetime product (eta mu tau) is 2 orders of magnitude lower than that of undoped film. The presence o...

  20. La croissance plasma de nanofils de silicium catalysée par l'étain et l'indium et applications dans les cellules solaires à jonctions radiales.

    OpenAIRE

    O'Donnell , Benedict

    2012-01-01

    In this thesis, disordered arrays of vertical silicon nanowires are used to trap light in PECVD-deposited silicon solar cells. The abrupt surface which they present can absorb light efficiently and be manufactured in the same vacuum run as the other layers of the cell, offering the prospect of dispensing with the additional fabrication steps conventionally required to texture the substrates of thin-film photovoltaics. Drops of liquid tin and indium, designed to catalyze the growth of silicon ...

  1. Surface passivation at low temperature of p- and n-type silicon wafers using a double layer a-Si:H/SiNx:H

    International Nuclear Information System (INIS)

    Focsa, A.; Slaoui, A.; Charifi, H.; Stoquert, J.P.; Roques, S.

    2009-01-01

    Surface passivation of bare silicon or emitter region is of great importance towards high efficiency solar cells. Nowadays, this is usually accomplished by depositing an hydrogenated amorphous silicon nitride (a-SiNx:H) layer on n + p structures that serves also as an excellent antireflection layer. On the other hand, surface passivation of p-type silicon is better assured by an hydrogenated amorphous silicon (a-Si:H) layer but suffers from optical properties. In this paper, we reported the surface passivation of p-type and n-type silicon wafers by using an a-Si:H/SiNx:H double layer formed at low temperature (50-400 deg. C) with ECR-PECVD technique. We first investigated the optical properties (refraction index, reflectance, and absorbance) and structural properties by FTIR (bonds Si-H, N-H) of the deposited films. The hydrogen content in the layers was determined by elastic recoil detection analysis (ERDA). The passivation effect was monitored by measuring the minority carrier effective lifetime vs. different parameters such as deposition temperature and amorphous silicon layer thickness. We have found that a 10-15 nm a-Si film with an 86 nm thick SiN layer provides an optimum of the minority carriers' lifetime. It increases from an initial value of about 50-70 μs for a-Si:H to about 760 and 800 μs for a-Si:H/SiNx:H on Cz-pSi and FZ-nSi, respectively, at an injection level 2 x 10 15 cm -3 . The effective surface recombination velocity, S eff , for passivated double layer on n-type FZ Si reached 11 cm/s and for FZ-pSi-14 cm/s, and for Cz-pSi-16-20 cm/s. Effect of hydrogen in the passivation process is discussed.

  2. Preparation of hydrogenated amorphous silicon and its characterization by transient photoconductivity

    International Nuclear Information System (INIS)

    Walker, C.M.

    1992-01-01

    Hydrogenated amorphous silicon (a-Si:H) is a semiconductor material that has generated recent widespread interest because of its low manufacturing and processing costs compared with other semiconducting materials. The performance of devices incorporating a-Si:H depends to a large extent on the photoresponse of the a-Si:H. The work in this thesis involves the construction of an a-Si:H plasma-enhanced chemical vapor deposition (PECVD) system, characterization of the quality of the a-Si:H produced by this system, and measurement of the transient photoconductivity n response to pulses of laser illumination with different durations. The relationship of the design of the PECVD system to the quality of the a-Si:H is treated, emphasizing the features included in the system to reduce the incorporation of defects in the a-Si:H layers. These features include an ultra-high-vacuum deposition chamber, a load-lock chamber enabling samples to be loaded under vacuum, and an electrode assembly designed to produce a uniform electric field for decomposing the reactant gases. The quality of the A-Si:H films is examined. The dark conductivity activation energy, optical absorption, and photoconductivity are measured to characterize intrinsic, p-doped, and n-doped a-Si:H layers. The current vs. voltage characteristics under illuminated and dark conditions, and the quantum efficiency are measured on a-Si:H p-i-n diodes made in our system, and the results show that these diodes compare favorably to similar high-quality p-i-n diodes produced at other laboratories. An investigation into the effect of the light-induced degradation associated with a-Si:H on the performance of OASLMs is also presented. Finally, the transient photoresponse to laser pulses ranging in duration from 1 μs to 1 s over a range of temperatures from 100 to 300 K is investigated. We have discovered that the response time of the initial photoconductivity decay increases as the excitation-pulse duration increases

  3. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  4. Inductively and capacitively coupled plasmas at interface: A comparative study towards highly efficient amorphous-crystalline Si solar cells

    Science.gov (United States)

    Guo, Yingnan; Ong, Thiam Min Brian; Levchenko, I.; Xu, Shuyan

    2018-01-01

    A comparative study on the application of two quite different plasma-based techniques to the preparation of amorphous/crystalline silicon (a-Si:H/c-Si) interfaces for solar cells is presented. The interfaces were fabricated and processed by hydrogen plasma treatment using the conventional plasma-enhanced chemical vacuum deposition (PECVD) and inductively coupled plasma chemical vapour deposition (ICP-CVD) methods The influence of processing temperature, radio-frequency power, treatment duration and other parameters on interface properties and degree of surface passivation were studied. It was found that passivation could be improved by post-deposition treatment using both ICP-CVD and PECVD, but PECVD treatment is more efficient for the improvement on passivation quality, whereas the minority carrier lifetime increased from 1.65 × 10-4 to 2.25 × 10-4 and 3.35 × 10-4 s after the hydrogen plasma treatment by ICP-CVD and PECVD, respectively. In addition to the improvement of carrier lifetimes at low temperatures, low RF powers and short processing times, both techniques are efficient in band gap adjustment at sophisticated interfaces.

  5. Silicon nanostructures for third generation photovoltaic solar cells

    International Nuclear Information System (INIS)

    Conibeer, Gavin; Green, Martin; Corkish, Richard; Cho, Young; Cho, Eun-Chel; Jiang, Chu-Wei; Fangsuwannarak, Thipwan; Pink, Edwin; Huang, Yidan; Puzzer, Tom; Trupke, Thorsten; Richards, Bryce; Shalav, Avi; Lin, Kuo-lung

    2006-01-01

    The concept of third generation photovoltaics is to significantly increase device efficiencies whilst still using thin film processes and abundant non-toxic materials. This can be achieved by circumventing the Shockley-Queisser limit for single band gap devices, using multiple energy threshold approaches. At University of NSW, as part of our work on Third Generation devices, we are using the energy confinement of silicon based quantum dot nanostructures to engineer wide band gap materials to be used as upper cell elements in Si based tandem cells. HRTEM data shows Si nanocrystal formation in oxide and nitride matrixes with a controlled nanocrystal size, grown by layered reactive sputtering and layered PECVD. Photoluminescence evidence for quantum confinement in the Si quantum dots in oxide agrees with the calculated increase in PL energy with reduction in dot size. Resistivity measurements with temperature give tentative proof of conduction and we are investigating junction formation in these materials. We are also using similar Si quantum dot structures in double barrier resonant tunneling structures for use in hot carrier solar cell contacts. These must collect carriers over a limited energy range. Negative differential resistance has been observed in room temperature I-V on these samples, a necessary proof of concept for selective energy filter contacts

  6. Comparative Study of Furnace and Flash Lamp Annealed Silicon Thin Films Grown by Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Maheshwar Shrestha

    2018-03-01

    Full Text Available Low-temperature growth of microcrystalline silicon (mc-Si is attractive for many optoelectronic device applications. This paper reports a detailed comparison of optical properties, microstructure, and morphology of amorphous silicon (a-Si thin films crystallized by furnace annealing and flash lamp annealing (FLA at temperatures below the softening point of glass substrate. The initial a-Si films were grown by plasma enhanced chemical vapor deposition (PECVD. Reflectance measurement indicated characteristic peak in the UV region ~280 nm for the furnace annealed (>550 °C and flash lamp annealed films, which provided evidence of crystallization. The film surface roughness increased with increasing the annealing temperature as well as after the flash lamp annealing. X-ray diffraction (XRD measurement indicated that the as-deposited samples were purely amorphous and after furnace crystallization, the crystallites tended to align in one single direction (202 with uniform size that increased with the annealing temperature. On the other hand, the flash lamp crystalized films had randomly oriented crystallites with different sizes. Raman spectroscopy showed the crystalline volume fraction of 23.5%, 47.3%, and 61.3% for the samples annealed at 550 °C, 650 °C, and with flash lamp, respectively. The flash lamp annealed film was better crystallized with rougher surface compared to furnace annealed ones.

  7. Solid-state diffusion as an efficient doping method for silicon nanowires and nanowire field effect transistors

    International Nuclear Information System (INIS)

    Moselund, K E; Ghoneim, H; Schmid, H; Bjoerk, M T; Loertscher, E; Karg, S; Signorello, G; Webb, D; Tschudy, M; Beyeler, R; Riel, H

    2010-01-01

    In this work we investigate doping by solid-state diffusion from a doped oxide layer, obtained by plasma-enhanced chemical vapor deposition (PECVD), as a means for selectively doping silicon nanowires (NWs). We demonstrate both n-type (phosphorous) and p-type (boron) doping up to concentrations of 10 20 cm -3 , and find that this doping mechanism is more efficient for NWs as opposed to planar substrates. We observe no diameter dependence in the range of 25 to 80 nm, which signifies that the NWs are uniformly doped. The drive-in temperature (800-950 deg. C) can be used to adjust the actual doping concentration in the range 2 x 10 18 to 10 20 cm -3 . Furthermore, we have fabricated NMOS and PMOS devices to show the versatility of this approach and the possibility of achieving segmented doping of NWs. The devices show high I on /I off ratios of around 10 7 and, especially for the PMOS, good saturation behavior and low hysteresis.

  8. Engineering stress in thin films for the field of bistable MEMS

    International Nuclear Information System (INIS)

    Ratnayake, Dilan; Gowrishetty, Usha R; McNamara, Shamus P; Walsh, Kevin M; Martin, Michael D; Porter, Daniel A; Berfield, Thomas A

    2015-01-01

    While stress-free and tensile films are well-suited for released in-plane MEMS designs, compressive films are needed for released out-of-plane MEMS structures such as buckled beams and diaphragms. This study presents a characterization of stress on a variety of sputtered and plasma-enhanced chemical vapour deposition (PECVD)-deposited films, including titanium tungsten, invar, silicon nitride and amorphous silicon, appropriate for the field of bistable MEMS. Techniques and strategies are presented (including varying substrate bias, pressure, temperature, and frequency multiplexing) for tuning internal stress across the spectrum from highly compressive (−2300 MPa) to highly tensile (1500 MPa). Conditions for obtaining stress-free films are also presented in this work. Under certain conditions during the PECVD deposition of amorphous silicon, interesting ‘micro-bubbles’ formed within the deposited films. Strategies to mitigate their formation are presented, resulting in a dramatic improvement in surface roughness quality from 667 nm root mean square (RMS) to 16 nm RMS. All final deposited films successfully passed the traditional ‘tape test’ for adhesion. (paper)

  9. Influence of argon dilution on growth and properties of hydrogenated nanocrystalline silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Parashar, A. [Plasma Processed Materials Group, National Physical Laboratory (CSIR), Dr. K.S. Krishnan Road, New Delhi 110012 (India); Department of Physics and Astro Physics, University of Delhi, Delhi 110007 (India); Kumar, Sushil; Gope, Jhuma; Rauthan, C.M.S.; Dixit, P.N. [Plasma Processed Materials Group, National Physical Laboratory (CSIR), Dr. K.S. Krishnan Road, New Delhi 110012 (India); Hashmi, S.A. [Department of Physics and Astro Physics, University of Delhi, Delhi 110007 (India)

    2010-05-15

    The effect of argon concentration (66-87%) in total gaseous mixture (SiH{sub 4}+H{sub 2}+Ar) on growth and properties of hydrogenated nanocrystalline silicon films deposited by RF (13.56 MHz) PECVD technique was investigated. Raman and XRD measurements revealed increasing argon fraction favored enhancement of crystallinity, enlargement of crystallites and relaxation of strained bonds. Photoluminescence spectra of nc-Si:H films exhibited two radiative transitions in the photon energy ranges of 2.8-3.1 eV and 1.6-2.1 eV. The high energy PL peaks are attributed to surface effect of the films whereas peaks in the range of 1.6-2.1 eV are due to nanocrystallinity in the films. Argon dilution also helped enhancement of deposition rate and conductivity of the films. A film deposited at 81% of argon fraction possesses high crystallinity (75%), conductivity in the order of 10{sup -5} ({omega} cm){sup -1}, size of the crystallite (Raman=12 nm, XRD=18 nm), and low residual stress (125 MPa). (author)

  10. Synthesis of few-layer graphene on a Ni substrate by using DC plasma enhanced chemical vapor deposition (PE-CVD)

    International Nuclear Information System (INIS)

    Kim, Jeong Hyuk; Castro, Edward Joseph; Hwang, Yong Gyoo; Lee, Choong Hun

    2011-01-01

    In this work, few-layer graphene (FLG) was successfully grown on polycrystalline Ni a large scale by using DC plasma enhanced chemical vapor deposition (DC PE-CVD), which may serve as an alternative route in large-scale graphene synthesis. The synthesis time had an effect on the quality of the graphene produced. The applied DC voltage, on the other hand, influenced the minimization of the defect densities in the graphene grown. We also present a method of producing a free-standing polymethyl methacrylate (PMMA)/graphene membrane on a FeCl 3(aq) solution, which could then be transferred to the desired substrate.

  11. Enhanced Electroluminescence from Silicon Quantum Dots Embedded in Silicon Nitride Thin Films Coupled with Gold Nanoparticles in Light Emitting Devices

    Directory of Open Access Journals (Sweden)

    Ana Luz Muñoz-Rosas

    2018-03-01

    Full Text Available Nowadays, the use of plasmonic metal layers to improve the photonic emission characteristics of several semiconductor quantum dots is a booming tool. In this work, we report the use of silicon quantum dots (SiQDs embedded in a silicon nitride thin film coupled with an ultra-thin gold film (AuNPs to fabricate light emitting devices. We used the remote plasma enhanced chemical vapor deposition technique (RPECVD in order to grow two types of silicon nitride thin films. One with an almost stoichiometric composition, acting as non-radiative spacer; the other one, with a silicon excess in its chemical composition, which causes the formation of silicon quantum dots imbibed in the silicon nitride thin film. The ultra-thin gold film was deposited by the direct current (DC-sputtering technique, and an aluminum doped zinc oxide thin film (AZO which was deposited by means of ultrasonic spray pyrolysis, plays the role of the ohmic metal-like electrode. We found that there is a maximum electroluminescence (EL enhancement when the appropriate AuNPs-spacer-SiQDs configuration is used. This EL is achieved at a moderate turn-on voltage of 11 V, and the EL enhancement is around four times bigger than the photoluminescence (PL enhancement of the same AuNPs-spacer-SiQDs configuration. From our experimental results, we surmise that EL enhancement may indeed be due to a plasmonic coupling. This kind of silicon-based LEDs has the potential for technology transfer.

  12. On Improved Least Squares Regression and Artificial Neural Network Meta-Models for Simulation via Control Variates

    Science.gov (United States)

    2016-09-15

    Requirements for the Degree of Doctor of Philosophy in Operations Research Michael P. Gibb, B.S., M.S. Captain, USAF September 2016 DISTRIBUTION...Bidstrup, P. Kohl, and G. May. Modeling the properties of PECVD silicon dioxide films using optimized back-propagation neural networks. IEEE Trans

  13. All-dry resist processes for 193-nm lithography

    Science.gov (United States)

    Horn, Mark W.; Maxwell, Brian E.; Kunz, Roderick R.; Hibbs, Michael S.; Eriksen, Lynn M.; Palmateer, Susan C.; Forte, Anthony R.

    1995-06-01

    We report on two different all-dry resist schemes for 193-nm lithography, one negative tone and one positive tone. Our negative tone resist is an extension of our initial work on all-dry photoresists. This scheme employs a bilayer in which the imaging layer is formed by plasma enhanced chemical vapor deposition (PECVD) from tetramethylsilane (TMS) and deposited onto PECVD carbon-based planarizing layers. Figure 1 shows SEMs of dark field and light field octagons patterned in projection on Lincoln Laboratory's 0.5-NA 193-nm Micrascan system. These 0.225-micrometers and 0.200-micrometers line and space features were obtained at a dose of approximately 58 mJ/cm2. Dry development of the exposed resist was accomplished using Cl2 chemistry in a helicon high-ion-density etching tool. Pattern transfer was performed in the helicon tool with oxygen-based chemistries. Recently, we have also developed an all-dry positive-tone silylation photoresist. This photoresist is a PECVD carbon-based polymer which is crosslinked by 193-nm exposure, enabling selective silylation similar to that initially reported by Hartney et al., with spin-applied polymers. In those polymers, for example polyvinylphenol, the silylation site concentration is fixed by the hydroxyl groups on the polymer precursors, thus limiting the silicon uptake per unit volume. With PECVD polymers, the total concentration of silylation sites and their depth can be tailored by varying plasma species as a function of time during the deposition. This affords the possibility of greater silicon uptake per unit volume and better depth control of the silylation profile. Figure 2 shows a SEM of 0.5-micrometers features patterned in plasma deposited silylation resist.

  14. The adhesion of SiNx thin layers on silica-acrylate coated polymer substrates

    NARCIS (Netherlands)

    Abdallah, Amir; Lu, K.; Ovchinnikov, C.D.; Bulle-Lieuwma, C.W.T.; Bouten, P.C.P.; With, de G.

    2009-01-01

    Plasma Enhanced Chemical Vapor Deposition (PECVD) was used to grow 200, 300 and 400 nm thick silicon nitride layers (SiN x ) on a high temperature aromatic polyester substrate spin coated with a silica-acrylate hybrid coating (hard coat). Layers deposited without oxygen plasma treatment remained

  15. Remote Joule heating by a carbon nanotube.

    Science.gov (United States)

    Baloch, Kamal H; Voskanian, Norvik; Bronsgeest, Merijntje; Cumings, John

    2012-04-08

    Minimizing Joule heating remains an important goal in the design of electronic devices. The prevailing model of Joule heating relies on a simple semiclassical picture in which electrons collide with the atoms of a conductor, generating heat locally and only in regions of non-zero current density, and this model has been supported by most experiments. Recently, however, it has been predicted that electric currents in graphene and carbon nanotubes can couple to the vibrational modes of a neighbouring material, heating it remotely. Here, we use in situ electron thermal microscopy to detect the remote Joule heating of a silicon nitride substrate by a single multiwalled carbon nanotube. At least 84% of the electrical power supplied to the nanotube is dissipated directly into the substrate, rather than in the nanotube itself. Although it has different physical origins, this phenomenon is reminiscent of induction heating or microwave dielectric heating. Such an ability to dissipate waste energy remotely could lead to improved thermal management in electronic devices.

  16. Analysis of intensities of positive and negative ion species from silicon dioxide films using time-of-flight secondary ion mass spectrometry and electronegativity of fragments

    International Nuclear Information System (INIS)

    Chiba, Kiyoshi

    2010-01-01

    Intensities of positive and negative ion species emitted from thermally oxidized and plasma-enhanced chemical vapor deposited (PECVD) SiO 2 films were analyzed using time-of-flight secondary ion mass spectrometry (TOF-SIMS) and the Saha-Boltzmann equation. Intensities of positive and negative secondary ion species were normalized to those of 28 Si + and 28 Si - ions, respectively, and an effective temperature of approximately (7.2 ± 0.1) x 10 3 K of the sputtered region bombarded with pulsed 22 kV Au 3 + primary ions was determined. Intensity spectra showed polarity dependence on both n and m values of Si n O m fragments, and a slight shift to negative polarity for PECVD SiO 2 compared to thermally oxidized SiO 2 films. By dividing the intensity ratios of negative-to-positive ions for PECVD SiO 2 by those for thermally oxidized SiO 2 films to cancel statistical factors, the difference in absolute electronegativity (half the sum of ionization potential and electron affinity of fragments) between both films was obtained. An increase in electronegativity for SiO m (m = 1, 2) and Si 2 O m (m = 1-4) fragments for PECVD SiO 2 films compared to thermally oxidized films was obtained to be 0.1-0.2 Pauling units, indicating a more covalent nature of Si-O bonds for PECVD SiO 2 films compared to the thermally oxidized SiO 2 films.

  17. Gas-temperature control in VHF- PECVD process for high-rate (>5 nm/s) growth of microcrystalline silicon thin films

    Energy Technology Data Exchange (ETDEWEB)

    Sobajima, Yasushi; Higuchi, Takuya; Chantana, Jakapan; Toyama, Toshihiko; Sada, Chitose; Matsuda, Akihisa; Okamoto, Hiroaki [Graduate School of Engineering Science, Osaka University, Toyonaka City (Japan)

    2010-04-15

    Surface-heating phenomenon by the radiation from high density plasma during growth of microcrystalline silicon ({mu}c-Si:H) thin films at high rate (> 5 nm/sec) is one of the crucial issues to be solved for obtaining high quality intrinsic-layer material for solar cells. We have utilized an optical emission spectroscopy (OES) in the plasma to observe the time evolution of gas temperature during film growth as well as the film-growth rate under {mu}c-Si:H deposition conditions at high rate. Gas temperature has been successfully controlled by changing total flow rate of monosilane (SiH{sub 4})/hydrogen (H{sub 2}) gas mixture, leading to a drastic improvement of optoelectronic properties in the resulting {mu}c-Si:H. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    Energy Technology Data Exchange (ETDEWEB)

    Ghrib, M., E-mail: mondherghrib@yahoo.fr [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Gaidi, M.; Ghrib, T.; Khedher, N. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Ben Salam, M. [L3M, Department of Physics, Faculty of Sciences of Bizerte, 7021 Zarzouna (Tunisia); Ezzaouia, H. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia)

    2011-08-15

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  19. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    International Nuclear Information System (INIS)

    Ghrib, M.; Gaidi, M.; Ghrib, T.; Khedher, N.; Ben Salam, M.; Ezzaouia, H.

    2011-01-01

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  20. Magnetically enhanced triode etching of large area silicon membranes in a molecular bromine plasma

    International Nuclear Information System (INIS)

    Wolfe, J.C.; Sen, S.; Pendharkar, S.V.; Mauger, P.; Shimkunas, A.R.

    1992-01-01

    The optimization of a process for etching 125 mm silicon membranes formed on 150 mm wafers and bonded to Pyrex rings is discussed. A magnetically enhanced triode etching system was designed to provide an intense, remote plasma surrounding the membrane while, at the same time, suppressing the discharge over the membrane itself. For the optimized molecular bromine process, the silicon etch rate is 40 nm/min and the selectivity relative to SiO 2 is 160:1. 14 refs., 6 figs

  1. Study of CNT growth using nanocatalyst Ag precursor by HWC-VHF-PECVD

    International Nuclear Information System (INIS)

    Eliyana, Ajeng; Rosikin, Ahmad; Winata, Toto

    2015-01-01

    The study of CNT growth has been done by using silver (Ag) nanocatalyst as a guide precursor on corning glass 7059 substrate. The silver catalyst was prepared by the evaporation method by varying deposition time for 50, 25, and 14 seconds. The silver films were then annealed at temperature of 400°C for 4 hours. From Scanning Electron Microscope (SEM) and Energy Dispersive X-ray Spectroscopy (EDX) results the grain sizes are 65 nm, 57 nm, and 33 nm, and also the atomic compositions are 6,06%, 4,52%, and 3,73% for 14, 25 and 50 seconds samples, respectively. The 33 nm samples were then used for CNT growth by using Hot Wire Cell (HWC) – Very High Frequency (VHF) – Plasma Enhanced Chemical Vapor Deposition (PECVD) at 275 ° C deposition temperature and pressure of 300 mTorr. The rf power was varied from 8 to 20 watts, with deposition time for 60 minutes. The methane (CH4) 99.999% was used as Carbon sources. Hydrogen gas (H2) was used to etch the oxide layer formed during the pre-deposition process. The diameter and length for the CNT are 125 nm and 1.650 to 2.989 nm respectively

  2. Study of CNT growth using nanocatalyst Ag precursor by HWC-VHF-PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Eliyana, Ajeng; Rosikin, Ahmad; Winata, Toto, E-mail: toto@fi.itb.ac.id [Physics of Electronics Material Research Division, Physics Programme, Faculty of Mathematics and Natural Sciences, Institut Teknologi Bandung, Jl. Ganesha 10, Bandung 40132, West Java (Indonesia)

    2015-04-16

    The study of CNT growth has been done by using silver (Ag) nanocatalyst as a guide precursor on corning glass 7059 substrate. The silver catalyst was prepared by the evaporation method by varying deposition time for 50, 25, and 14 seconds. The silver films were then annealed at temperature of 400°C for 4 hours. From Scanning Electron Microscope (SEM) and Energy Dispersive X-ray Spectroscopy (EDX) results the grain sizes are 65 nm, 57 nm, and 33 nm, and also the atomic compositions are 6,06%, 4,52%, and 3,73% for 14, 25 and 50 seconds samples, respectively. The 33 nm samples were then used for CNT growth by using Hot Wire Cell (HWC) – Very High Frequency (VHF) – Plasma Enhanced Chemical Vapor Deposition (PECVD) at 275 ° C deposition temperature and pressure of 300 mTorr. The rf power was varied from 8 to 20 watts, with deposition time for 60 minutes. The methane (CH4) 99.999% was used as Carbon sources. Hydrogen gas (H2) was used to etch the oxide layer formed during the pre-deposition process. The diameter and length for the CNT are 125 nm and 1.650 to 2.989 nm respectively.

  3. The effect of copper substrate’s roughness on graphene growth process via PECVD

    Science.gov (United States)

    Fan, Tengfei; Yan, Cuixia; Lu, Jianchen; Zhang, Lianchang; Cai, Jinming

    2018-04-01

    Despite many excellent properties, the synthesis of high quality graphene with low-cost way is still a challenge, thus many different factors have been researched. In this work, the effect of surface roughness to the graphene quality was studied. Graphene was synthesized by plasma enhanced chemical vapor deposition (PECVD) method on copper substrates with different roughness from 0.074 μm to 0.339 μm, which were prepared via annealing, corrosion or polishing, respectively. Ar+ plasma cleaning was applied before graphene growth in order to accommodate similar surface chemical reactivity to each other. Scanning electron microscope and Raman spectroscope were employed to investigate the effect of surface roughness, which reveals that the graphene quality decrease first and then increase again according to the ratio of ID/IG in Raman spectroscopy. When the ratio of ID/IG reaches the largest number, the substrate roughness is 0.127 μm, where is the graphene quality changing point. First principle calculation was applied to explain the phenomenon and revealed that it is strongly affected by the graphene grain size and quantity which can induce defects. This strategy is expected to guide the industrial production of graphene.

  4. Remote RemoteRemoteRemote sensing potential for sensing ...

    African Journals Online (AJOL)

    Remote RemoteRemoteRemote sensing potential for sensing potential for sensing potential for sensing potential for sensing potential for sensing potential for sensing potential for sensing potential for sensing potential for sensing potential for sensing p. A Ngie, F Ahmed, K Abutaleb ...

  5. Titanium tungsten coatings for bioelectrochemical applications

    DEFF Research Database (Denmark)

    Wierzbicki, Rafal; Amato, Letizia; Łopacińska, J.

    2011-01-01

    This paper presents an assessment of titanium tungsten (TiW) coatings and their applicability as components of biosensing systems. The focus is put on using TiW as an electromechanical interface layer between carbon nanotube (CNT) forests and silicon nanograss (SiNG) cell scaffolds. Cytotoxicity......, applicability to plasma-enhanced chemical vapor deposition (PECVD) of aligned CNT forests, and electrochemical performance are investigated. Experiments include culturing of NIH3T3 mouse embryonic fibroblast cells on TiW coated silicon scaffolds, CNT growth on TiW substrates with nickel catalyst, and cyclic...

  6. Microcrystalline silicon films and solar cells investigatet by photoluminescence spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Merdzhanova, T.

    2005-07-01

    A systematic investigation on photoluminescence (PL) properties of microcrystalline silicon ({mu}c-Si:H) films with structural composition changing from highly crystalline to predominantly amorphous is presented. The samples were prepared by PECVD and HWCVD with different silane concentration in hydrogen (SC). By using photoluminescence in combination with Raman spectroscopy the relationship between electronic properties and the microstructure of the material is studied. The PL spectra of {mu}c-Si:H reveal a rather broad ({proportional_to}0.13 eV) featureless band at about 1 eV ('{mu}c'-Si-band). In mixed phase material of crystalline and amorphous regions, a band at about 1.3 eV with halfwidth of about 0.3 eV is found in addition to '{mu}c'-Si-band, which is attributed to the amorphous phase ('a'-Si-band). Similarly to amorphous silicon, the '{mu}c'-Si-band is assigned to recombination between electrons and holes in band tail states. An additional PL band centred at about 0.7 eV with halfwidth slightly broader than the '{mu}c'-Si-band is observed only for films prepared at high substrate temperature and it is preliminarily assigned to defect-related transitions as in polycrystalline silicon. With decreasing crystalline volume fraction, the '{mu}c'-Si-band shifts continuously to higher energies for all {mu}c-Si:H films but the linewidth of the PL spectra is almost unaffected. This is valid for all deposition conditions investigated. The results are interpreted, assuming decrease of the density of band tail states with decreasing crystalline volume fraction. A simple model is proposed to simulate PL spectra and V{sub oc} in {mu}c-Si:H solar cells as a function of temperature, based on carrier distributions in quasi-equilibrium conditions. In the model is assumed symmetric density of states distributions for electrons and holes in the conduction and the valence band tail states. The best agreement between

  7. Crystallization induced of amorphous silicon by nickel

    International Nuclear Information System (INIS)

    Schmidt, J.A; Rinaldi, P; Budini, N; Arce, R; Buitrago, R.H

    2008-01-01

    Polycrystalline silicon (pc-Si) deposited on glass substrates is a very promising material for the production of different electronic devices, like thin film transistors, active matrices or solar cells. The crystallization of the amorphous silicon to obtain pc-Si can be achieved with different processes, among which nickel-induced crystallization is because it requires low concentrations of the metal and low annealing temperatures. Nucleation and growth of crystalline silicon are measured by the formation of silicide NiSi 2 , which has a lattice constant very similar to that of Si, and acts as a seed upon which crystalline grains can develop. The size of the pc-Si final grain depends on many factors, such as the initial concentration of Ni, the annealing time and temperature, and the presence of other atoms in the Si structure. This work presents a study on the influence of these parameters on the silicon crystallization process induced by Ni. We deposited a series of hydrogenated amorphous silicon samples (a-Si:H) on glass substrates, using the plasma-enhanced chemical vapor deposition method (PE-CVD) with silane gas (SiH 4 ). The deposition temperature was 200 o C, and we prepared intrinsic samples (i), lightly doped with boron (p), heavily doped with boron (p + ) and heavily doped with phosphorous (n + ). Each sample was divided into eight portions, depositing different concentrations of Ni into each one using the cathodic sputtering method. The concentration of Ni was determined by atomic adsorption spectroscopy, and included from 1.5 1 0 15 to 1.5 1 0 16 at/cm 2 . Later the samples were submitted to different thermal treatments in a circulating nitrogen atmosphere. In order to avoid violent dehydrogenation of the a-Si:H that damages the samples, the annealing was carried out gradually. In a first stage the samples were heated at a velocity of 0.5 o C /min up to 400 o C, holding them for 24 hrs at this temperature in order to reach hydrogen effusion. Heating

  8. Photoluminescence and electrical properties of silicon oxide and silicon nitride superlattices containing silicon nanocrystals

    International Nuclear Information System (INIS)

    Shuleiko, D V; Ilin, A S

    2016-01-01

    Photoluminescence and electrical properties of superlattices with thin (1 to 5 nm) alternating silicon-rich silicon oxide or silicon-rich silicon nitride, and silicon oxide or silicon nitride layers containing silicon nanocrystals prepared by plasma-enhanced chemical vapor deposition with subsequent annealing were investigated. The entirely silicon oxide based superlattices demonstrated photoluminescence peak shift due to quantum confinement effect. Electrical measurements showed the hysteresis effect in the vicinity of zero voltage due to structural features of the superlattices from SiOa 93 /Si 3 N 4 and SiN 0 . 8 /Si 3 N 4 layers. The entirely silicon nitride based samples demonstrated resistive switching effect, comprising an abrupt conductivity change at about 5 to 6 V with current-voltage characteristic hysteresis. The samples also demonstrated efficient photoluminescence with maximum at ∼1.4 eV, due to exiton recombination in silicon nanocrystals. (paper)

  9. Single-Step Seeded-Growth of Graphene Nanoribbons (GNRs) via Plasma-Enhanced Chemical Vapor Deposition (PECVD)

    Science.gov (United States)

    Hsu, C.-C.; Yang, K.; Tseng, W.-S.; Li, Yiliang; Li, Yilun; Tour, J. M.; Yeh, N.-C.

    One of the main challenges in the fabrication of GNRs is achieving large-scale low-cost production with high quality. Current techniques, including lithography and unzipped carbon nanotubes, are not suitable for mass production. We have recently developed a single-step PECVD growth process of high-quality graphene sheets without any active heating. By adding some substituted aromatic as seeding molecules, we are able to rapidly grow GNRs vertically on various transition-metal substrates. The morphology and electrical properties of the GNRs are dependent on the growth parameters such as the growth time, gas flow and species of the seeding molecules. On the other hand, all GNRs exhibit strong infrared and optical absorption. From studies of the Raman spectra, scanning electron microscopic images, and x-ray/ultraviolet photoelectron spectra of these GNRs as functions of the growth parameters, we propose a model for the growth mechanism. Our findings suggest that our approach opens up a pathway to large-scale, inexpensive production of GNRs for applications to supercapacitors and solar cells. This work was supported by the Grubstake Award and NSF through IQIM at Caltech.

  10. Effect of substrate bias voltage on tensile properties of single crystal silicon microstructure fully coated with plasma CVD diamond-like carbon film

    Science.gov (United States)

    Zhang, Wenlei; Hirai, Yoshikazu; Tsuchiya, Toshiyuki; Tabata, Osamu

    2018-06-01

    Tensile strength and strength distribution in a microstructure of single crystal silicon (SCS) were improved significantly by coating the surface with a diamond-like carbon (DLC) film. To explore the influence of coating parameters and the mechanism of film fracture, SCS microstructure surfaces (120 × 4 × 5 μm3) were fully coated by plasma enhanced chemical vapor deposition (PECVD) of a DLC at five different bias voltages. After the depositions, Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), thermal desorption spectrometry (TDS), surface profilometry, atomic force microscope (AFM) measurement, and nanoindentation methods were used to study the chemical and mechanical properties of the deposited DLC films. Tensile test indicated that the average strength of coated samples was 13.2-29.6% higher than that of the SCS sample, and samples fabricated with a -400 V bias voltage were strongest. The fracture toughness of the DLC film was the dominant factor in the observed tensile strength. Deviations in strength were reduced with increasingly negative bias voltage. The effect of residual stress on the tensile properties is discussed in detail.

  11. Deposition of DLC Film on Stainless Steel Substrates Coated by Nickel Using PECVD Method.

    Science.gov (United States)

    Khalaj, Zahra; Ghoranneviss, Mahmood; Vaghri, Elnaz; Saghaleini, Amir; Diudea, Mircea V

    2012-06-01

    Research on diamond-like carbon (DLC) films has been devoted to find both optimized conditions and characteristics of the deposited films on various substrates. In the present work, we investigate the quality of the DLC films grown on stainless steel substrates using different thickness of the nickel nanoparticle layers on the surface. Nickel nanoparticles were sputtered on the stainless steel substrates at 200 °C by a DC-sputtering system to make a good adherence between DLC coating and steel substrates. Atomic Force Microscopy was used to characterize the surface roughness and distribution function of the nickel nanoparticles on the substrate surface. Diamond like carbon films were deposited on stainless steel substrates coated by nickel using pure acetylene and C2H2/H2 with 15% flow ratio by DC-Plasma Enhanced Chemical Vapor Deposition (PECVD) systems. Microstructural analysis by Raman spectroscopy showed a low intensity ratio ID/IG for DLC films by increasing the Ni layer thickness on the stainless steel substrates. Fourier Transforms Infrared spectroscopy (FTIR) evidenced the peaks attributed to C-H bending and stretching vibration modes in the range of 1300-1700 cm-1 and 2700-3100 cm-1, respectively, in good agreement with the Raman spectroscopy and confirmed the DLC growth in all samples.

  12. The effects of varying plasma parameters on silicon thin film growth by ECR plasma CVD

    International Nuclear Information System (INIS)

    Summers, S.; Reehal, H.S.; Shirkoohi, G.H.

    2001-01-01

    The technique of electron cyclotron resonance (ECR) plasma enhanced chemical vapour deposition (PECVD) is increasingly being used in electronic and photonic device applications. ECR offers a number of advantages including improved control of the deposition process, less damage to the growing film and the possibility of high deposition rates. ECR occurs in a plasma under appropriate magnetic and electric field conditions. In most cases, as in our system, this is achieved with a combination of 2.45 GHz microwave radiation and a 0.0875 T magnetic field, due to the use of standardized microwave supplies. We have studied the effects on silicon film growth of changing the magnetic field configuration to produce one or more planes of ECR within the system, and of changing the positions of the plane(s) relative to the deposition substrate. The films were grown in silane-hydrogen discharges. The magnetic field in our system was provided by two electromagnets. It was measured experimentally for a number of operating current values and then a detailed profile achieved by modelling using a proprietary software package. A process condition discharge under identical magnetic field configurations to growth was analysed by the use of a Langmuir probe and the results correlated with film properties determined by Raman spectroscopy and Dektak profilometry. (author)

  13. Density evaluation of remotely-supplied hydrogen radicals produced via tungsten filament method for SiCl4 reduction

    Science.gov (United States)

    Zohra Dahmani, Fatima; Okamoto, Yuji; Tsutsumi, Daiki; Ishigaki, Takamasa; Koinuma, Hideomi; Hamzaoui, Saad; Flazi, Samir; Sumiya, Masatomo

    2018-05-01

    Effect of the hydrogen radical on the reduction of a silicon tetrachloride (SiCl4) source was studied. The hydrogen radicals were generated using a tungsten (W) filament in a generation chamber, and were remotely supplied to another reaction chamber. The density of the hydrogen radical was estimated from the optical transmittance of 600-nm-wavelength light through phosphate glass doped with tungsten oxide (WO3). Lifetime of the hydrogen radical seemed sufficiently long, and its density as supplied to the reaction chamber was estimated to be on the order of 1012 cm‑3. Signal intensity of the peak corresponding to SiCl4 (m/z = 170) detected by quadrupole-mass measurement was confirmed to decrease owing to the reaction with the remotely-supplied hydrogen radical. This indicates the possibility that chemically-stable SiCl4, as one of the by-products of the Siemens process, can be reduced to produce silicon.

  14. Frequency effects in silane plasmas for PECVD

    International Nuclear Information System (INIS)

    Howling, A.A.; Dorier, J.L.; Hollenstein, C.; Finger, F.; Kroll, U.

    1991-09-01

    It is generally recognised that the excitation frequency is an important parameter in rf plasma-assisted deposition. VHF silane plasmas (50-100 MHz) have been shown to produce high quality amorphous silicon films up to 20 A/s, and therefore the aim of this work is to compare the VHF range with the 13.56 MHz industrial frequency in the same reactor. The principal diagnostics used are electrical measurements and a CCD camera for spatially-resolved plasma-induced emission with Abel inversion of the plasma image. We present a comparative study of key discharge parameters such as deposition rates, plasma uniformity, ion impact energy, power transfer efficiency and powder formation for the rf range 13-70 MHz. (author) 5 figs., 19 refs

  15. Silicon-organic pigment material hybrids for photovoltaic application

    Energy Technology Data Exchange (ETDEWEB)

    Mayer, T.; Weiler, U.; Jaegermann, W. [Institute of Materials Science, Darmstadt University of Technology, Petersenstreet 23, D-64287 Darmstadt (Germany); Kelting, C.; Schlettwein, D. [Institute for Applied Physics, Justus Liebig University Giessen, Heinrich-Buff-Ring 16, D-35392 Giessen (Germany); Makarov, S.; Woehrle, D. [Institute of Organic and Macromolecular Chemistry, University Bremen, Leobener Street NW II, D-28359 Bremen (Germany); Abdallah, O.; Kunst, M. [Department Solar Energy, Hahn-Meitner-Institute, D-14109 Berlin (Germany)

    2007-12-14

    Hybrid materials of silicon and organic dyes have been investigated for possible application as photovoltaic material in thin film solar cells. High conversion efficiency is expected from the combination of the advantages of organic dyes for light absorption and those of silicon for charge carrier separation and transport. Low temperature remote hot wire chemical vapor deposition (HWCVD) was developed for microcrystalline silicon ({mu}c-Si) deposition using SiH{sub 4}/H{sub 2} mixtures. As model dyes zinc phthalocyanines have been evaporated from Knudsen type sources. Layers of dye on {mu}c-Si and {mu}c-Si on dye films, and composites of simultaneously and sequentially deposited Si and dye have been prepared and characterized. Raman, absorption, and photoemission spectroscopy prove the stability of the organic molecules against the rough HWCVD-Si process. Transient microwave conductivity (TRMC) indicates good electronic quality of the {mu}c-Si matrix. Energy transfer from dye to Si is indicated indirectly by luminescence and directly by photoconductivity measurements. F{sub x}ZnPc pigments with x=0,4,8,16 have been synthesized, purified and adsorbed onto H-terminated Si(1 1 1) for electronic state line up determination by photoelectron spectroscopy. For x=4 and 8 the dye frontier orbitals line up symmetrically versus the Si energy gap offering similar energetic driving forces for electron and hole injection, which is considered optimum for bulk sensitization and indicates a direction to improve the optoelectronic coupling of the organic dyes to silicon. (author)

  16. Silicone metalization

    Energy Technology Data Exchange (ETDEWEB)

    Maghribi, Mariam N. (Livermore, CA); Krulevitch, Peter (Pleasanton, CA); Hamilton, Julie (Tracy, CA)

    2008-12-09

    A system for providing metal features on silicone comprising providing a silicone layer on a matrix and providing a metal layer on the silicone layer. An electronic apparatus can be produced by the system. The electronic apparatus comprises a silicone body and metal features on the silicone body that provide an electronic device.

  17. Formation of porous silicon oxide from substrate-bound silicon rich silicon oxide layers by continuous-wave laser irradiation

    Science.gov (United States)

    Wang, Nan; Fricke-Begemann, Th.; Peretzki, P.; Ihlemann, J.; Seibt, M.

    2018-03-01

    Silicon nanocrystals embedded in silicon oxide that show room temperature photoluminescence (PL) have great potential in silicon light emission applications. Nanocrystalline silicon particle formation by laser irradiation has the unique advantage of spatially controlled heating, which is compatible with modern silicon micro-fabrication technology. In this paper, we employ continuous wave laser irradiation to decompose substrate-bound silicon-rich silicon oxide films into crystalline silicon particles and silicon dioxide. The resulting microstructure is studied using transmission electron microscopy techniques with considerable emphasis on the formation and properties of laser damaged regions which typically quench room temperature PL from the nanoparticles. It is shown that such regions consist of an amorphous matrix with a composition similar to silicon dioxide which contains some nanometric silicon particles in addition to pores. A mechanism referred to as "selective silicon ablation" is proposed which consistently explains the experimental observations. Implications for the damage-free laser decomposition of silicon-rich silicon oxides and also for controlled production of porous silicon dioxide films are discussed.

  18. Sealing of cavities with lateral feed-throughs by anodic bonding

    DEFF Research Database (Denmark)

    Fléron, René; Jensen, Flemming

    2003-01-01

    The SESiBon(1)) project under the EU Growth programme has focussed on the investigation and exploitation of various silicon bonding techniques. Both standard silicon to pyrex wafer bonding and the more advanced silicon-to-silicon thin film anodic bonding has been investigated. Here we present...... the results of the work done to enable bonding of structured wafer surfaces, allowing lateral feed-throughs into sealed cavities.Lateral feed throughs are formed by means of RIE in a high-doped poly-silicon film deposited on an oxidized 4" silicon wafer. Next a BPSG (Boron Phosphorus Silicate Glass) layer...... is deposited in a PECVD reaction chamber onto the structured surface. The BPSG is used as an intermediate planarization layer. Planarization is done by annealing the wafer in a N2-O2-H2O ambient for 4 - 8h @ 900 degreesC. After planarization the two wafers are bonded together, sealing the cavities.Our work...

  19. Oxygen defect processes in silicon and silicon germanium

    KAUST Repository

    Chroneos, A.

    2015-06-18

    Silicon and silicon germanium are the archetypical elemental and alloy semiconductor materials for nanoelectronic, sensor, and photovoltaic applications. The investigation of radiation induced defects involving oxygen, carbon, and intrinsic defects is important for the improvement of devices as these defects can have a deleterious impact on the properties of silicon and silicon germanium. In the present review, we mainly focus on oxygen-related defects and the impact of isovalent doping on their properties in silicon and silicon germanium. The efficacy of the isovalent doping strategies to constrain the oxygen-related defects is discussed in view of recent infrared spectroscopy and density functional theory studies.

  20. Oxygen defect processes in silicon and silicon germanium

    KAUST Repository

    Chroneos, A.; Sgourou, E. N.; Londos, C. A.; Schwingenschlö gl, Udo

    2015-01-01

    Silicon and silicon germanium are the archetypical elemental and alloy semiconductor materials for nanoelectronic, sensor, and photovoltaic applications. The investigation of radiation induced defects involving oxygen, carbon, and intrinsic defects is important for the improvement of devices as these defects can have a deleterious impact on the properties of silicon and silicon germanium. In the present review, we mainly focus on oxygen-related defects and the impact of isovalent doping on their properties in silicon and silicon germanium. The efficacy of the isovalent doping strategies to constrain the oxygen-related defects is discussed in view of recent infrared spectroscopy and density functional theory studies.

  1. Optical and passivating properties of hydrogenated amorphous silicon nitride deposited by plasma enhanced chemical vapour deposition for application on silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Wight, Daniel Nilsen

    2008-07-01

    quality, etch rate. The response of these parameters to high temperature anneals were correlated with structural changes in the silicon nitride films as measured by using the hydrogen bond concentration. Plasma enhanced chemical vapour deposition allows continuous variation in nearly all deposition parameters. The parameters studied in this work are the gas flow ratios and excitation power. In both direct and remote deposition systems, the increase in deposition power density lead to higher activation of ammonia which in turn lead to augmented incorporation of nitrogen into the films and thus lower refractive index. For a direct system, the same parameter change lead to a drastic fall in passivation quality of Czochralski silicon attributed to an increase in ion bombardment as well as the general observation that as deposited passivation tends to increase with refractive index. Silicon nitride films with variations in refractive index were also made by varying the silane-to-ammonia gas flow ratio. This simple parameter adjustment makes plasma enhanced chemical vapour deposited silicon nitride applicable to double layer anti-reflective coatings simulated in this work. The films were found to have an etch rate in 5% hydrofluoric acid that decreased with increasing refractive index. This behaviour is attributed to the decreasing concentration of nitrogen-to-hydrogen bonds in the films. Such bonds at the surface of silicon nitride have been suggested to be involved in the main reaction mechanism when etching silicon nitride in hydrofluoric acid. Annealing the films lead to a drastic fall in etch rates and was linked to the release of hydrogen from the nitrogen-hydrogen bonds. (author). 115 refs., 35 figs., 6 tabs

  2. Effect of the hydrogen flow rate on the structural and optical properties of hydrogenated amorphous silicon thin films prepared by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ben Amor, Sana; Dimassi, Wissem; Ali Tebai, Mohamed; Ezzaouia, Hatem [Photovoltaic Laboratory Research and Technology Centre of Energy, Borj-Cedria Science and Technology Park, BP 95, 2050 Hammam-Lif (Tunisia)

    2012-10-15

    Hydrogenated amorphous silicon (a-Si:H) thin films were deposited from pure silane (SiH{sub 4}) and hydrogen (H{sub 2}) gas mixture by plasma enhanced chemical vapor deposition (PECVD) method at low temperature (400 C) using high rf power (60 W). The structural and optical properties of these films are systematically investigated as a function of the flow rate of hydrogen (F{sub H2}).The surface morphology is analyzed by atomic force microscopy (AFM). The characterization of these films with low angle X-ray diffraction revealed that the crystallite size in the films tends to decrease with increase in (F{sub H2}). The Fourier transform infrared (FTIR) spectroscopic analysis showed that at low values of (F{sub H2}),the hydrogen bonding in Si:H films shifts from di-hydrogen (Si-H{sub 2}) and (Si-H{sub 2})n complexes to the mono-hydrogen (Si-H) bonding configuration. Finally, for these optimized conditions, the deposition rate decreases with increasing (F{sub H2}). (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Post-deposition thermal annealing studies of hydrogenated microcrystalline silicon deposited at 40 deg. C

    International Nuclear Information System (INIS)

    Bronsveld, P.C.P.; Wagt, H.J. van der; Rath, J.K.; Schropp, R.E.I.; Beyer, W.

    2007-01-01

    Post-deposition thermal annealing studies, including gas effusion measurements, measurements of infrared absorption versus annealing state, cross-sectional transmission electron microscopy (X-TEM) and atomic force microscopy (AFM), are used for structural characterization of hydrogenated amorphous and microcrystalline silicon films, prepared by very high frequency plasma enhanced chemical vapor deposition (VHF-PECVD) at low substrate temperature (T S ). Such films are of interest for application in thin semiconductor devices deposited on cheap plastics. For T S ∼ 40 deg. C, H-evolution shows rather complicated spectra for (near-) microcrystalline material, with hydrogen effusion maxima seen at ∼ 200-250 deg. C, 380 deg. C and ∼ 450-500 deg. C, while for the amorphous material typical spectra for good-quality dense material are found. Effusion experiments of implanted He demonstrate for the microcrystalline material the presence of a rather open (void-rich) structure. A similar tendency can be concluded from Ne effusion experiments. Fourier Transform infrared (FTIR) spectra of stepwise annealed samples show Si-H bond rupture already at annealing temperatures of 150 deg. C. Combined AFM/X-TEM studies reveal a columnar microstructure for all of these (near-) microcrystalline materials, of which the open structure is the most probable explanation of the shift of the H-effusion maximum in (near-) microcrystalline material to lower temperature

  4. In situ growth rate measurements during plasma-enhanced chemical vapour deposition of vertically aligned multiwall carbon nanotube films

    International Nuclear Information System (INIS)

    Joensson, M; Nerushev, O A; Campbell, E E B

    2007-01-01

    In situ laser reflectivity measurements are used to monitor the growth of multiwalled carbon nanotube (MWCNT) films grown by DC plasma-enhanced chemical vapour deposition (PECVD) from an iron catalyst film deposited on a silicon wafer. In contrast to thermal CVD growth, there is no initial increase in the growth rate; instead, the initial growth rate is high (as much as 10 μm min -1 ) and then drops off rapidly to reach a steady level (2 μm min -1 ) for times beyond 1 min. We show that a limiting factor for growing thick films of multiwalled nanotubes (MWNTs) using PECVD can be the formation of an amorphous carbon layer at the top of the growing nanotubes. In situ reflectivity measurements provide a convenient technique for detecting the onset of the growth of this layer

  5. Characterization and Analysis of Integrated Silicon Photonic Detectors for High-Speed Communications

    Science.gov (United States)

    2015-03-26

    15 Ti Titanium . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 TiN Titanium Nitride...evanescent coupling of an InP laser is used to stimulate emission in the Si waveguide at 1310 nm. The 1310- nm wavelength is the other common...electrical connection. The final step is the plasma-enhanced chemical vapor deposition (PECVD) of the 2.5-µm SiO2 optical cladding . This creates the

  6. Silicon epitaxy on textured double layer porous silicon by LPCVD

    International Nuclear Information System (INIS)

    Cai Hong; Shen Honglie; Zhang Lei; Huang Haibin; Lu Linfeng; Tang Zhengxia; Shen Jiancang

    2010-01-01

    Epitaxial silicon thin film on textured double layer porous silicon (DLPS) was demonstrated. The textured DLPS was formed by electrochemical etching using two different current densities on the silicon wafer that are randomly textured with upright pyramids. Silicon thin films were then grown on the annealed DLPS, using low-pressure chemical vapor deposition (LPCVD). The reflectance of the DLPS and the grown silicon thin films were studied by a spectrophotometer. The crystallinity and topography of the grown silicon thin films were studied by Raman spectroscopy and SEM. The reflectance results show that the reflectance of the silicon wafer decreases from 24.7% to 11.7% after texturing, and after the deposition of silicon thin film the surface reflectance is about 13.8%. SEM images show that the epitaxial silicon film on textured DLPS exhibits random pyramids. The Raman spectrum peaks near 521 cm -1 have a width of 7.8 cm -1 , which reveals the high crystalline quality of the silicon epitaxy.

  7. ITER - torus vacuum pumping system remote handling issues

    International Nuclear Information System (INIS)

    Stringer, J.

    1992-11-01

    This report describes design issues concerning remote maintenance of the ITER torus vacuum pumping system. Key issues under investigation in this report are bearings for inert gas operation, transporter integration options, cryopump access, gate valve maintenance frequency, tritium effects on materials, turbomolecular pump design, and remote maintenance. Alternative bearing materials are explored for inert gas operation. Encapsulated motors and rotary feedthroughs offer an alternative option where space requirements are restrictive. A number of transporter options are studied. The preferred scheme depends on the shielded reconfigured ducts to prevent streaming and activation of RH (remote handling) equipment. A radiation mapping of the cell is required to evaluate this concept. Valve seal and bellow life are critical issues and need to be evaluated, as they have a direct bearing on the provision of adequate RH equipment to meet scheduled and unscheduled maintenance outages. The limited space on the inboard side of the cryopumps for RH equipment access requires a reconfigured duct and manifold. A modified shielded duct arrangement is proposed, which would provide more access space, reduced activation of components, and the potential for improved valve seal life. Work at Mound Laboratories has shown the adverse effects of tritium on some bearing lubricants. Silicone-based lubricants should be avoided. (11 refs., 2 tabs., 31 figs.)

  8. Exporting Australia's remote area power supply industry

    International Nuclear Information System (INIS)

    Presnell, K.

    2001-01-01

    The Australian renewable energy industry has two faces: Remote Area Power Supply systems (RAPS), where the trade-off is between the traditional diesel generator and diesel hybrid or the stand alone renewable energy system. The competency of the Australian RAPS industry is recognised internationally. Grid connected renewable energy technologies, where industry activity is expanding rapidly, but where Australian competencies carry relatively little weight internationally (other than for research and development of related components such as big performance, crystalline silicon photovoltaic cells). Individual industry development strategies are required in each instance. The focus of this paper is on strategies that enhance the export potential of the Australian RAPS industry. Involvement of the electricity supply utilities is promoted as a means of quickly instituting a substantial industry presence. The term RAPS can be confusing. It is used to describe any supply system serving a remote user, be they a single property owner with a simple, stand alone DC photovoltaic supply, or several communities with complex, inter-connected, diesel/hybrid power stations, sometimes termed a 'remote-grid'. Utility interest tends to emerge as market fragmentation decreases, system complexity increases and economies of scale become evident. A review of the domestic situation is a necessary adjunct to development of export strategies for Australian RAPS products. The two are inexorably linked, as is reflected in the format of this paper. (author)

  9. Production of electronic grade lunar silicon by disproportionation of silicon difluoride

    Science.gov (United States)

    Agosto, William N.

    1993-01-01

    Waldron has proposed to extract lunar silicon by sodium reduction of sodium fluorosilicate derived from reacting sodium fluoride with lunar silicon tetrafluoride. Silicon tetrafluoride is obtained by the action of hydrofluoric acid on lunar silicates. While these reactions are well understood, the resulting lunar silicon is not likely to meet electronic specifications of 5 nines purity. Dale and Margrave have shown that silicon difluoride can be obtained by the action of silicon tetrafluoride on elemental silicon at elevated temperatures (1100-1200 C) and low pressures (1-2 torr). The resulting silicon difluoride will then spontaneously disproportionate into hyperpure silicon and silicon tetrafluoride in vacuum at approximately 400 C. On its own merits, silicon difluoride polymerizes into a tough waxy solid in the temperature range from liquid nitrogen to about 100 C. It is the silicon analog of teflon. Silicon difluoride ignites in moist air but is stable under lunar surface conditions and may prove to be a valuable industrial material that is largely lunar derived for lunar surface applications. The most effective driver for lunar industrialization may be the prospects for industrial space solar power systems in orbit or on the moon that are built with lunar materials. Such systems would require large quantities of electronic grade silicon or compound semiconductors for photovoltaics and electronic controls. Since silicon is the most abundant semimetal in the silicate portion of any solar system rock (approximately 20 wt percent), lunar silicon production is bound to be an important process in such a solar power project. The lunar silicon extraction process is discussed.

  10. Surface morphology and grain analysis of successively industrially grown amorphous hydrogenated carbon films (a-C:H) on silicon

    Science.gov (United States)

    Catena, Alberto; McJunkin, Thomas; Agnello, Simonpietro; Gelardi, Franco M.; Wehner, Stefan; Fischer, Christian B.

    2015-08-01

    Silicon (1 0 0) has been gradually covered by amorphous hydrogenated carbon (a-C:H) films via an industrial process. Two types of these diamond-like carbon (DLC) coatings, one more flexible (f-DLC) and one more robust (r-DLC), have been investigated. Both types have been grown by a radio frequency plasma-enhanced chemical vapor deposition (RF-PECVD) technique with acetylene plasma. Surface morphologies have been studied in detail by atomic force microscopy (AFM) and Raman spectroscopy has been used to investigate the DLC structure. Both types appeared to have very similar morphology and sp2 carbon arrangement. The average height and area for single grains have been analyzed for all depositions. A random distribution of grain heights was found for both types. The individual grain structures between the f- and r-type revealed differences: the shape for the f-DLC grains is steeper than for the r-DLC grains. By correlating the average grain heights to the average grain areas for all depositions a limited region is identified, suggesting a certain regularity during the DLC deposition mechanisms that confines both values. A growth of the sp2 carbon entities for high r-DLC depositions is revealed and connected to a structural rearrangement of carbon atom hybridizations and hydrogen content in the DLC structure.

  11. Nitrogen-doped amorphous carbon-silicon core-shell structures for high-power supercapacitor electrodes.

    Science.gov (United States)

    Tali, S A Safiabadi; Soleimani-Amiri, S; Sanaee, Z; Mohajerzadeh, S

    2017-02-10

    We report successful deposition of nitrogen-doped amorphous carbon films to realize high-power core-shell supercapacitor electrodes. A catalyst-free method is proposed to deposit large-area stable, highly conformal and highly conductive nitrogen-doped amorphous carbon (a-C:N) films by means of a direct-current plasma enhanced chemical vapor deposition technique (DC-PECVD). This approach exploits C 2 H 2 and N 2 gases as the sources of carbon and nitrogen constituents and can be applied to various micro and nanostructures. Although as-deposited a-C:N films have a porous surface, their porosity can be significantly improved through a modification process consisting of Ni-assisted annealing and etching steps. The electrochemical analyses demonstrated the superior performance of the modified a-C:N as a supercapacitor active material, where specific capacitance densities as high as 42 F/g and 8.5 mF/cm 2 (45 F/cm 3 ) on silicon microrod arrays were achieved. Furthermore, this supercapacitor electrode showed less than 6% degradation of capacitance over 5000 cycles of a galvanostatic charge-discharge test. It also exhibited a relatively high energy density of 2.3 × 10 3  Wh/m 3 (8.3 × 10 6  J/m 3 ) and ultra-high power density of 2.6 × 10 8  W/m 3 which is among the highest reported values.

  12. Colloidal characterization of ultrafine silicon carbide and silicon nitride powders

    Science.gov (United States)

    Whitman, Pamela K.; Feke, Donald L.

    1986-01-01

    The effects of various powder treatment strategies on the colloid chemistry of aqueous dispersions of silicon carbide and silicon nitride are examined using a surface titration methodology. Pretreatments are used to differentiate between the true surface chemistry of the powders and artifacts resulting from exposure history. Silicon nitride powders require more extensive pretreatment to reveal consistent surface chemistry than do silicon carbide powders. As measured by titration, the degree of proton adsorption from the suspending fluid by pretreated silicon nitride and silicon carbide powders can both be made similar to that of silica.

  13. Low-stress silicon nitride layers for MEMS applications

    Science.gov (United States)

    Iliescu, Ciprian; Wei, Jiashen; Chen, Bangtao; Ong, Poh Lam; Tay, Francis E. H.

    2006-12-01

    The paper presents two deposition methods for generation of SiN x layers with "zero" residual stress in PECVD reactors: mixed frequency and high power in high frequency mode (13.56 MHz). Traditionally, mix frequency mode is commonly used to produce low stress SiN x layers, which alternatively applies the HF and LF mode. However, due to the low deposition rate of LF mode, the combined deposition rate of mix frequency is quite small in order to produce homogenous SiN x layers. In the second method, a high power which was up to 600 W has been used, may also produce low residual stress (0-20 MPa), with higher deposition rate (250 to 350 nm/min). The higher power not only leads to higher dissociation rates of gases which results in higher deposition rates, but also brings higher N bonding in the SiN x films and higher compressive stress from higher volume expansion of SiN x films, which compensates the tensile stress and produces low residual stress. In addition, the paper investigates the influence of other important parameters which have great impact to the residual stress and deposition rates, such as reactant gases flow rate and pressure. By using the final optimized recipe, masking layer for anisotropic wet etching in KOH and silicon nitride cantilever have been successfully fabricated based on the low stress SiN x layers. Moreover, nanoporous membrane with 400nm pores has also been fabricated and tested for cell culture. By cultivating the mouse D1 mesenchymal stem cells on top of the nanoporous membrane, the results showed that mouse D1 mesenchymal stem cells were able to grow well. This shows that the nanoporous membrane can be used as the platform for interfacing with living cells to become biocapsules for biomolecular separation.

  14. Tunnel oxide passivated rear contact for large area n-type front junction silicon solar cells providing excellent carrier selectivity

    Directory of Open Access Journals (Sweden)

    Yuguo Tao

    2016-01-01

    Full Text Available Carrier-selective contact with low minority carrier recombination and efficient majority carrier transport is mandatory to eliminate metal-induced recombination for higher energy conversion efficiency for silicon (Si solar cells. In the present study, the carrier-selective contact consists of an ultra-thin tunnel oxide and a phosphorus-doped polycrystalline Si (poly-Si thin film formed by plasma enhanced chemical vapor deposition (PECVD and subsequent thermal crystallization. It is shown that the poly-Si film properties (doping level, crystallization and dopant activation anneal temperature are crucial for achieving excellent contact passivation quality. It is also demonstrated quantitatively that the tunnel oxide plays a critical role in this tunnel oxide passivated contact (TOPCON scheme to realize desired carrier selectivity. Presence of tunnel oxide increases the implied Voc (iVoc by ~ 125 mV. The iVoc value as high as 728 mV is achieved on symmetric structure with TOPCON on both sides. Large area (239 cm2 n-type Czochralski (Cz Si solar cells are fabricated with homogeneous implanted boron emitter and screen-printed contact on the front and TOPCON on the back, achieving 21.2% cell efficiency. Detailed analysis shows that the performance of these cells is mainly limited by boron emitter recombination on the front side.

  15. Patterned carbon nanotubes fabricated by the combination of microcontact printing and diblock copolymer micelles.

    Science.gov (United States)

    Xu, Peng; Ji, Xin; Qi, Junlei; Yang, Hongmin; Zheng, Weitao; Abetz, Volker; Jiang, Shimei; Shen, Jiacong

    2010-01-01

    A convenient approach to synthesize patterned carbon nanotubes (CNTs) of three morphologies on printed substrates by combination of microcontact printing (microCP) and a plasma-enhanced chemical vapor deposition (PECVD) process is presented. Micelles of polystyrene-block-poly-(2-vinylpyridine) (PS-b-P2VP) in toluene were used as nanoreactors to fabricate FeCl3 in the core domains, and the complex solution was used as an ink to print films with polydimethylsiloxane (PDMS) stamps, different morphologies (porous, dots and stripes patterns) of the FeCl3-loaded micellar films were left onto silicon substrates after printed. After removing the polymer by thermal decomposition, the left iron oxide cluster arrays on the substrate were used as catalysts for the growth of CNTs by the process of PECVD, where the CNTs uniformly distributed on the substrates according to the morphologies of patterned catalysts arrays.

  16. Arsenic implantation into polycrystalline silicon and diffusion to silicon substrate

    International Nuclear Information System (INIS)

    Tsukamoto, K.; Akasaka, Y.; Horie, K.

    1977-01-01

    Arsenic implantation into polycrystalline silicon and drive-in diffusion to silicon substrate have been investigated by MeV He + backscattering analysis and also by electrical measurements. The range distributions of arsenic implanted into polycrystalline silicon are well fitted to Gaussian distributions over the energy range 60--350 keV. The measured values of R/sub P/ and ΔR/sub P/ are about 10 and 20% larger than the theoretical predictions, respectively. The effective diffusion coefficient of arsenic implanted into polycrystalline silicon is expressed as D=0.63 exp[(-3.22 eV/kT)] and is independent of the arsenic concentration. The drive-in diffusion of arsenic from the implanted polycrystalline silicon layer into the silicon substrate is significantly affected by the diffusion atmosphere. In the N 2 atmosphere, a considerable amount of arsenic atoms diffuses outward to the ambient. The outdiffusion can be suppressed by encapsulation with Si 3 N 4 . In the oxidizing atmosphere, arsenic atoms are driven inward by growing SiO 2 due to the segregation between SiO 2 and polycrystalline silicon, and consequently the drive-in diffusion of arsenic is enhanced. At the interface between the polycrystalline silicon layer and the silicon substrate, arsenic atoms are likely to segregate at the polycrystalline silicon side

  17. Surface passivation by Al2O3 and a-SiNx: H films deposited on wet-chemically conditioned Si surfaces

    NARCIS (Netherlands)

    Bordihn, S.; Mertens, V.; Engelhart, P.; Kersten, K.; Mandoc, M.M.; Müller, J.W.; Kessels, W.M.M.

    2012-01-01

    The surface passivation of p- and n-type silicon by different chemically grown SiO2 films (prepared by HNO3, H2SO4/H2O2 and HCl/H2O2 treatments) was investigated after PECVD of a-SiNx:H and ALD of Al2O3 capping films. The wet chemically grown SiO2 films were compared to thermally grown SiO2 and the

  18. Si Nano wires Produced by Very High Frequency Plasma Enhanced Chemical Vapor Deposition (PECVD) via VLS Mechanism

    International Nuclear Information System (INIS)

    Yussof Wahab; Yussof Wahab; Habib Hamidinezhad; Habib Hamidinezhad

    2013-01-01

    Silicon nano wires (SiNWs) with diameter of about a few nanometers and length of 3 μm on silicon wafers were synthesized by very high frequency plasma enhanced chemical vapor deposition. Scanning electron microscopy (SEM) observations showed that the silicon nano wires were grown randomly and energy-dispersive X-ray spectroscopy analysis indicates that the nano wires have the composition of Si, Au and O elements. The SiNWs were characterized by high resolution transmission electron microscopy (HRTEM) and Raman spectroscopy. SEM micrographs displayed SiNWs that are needle-like with a diameter ranged from 30 nm at the top to 100 nm at the bottom of the wire and have length a few of micrometers. In addition, HRTEM showed that SiNWs consist of crystalline silicon core and amorphous silica layer. (author)

  19. Microcrystalline silicon oxides for silicon-based solar cells: impact of the O/Si ratio on the electronic structure

    Science.gov (United States)

    Bär, M.; Starr, D. E.; Lambertz, A.; Holländer, B.; Alsmeier, J.-H.; Weinhardt, L.; Blum, M.; Gorgoi, M.; Yang, W.; Wilks, R. G.; Heske, C.

    2014-10-01

    Hydrogenated microcrystalline silicon oxide (μc-SiOx:H) layers are one alternative approach to ensure sufficient interlayer charge transport while maintaining high transparency and good passivation in Si-based solar cells. We have used a combination of complementary x-ray and electron spectroscopies to study the chemical and electronic structure of the (μc-SiOx:H) material system. With these techniques, we monitor the transition from a purely Si-based crystalline bonding network to a silicon oxide dominated environment, coinciding with a significant decrease of the material's conductivity. Most Si-based solar cell structures contain emitter/contact/passivation layers. Ideally, these layers fulfill their desired task (i.e., induce a sufficiently high internal electric field, ensure a good electric contact, and passivate the interfaces of the absorber) without absorbing light. Usually this leads to a trade-off in which a higher transparency can only be realized at the expense of the layer's ability to properly fulfill its task. One alternative approach is to use hydrogenated microcrystalline silicon oxide (μc-SiOx:H), a mixture of microcrystalline silicon and amorphous silicon (sub)oxide. The crystalline Si regions allow charge transport, while the oxide matrix maintains a high transparency. To date, it is still unclear how in detail the oxygen content influences the electronic structure of the μc-SiOx:H mixed phase material. To address this question, we have studied the chemical and electronic structure of the μc-SiOx:H (0 0.5, we observe a pronounced decrease of Si 3s - Si 3p hybridization in favor of Si 3p - O 2p hybridization in the upper valence band. This coincides with a significant increase of the material's resistivity, possibly indicating the breakdown of the conducting crystalline Si network. Silicon oxide layers with a thickness of several hundred nanometres were deposited in a PECVD (plasma-enhanced chemical vapor deposition) multi chamber system

  20. Porous silicon: silicon quantum dots for photonic applications

    International Nuclear Information System (INIS)

    Pavesi, L.; Guardini, R.

    1996-01-01

    Porous silicon formation and structure characterization are briefly illustrated. Its luminescence properties rae presented and interpreted on the basis of exciton recombination in quantum dot structures: the trap-controlled hopping mechanism is used to describe the recombination dynamics. Porous silicon application to photonic devices is considered: porous silicon multilayer in general, and micro cavities in particular are described. The present situation in the realization of porous silicon LEDs is considered, and future developments in this field of research are suggested. (author). 30 refs., 30 figs., 13 tabs

  1. Silicon-Rich Silicon Carbide Hole-Selective Rear Contacts for Crystalline-Silicon-Based Solar Cells.

    Science.gov (United States)

    Nogay, Gizem; Stuckelberger, Josua; Wyss, Philippe; Jeangros, Quentin; Allebé, Christophe; Niquille, Xavier; Debrot, Fabien; Despeisse, Matthieu; Haug, Franz-Josef; Löper, Philipp; Ballif, Christophe

    2016-12-28

    The use of passivating contacts compatible with typical homojunction thermal processes is one of the most promising approaches to realizing high-efficiency silicon solar cells. In this work, we investigate an alternative rear-passivating contact targeting facile implementation to industrial p-type solar cells. The contact structure consists of a chemically grown thin silicon oxide layer, which is capped with a boron-doped silicon-rich silicon carbide [SiC x (p)] layer and then annealed at 800-900 °C. Transmission electron microscopy reveals that the thin chemical oxide layer disappears upon thermal annealing up to 900 °C, leading to degraded surface passivation. We interpret this in terms of a chemical reaction between carbon atoms in the SiC x (p) layer and the adjacent chemical oxide layer. To prevent this reaction, an intrinsic silicon interlayer was introduced between the chemical oxide and the SiC x (p) layer. We show that this intrinsic silicon interlayer is beneficial for surface passivation. Optimized passivation is obtained with a 10-nm-thick intrinsic silicon interlayer, yielding an emitter saturation current density of 17 fA cm -2 on p-type wafers, which translates into an implied open-circuit voltage of 708 mV. The potential of the developed contact at the rear side is further investigated by realizing a proof-of-concept hybrid solar cell, featuring a heterojunction front-side contact made of intrinsic amorphous silicon and phosphorus-doped amorphous silicon. Even though the presented cells are limited by front-side reflection and front-side parasitic absorption, the obtained cell with a V oc of 694.7 mV, a FF of 79.1%, and an efficiency of 20.44% demonstrates the potential of the p + /p-wafer full-side-passivated rear-side scheme shown here.

  2. Application of rapid thermal processing on SiNx thin film to solar cells

    Institute of Scientific and Technical Information of China (English)

    Youjie LI; Peiqing LUO; Zhibin ZHOU; Rongqiang CUI; Jianhua HUANG; Jingxiao WANG

    2008-01-01

    Rapid thermal processing (RTP) of SiNx thin films from PECVD with low temperature was investigated. A special processing condition of this technique which could greatly increase the minority lifetime was found in the experiments. The processing mechanism and the application of the technique to silicon solar cells fabrication were dis-cussed. A main achievement is an increase of the minority lifetime in silicon wafer with SiNx thin film by about 200% after the RTP was reached. PC-1D simulation results exhibit an enhancement of the efficiency of the solar cell by 0.42% coming from the minority lifetime improvement. The same experiment was also conducted with P-diffusion silicon wafers, but the increment of minority lifetime is just about 55%. It could be expected to improve the solar cell efficiency if it would be used in silicon solar cells fabrication with the combination of laser firing contact technique.

  3. Remote Driven and Read MEMS Sensors for Harsh Environments

    Directory of Open Access Journals (Sweden)

    David W. Vernooy

    2013-10-01

    Full Text Available The utilization of high accuracy sensors in harsh environments has been limited by the temperature constraints of the control electronics that must be co-located with the sensor. Several methods of remote interrogation for resonant sensors are presented in this paper which would allow these sensors to be extended to harsh environments. This work in particular demonstrates for the first time the ability to acoustically drive a silicon comb drive resonator into resonance and electromagnetically couple to the resonator to read its frequency. The performance of this system was studied as a function of standoff distance demonstrating the ability to excite and read the device from 22 cm when limited to drive powers of 30 mW. A feedback architecture was implemented that allowed the resonator to be driven into resonance from broadband noise and a standoff distance of 15 cm was demonstrated. It is emphasized that no junction-based electronic device was required to be co-located with the resonator, opening the door for the use of silicon-based, high accuracy MEMS devices in high temperature wireless applications.

  4. Geochemistry of silicon isotopes

    Energy Technology Data Exchange (ETDEWEB)

    Ding, Tiping; Li, Yanhe; Gao, Jianfei; Hu, Bin [Chinese Academy of Geological Science, Beijing (China). Inst. of Mineral Resources; Jiang, Shaoyong [China Univ. of Geosciences, Wuhan (China).

    2018-04-01

    Silicon is one of the most abundant elements in the Earth and silicon isotope geochemistry is important in identifying the silicon source for various geological bodies and in studying the behavior of silicon in different geological processes. This book starts with an introduction on the development of silicon isotope geochemistry. Various analytical methods are described and compared with each other in detail. The mechanisms of silicon isotope fractionation are discussed, and silicon isotope distributions in various extraterrestrial and terrestrial reservoirs are updated. Besides, the applications of silicon isotopes in several important fields are presented.

  5. Tenth Workshop on Crystalline Silicon Solar Cell Materials and Processes: A Summary of Discussion Sessions

    Energy Technology Data Exchange (ETDEWEB)

    Tan, T.; Swanson, D.; Sinton, R.; Sopori, B.

    2001-01-22

    The 10th Workshop on Silicon Solar Cell Materials and Processes was held in Copper Mountain, Colorado, on August 13-16, 2000. The workshop was attended by 85 scientists and engineers from 15 international photovoltaic (PV) companies and 24 research institutions. Review and poster presentations were augmented by discussion sessions to address the recent progress and critical issues in meeting the goals for Si in the PV Industry Roadmap. The theme of the workshop was Si Photovoltaics: 10 Years of Progress and Opportunities for the Future. Two special sessions were held: Advanced Metallization and Interconnections - covering recent advances in solar cell metallization, printed contacts and interconnections, and addressing new metallization schemes for low-cost cell interconnections; and Characterization Methods - addressing the growing need for process monitoring techniques in the PV industry. The following major issues emerged from the discussion sessions: (1) Mechanical breakage in the P V industry involves a large fraction, about 5%-10%, of the wafers. (2) The current use of Al screen-printed back-contacts appears to be incompatible with the PV Industry Roadmap requirements. (3) The PV manufacturers who use hydrogen passivation should incorporate the plasma-enhanced chemical vapor deposited (PECVD) nitride for antireflection coating and hydrogenation. (4) There is an imminent need to dissolve metallic precipitates to minimize the electrical shunt problem caused by the ''bad'' regions in wafers. (5) Industry needs equipment for automated, in-line monitoring and testing. There are simply not many tools available to industry. (6) In the Wrap-Up Session of the workshop, there was consensus to create four industry/university teams that would address critical research topics in crystalline silicon. (7) The workshop attendees unanimously agreed that the workshop has served well the PV community by promoting the fundamental understanding of industrial

  6. Silicon heterojunction transistor

    International Nuclear Information System (INIS)

    Matsushita, T.; Oh-uchi, N.; Hayashi, H.; Yamoto, H.

    1979-01-01

    SIPOS (Semi-insulating polycrystalline silicon) which is used as a surface passivation layer for highly reliable silicon devices constitutes a good heterojunction for silicon. P- or B-doped SIPOS has been used as the emitter material of a heterojunction transistor with the base and collector of silicon. An npn SIPOS-Si heterojunction transistor showing 50 times the current gain of an npn silicon homojunction transistor has been realized by high-temperature treatments in nitrogen and low-temperature annealing in hydrogen or forming gas

  7. Fixed-focus camera objective for small remote sensing satellites

    Science.gov (United States)

    Topaz, Jeremy M.; Braun, Ofer; Freiman, Dov

    1993-09-01

    An athermalized objective has been designed for a compact, lightweight push-broom camera which is under development at El-Op Ltd. for use in small remote-sensing satellites. The high performance objective has a fixed focus setting, but maintains focus passively over the full range of temperatures encountered in small satellites. The lens is an F/5.0, 320 mm focal length Tessar type, operating over the range 0.5 - 0.9 micrometers . It has a 16 degree(s) field of view and accommodates various state-of-the-art silicon detector arrays. The design and performance of the objective is described in this paper.

  8. Vertical integration of high-Q silicon nitride microresonators into silicon-on-insulator platform.

    Science.gov (United States)

    Li, Qing; Eftekhar, Ali A; Sodagar, Majid; Xia, Zhixuan; Atabaki, Amir H; Adibi, Ali

    2013-07-29

    We demonstrate a vertical integration of high-Q silicon nitride microresonators into the silicon-on-insulator platform for applications at the telecommunication wavelengths. Low-loss silicon nitride films with a thickness of 400 nm are successfully grown, enabling compact silicon nitride microresonators with ultra-high intrinsic Qs (~ 6 × 10(6) for 60 μm radius and ~ 2 × 10(7) for 240 μm radius). The coupling between the silicon nitride microresonator and the underneath silicon waveguide is based on evanescent coupling with silicon dioxide as buffer. Selective coupling to a desired radial mode of the silicon nitride microresonator is also achievable using a pulley coupling scheme. In this work, a 60-μm-radius silicon nitride microresonator has been successfully integrated into the silicon-on-insulator platform, showing a single-mode operation with an intrinsic Q of 2 × 10(6).

  9. Analytical and Experimental Evaluation of Joining Silicon Carbide to Silicon Carbide and Silicon Nitride to Silicon Nitride for Advanced Heat Engine Applications Phase II

    Energy Technology Data Exchange (ETDEWEB)

    Sundberg, G.J.

    1994-01-01

    Techniques were developed to produce reliable silicon nitride to silicon nitride (NCX-5101) curved joins which were used to manufacture spin test specimens as a proof of concept to simulate parts such as a simple rotor. Specimens were machined from the curved joins to measure the following properties of the join interlayer: tensile strength, shear strength, 22 C flexure strength and 1370 C flexure strength. In parallel, extensive silicon nitride tensile creep evaluation of planar butt joins provided a sufficient data base to develop models with accurate predictive capability for different geometries. Analytical models applied satisfactorily to the silicon nitride joins were Norton's Law for creep strain, a modified Norton's Law internal variable model and the Monkman-Grant relationship for failure modeling. The Theta Projection method was less successful. Attempts were also made to develop planar butt joins of siliconized silicon carbide (NT230).

  10. Characterization of microcrystalline I-layer for solar cells prepared in low temperature - plastic compatible process

    KAUST Repository

    Sliz, Rafal

    2012-06-01

    Microcrystalline silicon (mc-Si) lms deposited using a Plasma Enhanced Chemical Vapour Deposition (PECVD) process constitute an important material for manufacturing low-cost, large-area thin-lm devices, such as solar cells or thin-lm transistors. Although the deposition of electronic-grade mc-Si using the PECVD process is now well established, the high substrate temperature required (~400°C) does not lend itself to electronic devices with exible form factors fabricated on low-cost plastic substrates. In this study, we rst investigated an intrinsic mc-Si layer deposited at plastic-compatible substrate temperatures (~150°C) by characterising the properties of the lm and then evaluated its applicability to p-i-n solar cells though device characterisation. When the performance of the solar cell was correlated with lm properties, it was found that, although it compared unfavourably with mc-Si deposited at higher temperatures, it remained a very promising option. Nonetheless, further development is required to increase the overall eciency of mc-Si exible solar cells.

  11. Organic Field-Effect-Transistors with Pentacene for radio-controlled-price-tag applications

    Directory of Open Access Journals (Sweden)

    C. Pannemannn

    2003-01-01

    Full Text Available This letter presents organic thin-film-transistors (OTFT using the small organic molecule Pentacene targeting applications like radio controlled identification tags. Simple OTFTs as well as inverter circuits based on a pconducting silicon wafer substrate are presented. Comparing PECVD oxide and LTO as dielectric, only LTO deposited layers provide sufficient electrical stability. PECVD oxides show defects called “pin-holes", leading to short circuiting through the gate dielectrics. OTFTs of L=1µm/W=1000µm were prepared providing Ids = 61µA at –40Vds and –40Vgs, a subthreshold slope of 10.3 V/dec and an on-offratio of 102. The inverter circuits using insulated gate contacts switch from VA=–10V to VA=–3V output voltage when the input voltage is varied from VE=0V to VE=–8V at a supplied voltage of VB=–10V.

  12. Electrical leakage phenomenon in heteroepitaxial cubic silicon carbide on silicon

    Science.gov (United States)

    Pradeepkumar, Aiswarya; Zielinski, Marcin; Bosi, Matteo; Verzellesi, Giovanni; Gaskill, D. Kurt; Iacopi, Francesca

    2018-06-01

    Heteroepitaxial 3C-SiC films on silicon substrates are of technological interest as enablers to integrate the excellent electrical, electronic, mechanical, thermal, and epitaxial properties of bulk silicon carbide into well-established silicon technologies. One critical bottleneck of this integration is the establishment of a stable and reliable electronic junction at the heteroepitaxial interface of the n-type SiC with the silicon substrate. We have thus investigated in detail the electrical and transport properties of heteroepitaxial cubic silicon carbide films grown via different methods on low-doped and high-resistivity silicon substrates by using van der Pauw Hall and transfer length measurements as test vehicles. We have found that Si and C intermixing upon or after growth, particularly by the diffusion of carbon into the silicon matrix, creates extensive interstitial carbon traps and hampers the formation of a stable rectifying or insulating junction at the SiC/Si interface. Although a reliable p-n junction may not be realistic in the SiC/Si system, we can achieve, from a point of view of the electrical isolation of in-plane SiC structures, leakage suppression through the substrate by using a high-resistivity silicon substrate coupled with deep recess etching in between the SiC structures.

  13. Remote quantum entanglement between two micromechanical oscillators.

    Science.gov (United States)

    Riedinger, Ralf; Wallucks, Andreas; Marinković, Igor; Löschnauer, Clemens; Aspelmeyer, Markus; Hong, Sungkun; Gröblacher, Simon

    2018-04-01

    Entanglement, an essential feature of quantum theory that allows for inseparable quantum correlations to be shared between distant parties, is a crucial resource for quantum networks 1 . Of particular importance is the ability to distribute entanglement between remote objects that can also serve as quantum memories. This has been previously realized using systems such as warm 2,3 and cold atomic vapours 4,5 , individual atoms 6 and ions 7,8 , and defects in solid-state systems 9-11 . Practical communication applications require a combination of several advantageous features, such as a particular operating wavelength, high bandwidth and long memory lifetimes. Here we introduce a purely micromachined solid-state platform in the form of chip-based optomechanical resonators made of nanostructured silicon beams. We create and demonstrate entanglement between two micromechanical oscillators across two chips that are separated by 20 centimetres . The entangled quantum state is distributed by an optical field at a designed wavelength near 1,550 nanometres. Therefore, our system can be directly incorporated in a realistic fibre-optic quantum network operating in the conventional optical telecommunication band. Our results are an important step towards the development of large-area quantum networks based on silicon photonics.

  14. Fluorescence and thermoluminescence in silicon oxide films rich in silicon

    International Nuclear Information System (INIS)

    Berman M, D.; Piters, T. M.; Aceves M, M.; Berriel V, L. R.; Luna L, J. A.

    2009-10-01

    In this work we determined the fluorescence and thermoluminescence (TL) creation spectra of silicon rich oxide films (SRO) with three different silicon excesses. To study the TL of SRO, 550 nm of SRO film were deposited by Low Pressure Chemical Vapor Deposition technique on N-type silicon substrates with resistivity in the order of 3 to 5 Ω-cm with silicon excess controlled by the ratio of the gases used in the process, SRO films with Ro= 10, 20 and 30 (12-6% silicon excess) were obtained. Then, they were thermally treated in N 2 at high temperatures to diffuse and homogenize the silicon excess. In the fluorescence spectra two main emission regions are observed, one around 400 nm and one around 800 nm. TL creation spectra were determined by plotting the integrated TL intensity as function of the excitation wavelength. (Author)

  15. Buried oxide layer in silicon

    Science.gov (United States)

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2001-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  16. Optical properties of diamond like carbon nanocomposite thin films

    Science.gov (United States)

    Alam, Md Shahbaz; Mukherjee, Nillohit; Ahmed, Sk. Faruque

    2018-05-01

    The optical properties of silicon incorporated diamond like carbon (Si-DLC) nanocomposite thin films have been reported. The Si-DLC nanocomposite thin film deposited on glass and silicon substrate by radio frequency plasma enhanced chemical vapor deposition (RF-PECVD) process. Fourier transformed infrared spectroscopic analysis revealed the presence of different bonding within the deposited films and deconvolution of FTIR spectra gives the chemical composition i.e., sp3/sp2 ratio in the films. Optical band gap calculated from transmittance spectra increased from 0.98 to 2.21 eV with a variation of silicon concentration from 0 to 15.4 at. %. Due to change in electronic structure by Si incorporation, the Si-DLC film showed a broad photoluminescence (PL) peak centered at 467 nm, i.e., in the visible range and its intensity was found to increase monotonically with at. % of Si.

  17. Significance of a Noble Metal Nanolayer on the UV and Visible Light Photocatalytic Activity of Anatase TiO2 Thin Films Grown from a Scalable PECVD/PVD Approach.

    Science.gov (United States)

    Baba, Kamal; Bulou, Simon; Quesada-Gonzalez, Miguel; Bonot, Sébastien; Collard, Delphine; Boscher, Nicolas D; Choquet, Patrick

    2017-11-29

    UV and visible light photocatalytic composite Pt and Au-TiO 2 coatings have been deposited on silicon and glass substrates at low temperature using a hybrid ECWR-PECVD/MS-PVD process. Methylene blue, stearic acid, and sulfamethoxazole were used as dye, organic, and antibiotic model pollutants, respectively, to demonstrate the efficiency of these nanocomposite coatings for water decontamination or self-cleaning surfaces applications. Raman investigations revealed the formation of anatase polymorph of TiO 2 in all synthesized coatings with a shifting of the main vibrational mode peak to higher wavenumber in the case of Au-TiO 2 coating, indicating an increase number of crystalline defects within this coating. Because of the difference of the chemical potentials of each of the investigated noble metals, the sputtered metal layers exhibit different morphology. Pt sputtered atoms, with high surface adhesion, promote formation of a smooth 2D layer. On the other hand, Au sputtered atoms with higher cohesive forces promote the formation of 5-10 nm nanoparticles. As a result, the surface plasmon resonance phenomenon was observed in the Au-TiO 2 coatings. UV photoactivity of the nanocomposite coatings was enhanced 1.5-3 times and 1.3 times for methylene blue and stearic acid, respectively, thanks to the enhancement of electron trapping in the noble metal layer. This electron trapping phenomenon is higher in the Pt-TiO 2 coating because of its larger work function. On the other hand, the enhancement of the visible photoactivity was more pronounced (3 and 7 times for methylene blue and stearic acid, respectively) in the case of Au-TiO 2 thanks to the surface plasmon resonance. Finally, these nanocomposite TiO 2 coatings exhibited also a good ability for the degradation of antibiotics usually found in wastewater such as sulfamethoxazole. However, a complementary test have showed an increase of the toxicity of the liquid medium after photocatalysis, which could be due the

  18. Ion beam studied of silicon oxynitride and silicon nitroxide thin layers

    International Nuclear Information System (INIS)

    Oude Elferink, J.B.

    1989-01-01

    In this the processes occurring during high temperature treatments of silicon oxynitride and silicon oxide layers are described. Oxynitride layers with various atomic oxygen to nitrogen concentration ration (O/N) are considered. The high energy ion beam techniques Rutherford backscattering spectroscopy, elastic recoil detection and nuclear reaction analysis have been used to study the layer structures. A detailed discussion of these ion beam techniques is given. Numerical methods used to obtain quantitative data on elemental compositions and depth profiles are described. The electrical compositions and depth profiles are described. The electrical properties of silicon nitride films are known to be influenced by the behaviour of hydrogen in the film during high temperature anneling. Investigations of the behaviour of hydrogen are presented. Oxidation of silicon (oxy)nitride films in O 2 /H 2 0/HCl and nitridation of silicon dioxide films in NH 3 are considered since oxynitrides are applied as an oxidation mask in the LOCOS (Local oxidation of silicon) process. The nitridation of silicon oxide layers in an ammonia ambient is considered. The initial stage and the dependence on the oxide thickness of nitrogen and hydrogen incorporation are discussed. Finally, oxidation of silicon oxynitride layers and of silicon oxide layers are compared. (author). 76 refs.; 48 figs.; 1 tab

  19. Nonlinear silicon photonics

    Science.gov (United States)

    Tsia, Kevin K.; Jalali, Bahram

    2010-05-01

    An intriguing optical property of silicon is that it exhibits a large third-order optical nonlinearity, with orders-ofmagnitude larger than that of silica glass in the telecommunication band. This allows efficient nonlinear optical interaction at relatively low power levels in a small footprint. Indeed, we have witnessed a stunning progress in harnessing the Raman and Kerr effects in silicon as the mechanisms for enabling chip-scale optical amplification, lasing, and wavelength conversion - functions that until recently were perceived to be beyond the reach of silicon. With all the continuous efforts developing novel techniques, nonlinear silicon photonics is expected to be able to reach even beyond the prior achievements. Instead of providing a comprehensive overview of this field, this manuscript highlights a number of new branches of nonlinear silicon photonics, which have not been fully recognized in the past. In particular, they are two-photon photovoltaic effect, mid-wave infrared (MWIR) silicon photonics, broadband Raman effects, inverse Raman scattering, and periodically-poled silicon (PePSi). These novel effects and techniques could create a new paradigm for silicon photonics and extend its utility beyond the traditionally anticipated applications.

  20. Liquid phase epitaxial growth of silicon on porous silicon for photovoltaic applications

    International Nuclear Information System (INIS)

    Berger, S.; Quoizola, S.; Fave, A.; Kaminski, A.; Perichon, S.; Barbier, D.; Laugier, A.

    2001-01-01

    The aim of this experiment is to grow a thin silicon layer ( 2 atmosphere, and finally LPE silicon growth with different temperature profiles in order to obtain a silicon layer on the sacrificial porous silicon (p-Si). We observed a pyramidal growth on the surface of the (100) porous silicon but the coalescence was difficult to obtain. However, on a p-Si (111) oriented wafer, homogeneous layers were obtained. (orig.)

  1. Nonlinear silicon photonics

    Science.gov (United States)

    Borghi, M.; Castellan, C.; Signorini, S.; Trenti, A.; Pavesi, L.

    2017-09-01

    Silicon photonics is a technology based on fabricating integrated optical circuits by using the same paradigms as the dominant electronics industry. After twenty years of fervid development, silicon photonics is entering the market with low cost, high performance and mass-manufacturable optical devices. Until now, most silicon photonic devices have been based on linear optical effects, despite the many phenomenologies associated with nonlinear optics in both bulk materials and integrated waveguides. Silicon and silicon-based materials have strong optical nonlinearities which are enhanced in integrated devices by the small cross-section of the high-index contrast silicon waveguides or photonic crystals. Here the photons are made to strongly interact with the medium where they propagate. This is the central argument of nonlinear silicon photonics. It is the aim of this review to describe the state-of-the-art in the field. Starting from the basic nonlinearities in a silicon waveguide or in optical resonator geometries, many phenomena and applications are described—including frequency generation, frequency conversion, frequency-comb generation, supercontinuum generation, soliton formation, temporal imaging and time lensing, Raman lasing, and comb spectroscopy. Emerging quantum photonics applications, such as entangled photon sources, heralded single-photon sources and integrated quantum photonic circuits are also addressed at the end of this review.

  2. Transformational silicon electronics

    KAUST Repository

    Rojas, Jhonathan Prieto

    2014-02-25

    In today\\'s traditional electronics such as in computers or in mobile phones, billions of high-performance, ultra-low-power devices are neatly integrated in extremely compact areas on rigid and brittle but low-cost bulk monocrystalline silicon (100) wafers. Ninety percent of global electronics are made up of silicon. Therefore, we have developed a generic low-cost regenerative batch fabrication process to transform such wafers full of devices into thin (5 μm), mechanically flexible, optically semitransparent silicon fabric with devices, then recycling the remaining wafer to generate multiple silicon fabric with chips and devices, ensuring low-cost and optimal utilization of the whole substrate. We show monocrystalline, amorphous, and polycrystalline silicon and silicon dioxide fabric, all from low-cost bulk silicon (100) wafers with the semiconductor industry\\'s most advanced high-κ/metal gate stack based high-performance, ultra-low-power capacitors, field effect transistors, energy harvesters, and storage to emphasize the effectiveness and versatility of this process to transform traditional electronics into flexible and semitransparent ones for multipurpose applications. © 2014 American Chemical Society.

  3. Silicon Microspheres Photonics

    International Nuclear Information System (INIS)

    Serpenguzel, A.

    2008-01-01

    Electrophotonic integrated circuits (EPICs), or alternatively, optoelectronic integrated circuit (OEICs) are the natural evolution of the microelectronic integrated circuit (IC) with the addition of photonic capabilities. Traditionally, the IC industry has been based on group IV silicon, whereas the photonics industry on group III-V semiconductors. However, silicon based photonic microdevices have been making strands in siliconizing photonics. Silicon microspheres with their high quality factor whispering gallery modes (WGMs), are ideal candidates for wavelength division multiplexing (WDM) applications in the standard near-infrared communication bands. In this work, we will discuss the possibility of using silicon microspheres for photonics applications in the near-infrared

  4. Germanium MOS capacitors grown on Silicon using low temperature RF-PECVD

    Science.gov (United States)

    Dushaq, Ghada; Rasras, Mahmoud; Nayfeh, Ammar

    2017-10-01

    In this paper, Ge metal-oxide-semiconductor capacitors (MOSCAPs) are fabricated on Si using a low temperature two-step deposition technique by radio frequency plasma enhanced chemical vapor deposition. The MOSCAP gate stack consists of atomic layer deposition of Al2O3 as the gate oxide and a Ti/Al metal gate electrode. The electrical characteristics of 9 nm Al2O3/i-Ge/Si MOSCAPs exhibit an n-type (p-channel) behavior and normal high frequency C-V responses. In addition to CV measurements, the gate leakage versus the applied voltage is measured and discussed. Moreover, the electrical behavior is discussed in terms of the material and interface quality. The Ge/high-k interface trap density versus the surface potential is extracted using the most commonly used methods in detemining the interface traps based on the capacitance-voltage (C-V) curves. The discussion included the Dit calculation from the conductance method, the high-low frequency (Castagné-Vapaille) method, and the Terman (high-frequency) method. Furthermore, the origins of the discrepancies in the interface trap densities determined from the different methods are discussed. The study of the post annealed Ge layers at different temperatures in H2 and N2 gas ambient revealed an improved electrical and transport properties of the films treated at T  Ge/Si demonstrates a great potential for p-channel transistor applications in a monolithically integrated CMOS platform.

  5. Production of technical silicon and silicon carbide from rice-husk

    Directory of Open Access Journals (Sweden)

    A. Z. Issagulov

    2014-10-01

    Full Text Available In the article there are studied physical and chemical properties of silicon-carbonic raw material – rice-husk, thermophysical characteristics of the process of rice-husk pyrolysis in nonreactive and oxidizing environment; structure and phase composition of products of the rice-husk pyrolysis in interval of temperatures 150 – 850 °С and high temperature pyrolysis in interval of temperatures 900 – 1 500 °С. There are defined the silicon-carbon production conditions, which meet the requirements applicable to charging materials at production of technical silicon and silicon carbide.

  6. Photovoltaic characteristics of porous silicon /(n+ - p) silicon solar cells

    International Nuclear Information System (INIS)

    Dzhafarov, T.D.; Aslanov, S.S.; Ragimov, S.H.; Sadigov, M.S.; Nabiyeva, A.F.; Yuksel, Aydin S.

    2012-01-01

    Full text : The purpose of this work is to improve the photovoltaic parameters of the screen-printed silicon solar cells by formation the nano-porous silicon film on the frontal surface of the cell. The photovoltaic characteristics of two type silicon solar cells with and without porous silicon layer were measured and compared. A remarkable increment of short-circuit current density and the efficiency by 48 percent and 20 percent, respectively, have been achieved for PS/(n + - pSi) solar cell comparing to (n + - p)Si solar cell without PS layer

  7. Performance improvement of silicon solar cells by nanoporous silicon coating

    Directory of Open Access Journals (Sweden)

    Dzhafarov T. D.

    2012-04-01

    Full Text Available In the present paper the method is shown to improve the photovoltaic parameters of screen-printed silicon solar cells by nanoporous silicon film formation on the frontal surface of the cell using the electrochemical etching. The possible mechanisms responsible for observed improvement of silicon solar cell performance are discussed.

  8. Reprogramming hMSCs morphology with silicon/porous silicon geometric micro-patterns.

    Science.gov (United States)

    Ynsa, M D; Dang, Z Y; Manso-Silvan, M; Song, J; Azimi, S; Wu, J F; Liang, H D; Torres-Costa, V; Punzon-Quijorna, E; Breese, M B H; Garcia-Ruiz, J P

    2014-04-01

    Geometric micro-patterned surfaces of silicon combined with porous silicon (Si/PSi) have been manufactured to study the behaviour of human Mesenchymal Stem Cells (hMSCs). These micro-patterns consist of regular silicon hexagons surrounded by spaced columns of silicon equilateral triangles separated by PSi. The results show that, at an early culture stage, the hMSCs resemble quiescent cells on the central hexagons with centered nuclei and actin/β-catenin and a microtubules network denoting cell adhesion. After 2 days, hMSCs adapted their morphology and cytoskeleton proteins from cell-cell dominant interactions at the center of the hexagonal surface. This was followed by an intermediate zone with some external actin fibres/β-catenin interactions and an outer zone where the dominant interactions are cell-silicon. Cells move into silicon columns to divide, migrate and communicate. Furthermore, results show that Runx2 and vitamin D receptors, both specific transcription factors for skeleton-derived cells, are expressed in cells grown on micropatterned silicon under all observed circumstances. On the other hand, non-phenotypic alterations are under cell growth and migration on Si/PSi substrates. The former consideration strongly supports the use of micro-patterned silicon surfaces to address pending questions about the mechanisms of human bone biogenesis/pathogenesis and the study of bone scaffolds.

  9. Study on structural properties of epitaxial silicon films on annealed double layer porous silicon

    International Nuclear Information System (INIS)

    Yue Zhihao; Shen Honglie; Cai Hong; Lv Hongjie; Liu Bin

    2012-01-01

    In this paper, epitaxial silicon films were grown on annealed double layer porous silicon by LPCVD. The evolvement of the double layer porous silicon before and after thermal annealing was investigated by scanning electron microscope. X-ray diffraction and Raman spectroscopy were used to investigate the structural properties of the epitaxial silicon thin films grown at different temperature and different pressure. The results show that the surface of the low-porosity layer becomes smooth and there are just few silicon-bridges connecting the porous layer and the substrate wafer. The qualities of the epitaxial silicon thin films become better along with increasing deposition temperature. All of the Raman peaks of silicon films with different deposition pressure are situated at 521 cm -1 under the deposition temperature of 1100 °C, and the Raman intensity of the silicon film deposited at 100 Pa is much closer to that of the monocrystalline silicon wafer. The epitaxial silicon films are all (4 0 0)-oriented and (4 0 0) peak of silicon film deposited at 100 Pa is more symmetric.

  10. Release of low molecular weight silicones and platinum from silicone breast implants.

    Science.gov (United States)

    Lykissa, E D; Kala, S V; Hurley, J B; Lebovitz, R M

    1997-12-01

    We have conducted a series of studies addressing the chemical composition of silicone gels from breast implants as well as the diffusion of low molecular weight silicones (LM-silicones) and heavy metals from intact implants into various surrounding media, namely, lipid-rich medium (soy oil), aqueous tissue culture medium (modified Dulbecco's medium, DMEM), or an emulsion consisting of DMEM plus 10% soy oil. LM-silicones in both implants and surrounding media were detected and quantitated using gas chromatography (GC) coupled with atomic emission (GC-AED) as well as mass spectrometric (GC/MS) detectors, which can detect silicones in the nanogram range. Platinum, a catalyst used in the preparation of silicone gels, was detected and quantitated using inductive argon-coupled plasma/mass spectrometry (ICP-MS), which can detect platinum in the parts per trillion range. Our results indicate that GC-detectable low molecular weight silicones contribute approximately 1-2% to the total gel mass and consist predominantly of cyclic and linear poly-(dimethylsiloxanes) ranging from 3 to 20 siloxane [(CH3)2-Si-O] units (molecular weight 200-1500). Platinum can be detected in implant gels at levels of approximately 700 micrograms/kg by ICP-MS. The major component of implant gels appears to be high molecular weight silicone polymers (HM-silicones) too large to be detected by GC. However, these HM-silicones can be converted almost quantitatively (80% by mass) to LM-silicones by heating implant gels at 150-180 degrees C for several hours. We also studied the rates at which LM-silicones and platinum leak through the intact implant outer shell into the surrounding media under a variety of conditions. Leakage of silicones was greatest when the surrounding medium was lipid-rich, and up to 10 mg/day LM-silicones was observed to diffuse into a lipid-rich medium per 250 g of implant at 37 degrees C. This rate of leakage was maintained over a 7-day experimental period. Similarly, platinum was

  11. Light emitting structures porous silicon-silicon substrate

    International Nuclear Information System (INIS)

    Monastyrskii, L.S.; Olenych, I.B.; Panasjuk, M.R.; Savchyn, V.P.

    1999-01-01

    The research of spectroscopic properties of porous silicon has been done. Complex of photoluminescence, electroluminescence, cathodoluminescence, thermostimulated depolarisation current analyte methods have been applied to study of geterostructures and free layers of porous silicon. Light emitting processes had tendency to decrease. The character of decay for all kinds of luminescence were different

  12. Gelcasting of SiC/Si for preparation of silicon nitride bonded silicon carbide

    International Nuclear Information System (INIS)

    Xie, Z.P.; Tsinghua University, Beijing,; Cheng, Y.B.; Lu, J.W.; Huang, Y.

    2000-01-01

    In the present paper, gelcasting of aqueous slurry with coarse silicon carbide(1mm) and fine silicon particles was investigated to fabricate silicon nitride bonded silicon carbide materials. Through the examination of influence of different polyelectrolytes on the Zeta potential and viscosity of silicon and silicon carbide suspensions, a stable SiC/Si suspension with 60 vol% solid loading could be prepared by using polyelectrolyte of D3005 and sodium alginate. Gelation of this suspension can complete in 10-30 min at 60-80 deg C after cast into mold. After demolded, the wet green body can be dried directly in furnace and the green strength will develop during drying. Complex shape parts with near net size were prepared by the process. Effects of the debindering process on nitridation and density of silicon nitride bonded silicon carbide were also examined. Copyright (2000) The Australian Ceramic Society

  13. Silicon detectors

    International Nuclear Information System (INIS)

    Klanner, R.

    1984-08-01

    The status and recent progress of silicon detectors for high energy physics is reviewed. Emphasis is put on detectors with high spatial resolution and the use of silicon detectors in calorimeters. (orig.)

  14. FTIR studies of swift silicon and oxygen ion irradiated porous silicon

    International Nuclear Information System (INIS)

    Bhave, Tejashree M.; Hullavarad, S.S.; Bhoraskar, S.V.; Hegde, S.G.; Kanjilal, D.

    1999-01-01

    Fourier Transform Infrared Spectroscopy has been used to study the bond restructuring in silicon and oxygen irradiated porous silicon. Boron doped p-type (1 1 1) porous silicon was irradiated with 10 MeV silicon and a 14 MeV oxygen ions at different doses ranging between 10 12 and 10 14 ions cm -2 . The yield of PL in porous silicon irradiated samples was observed to increase considerably while in oxygen irradiated samples it was seen to improve only by a small extent for lower doses whereas it decreased for higher doses. The results were interpreted in view of the relative intensities of the absorption peaks associated with O-Si-H and Si-H stretch bonds

  15. A programmable electronic Microplex Driver Unit for readout of silicon strip detectors

    International Nuclear Information System (INIS)

    Bairstow, R.

    1990-08-01

    The unit provides the necessary signals to drive arrays of Microplex devices used to readout silicon strip Vertex detectors as used in DELPHI and OPAL at CERN. The unit has a CAMAC interface allowing operation of the unit by computer in a Remote-control mode. The computer can control all the essential parameters of the drive signals, together with the operational characteristics of the system. Alternatively, the unit can be used in a stand-alone Local-control mode. In this case the front panel controls and displays enable the user to set up the unit. (author)

  16. Strategies for doped nanocrystalline silicon integration in silicon heterojunction solar cells

    Czech Academy of Sciences Publication Activity Database

    Seif, J.; Descoeudres, A.; Nogay, G.; Hänni, S.; de Nicolas, S.M.; Holm, N.; Geissbühler, J.; Hessler-Wyser, A.; Duchamp, M.; Dunin-Borkowski, R.E.; Ledinský, Martin; De Wolf, S.; Ballif, C.

    2016-01-01

    Roč. 6, č. 5 (2016), s. 1132-1140 ISSN 2156-3381 R&D Projects: GA MŠk LM2015087 Institutional support: RVO:68378271 Keywords : microcrystalline silicon * nanocrystalline silicon * silicon heterojunctions (SHJs) * solar cells Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.712, year: 2016

  17. Periodically poled silicon

    Science.gov (United States)

    Hon, Nick K.; Tsia, Kevin K.; Solli, Daniel R.; Khurgin, Jacob B.; Jalali, Bahram

    2010-02-01

    Bulk centrosymmetric silicon lacks second-order optical nonlinearity χ(2) - a foundational component of nonlinear optics. Here, we propose a new class of photonic device which enables χ(2) as well as quasi-phase matching based on periodic stress fields in silicon - periodically-poled silicon (PePSi). This concept adds the periodic poling capability to silicon photonics, and allows the excellent crystal quality and advanced manufacturing capabilities of silicon to be harnessed for devices based on χ(2)) effects. The concept can also be simply achieved by having periodic arrangement of stressed thin films along a silicon waveguide. As an example of the utility, we present simulations showing that mid-wave infrared radiation can be efficiently generated through difference frequency generation from near-infrared with a conversion efficiency of 50% based on χ(2) values measurements for strained silicon reported in the literature [Jacobson et al. Nature 441, 199 (2006)]. The use of PePSi for frequency conversion can also be extended to terahertz generation. With integrated piezoelectric material, dynamically control of χ(2)nonlinearity in PePSi waveguide may also be achieved. The successful realization of PePSi based devices depends on the strength of the stress induced χ(2) in silicon. Presently, there exists a significant discrepancy in the literature between the theoretical and experimentally measured values. We present a simple theoretical model that produces result consistent with prior theoretical works and use this model to identify possible reasons for this discrepancy.

  18. Efficiency Enhancement of Silicon Solar Cells by Porous Silicon Technology

    Directory of Open Access Journals (Sweden)

    Eugenijus SHATKOVSKIS

    2012-09-01

    Full Text Available Silicon solar cells produced by a usual technology in p-type, crystalline silicon wafer were investigated. The manufactured solar cells were of total thickness 450 mm, the junction depth was of 0.5 mm – 0.7 mm. Porous silicon technologies were adapted to enhance cell efficiency. The production of porous silicon layer was carried out in HF: ethanol = 1 : 2 volume ratio electrolytes, illuminating by 50 W halogen lamps at the time of processing. The etching current was computer-controlled in the limits of (6 ÷ 14 mA/cm2, etching time was set in the interval of (10 ÷ 20 s. The characteristics and performance of the solar cells samples was carried out illuminating by Xenon 5000 K lamp light. Current-voltage characteristic studies have shown that porous silicon structures produced affect the extent of dark and lighting parameters of the samples. Exactly it affects current-voltage characteristic and serial resistance of the cells. It has shown, the formation of porous silicon structure causes an increase in the electric power created of solar cell. Conversion efficiency increases also respectively to the initial efficiency of cell. Increase of solar cell maximum power in 15 or even more percent is found. The highest increase in power have been observed in the spectral range of Dl @ (450 ÷ 850 nm, where ~ 60 % of the A1.5 spectra solar energy is located. It has been demonstrated that porous silicon technology is effective tool to improve the silicon solar cells performance.DOI: http://dx.doi.org/10.5755/j01.ms.18.3.2428

  19. Chiral silicon nanostructures

    International Nuclear Information System (INIS)

    Schubert, E.; Fahlteich, J.; Hoeche, Th.; Wagner, G.; Rauschenbach, B.

    2006-01-01

    Glancing angle ion beam assisted deposition is used for the growth of amorphous silicon nanospirals onto [0 0 1] silicon substrates in a temperature range from room temperature to 475 deg. C. The nanostructures are post-growth annealed in an argon atmosphere at various temperatures ranging from 400 deg. C to 800 deg. C. Recrystallization of silicon within the persisting nanospiral configuration is demonstrated for annealing temperatures above 800 deg. C. Transmission electron microscopy and Raman spectroscopy are used to characterize the silicon samples prior and after temperature treatment

  20. Device for fracturing silicon-carbide coatings on nuclear-fuel particles

    Science.gov (United States)

    Turner, L.J.; Willey, M.G.; Tiegs, S.M.; Van Cleve, J.E. Jr.

    This invention is a device for fracturing particles. It is designed especially for use in hot cells designed for the handling of radioactive materials. In a typical application, the device is used to fracture a hard silicon-carbide coating present on carbon-matrix microspheres containing nuclear-fuel materials, such as uranium or thorium compounds. To promote remote control and facilitate maintenance, the particle breaker is pneumatically operated and contains no moving parts. It includes means for serially entraining the entrained particles on an anvil housed in a leak-tight chamber. The flow rate of the gas is at a value effecting fracture of the particles; preferably, it is at a value fracturing them into product particulates of fluidizable size. The chamber is provided with an outlet passage whose cross-sectional area decreases in the direction away from the chamber. The outlet is connected tangentially to a vertically oriented vortex-flow separator for recovering the product particulates entrained in the gas outflow from the chamber. The invention can be used on a batch or continuous basis to fracture the silicon-carbide coatings on virtually all of the particles fed thereto.

  1. Method for fracturing silicon-carbide coatings on nuclear-fuel particles

    Science.gov (United States)

    Turner, Lloyd J.; Willey, Melvin G.; Tiegs, Sue M.; Van Cleve, Jr., John E.

    1982-01-01

    This invention is a device for fracturing particles. It is designed especially for use in "hot cells" designed for the handling of radioactive materials. In a typical application, the device is used to fracture a hard silicon-carbide coating present on carbon-matrix microspheres containing nuclear-fuel material, such as uranium or thorium compounds. To promote remote control and facilitate maintenance, the particle breaker is pneumatically operated and contains no moving parts. It includes means for serially entraining the entrained particles on an anvil housed in a leak-tight chamber. The flow rate of the gas is at a value effecting fracture of the particles; preferably, it is at a value fracturing them into product particulates of fluidizable size. The chamber is provided with an outlet passage whose cross-sectional area decreases in the direction away from the chamber. The outlet is connected tangentially to a vertically oriented vortex-flow separator for recovering the product particulates entrained in the gas outflow from the chamber. The invention can be used on a batch or continuous basis to fracture the silicon-carbide coatings on virtually all of the particles fed thereto.

  2. The chemistry of silicon

    CERN Document Server

    Rochow, E G; Emeléus, H J; Nyholm, Ronald

    1975-01-01

    Pergamon Texts in Organic Chemistry, Volume 9: The Chemistry of Silicon presents information essential in understanding the chemical properties of silicon. The book first covers the fundamental aspects of silicon, such as its nuclear, physical, and chemical properties. The text also details the history of silicon, its occurrence and distribution, and applications. Next, the selection enumerates the compounds and complexes of silicon, along with organosilicon compounds. The text will be of great interest to chemists and chemical engineers. Other researchers working on research study involving s

  3. Study on the graphene/silicon Schottky diodes by transferring graphene transparent electrodes on silicon

    International Nuclear Information System (INIS)

    Wang, Xiaojuan; Li, Dong; Zhang, Qichong; Zou, Liping; Wang, Fengli; Zhou, Jun; Zhang, Zengxing

    2015-01-01

    Graphene/silicon heterostructures present a Schottky characteristic and have potential applications for solar cells and photodetectors. Here, we fabricated graphene/silicon heterostructures by using chemical vapor deposition derived graphene and n-type silicon, and studied the electronic and optoelectronic properties through varying their interface and silicon resistivity. The results exhibit that the properties of the fabricated configurations can be effectively modulated. The graphene/silicon heterostructures with a Si (111) interface and high resistivity show a better photovoltaic behavior and should be applied for high-performance photodetectors. With the combined atomic force microscopy and theoretical analysis, the possible origination is discussed. The work here should be helpful on exploring high-performance graphene/silicon photoelectronics. - Highlights: • Different graphene/silicon heterostructures were fabricated. • Electronic and optoelectronic properties of the heterostructures were studied. • Graphene/silicon heterostructures were further explored for photodetectors.

  4. Study on the graphene/silicon Schottky diodes by transferring graphene transparent electrodes on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Xiaojuan [MOE Key Laboratory of Advanced Micro-structured Materials & Shanghai Key Laboratory of Special Artificial Microstructure Materials and Technology, School of Physics Science and Engineering, Tongji University, Shanghai 200092 (China); School of Physics and Electronics, Henan University, Kaifeng 475004 (China); Li, Dong; Zhang, Qichong; Zou, Liping; Wang, Fengli [MOE Key Laboratory of Advanced Micro-structured Materials & Shanghai Key Laboratory of Special Artificial Microstructure Materials and Technology, School of Physics Science and Engineering, Tongji University, Shanghai 200092 (China); Zhou, Jun, E-mail: zhoujunzhou@tongji.edu.cn [Center for Phononics and Thermal Energy Science, School of Physics Science and Engineering, Tongji University, Shanghai 200092 (China); Zhang, Zengxing, E-mail: zhangzx@tongji.edu.cn [MOE Key Laboratory of Advanced Micro-structured Materials & Shanghai Key Laboratory of Special Artificial Microstructure Materials and Technology, School of Physics Science and Engineering, Tongji University, Shanghai 200092 (China)

    2015-10-01

    Graphene/silicon heterostructures present a Schottky characteristic and have potential applications for solar cells and photodetectors. Here, we fabricated graphene/silicon heterostructures by using chemical vapor deposition derived graphene and n-type silicon, and studied the electronic and optoelectronic properties through varying their interface and silicon resistivity. The results exhibit that the properties of the fabricated configurations can be effectively modulated. The graphene/silicon heterostructures with a Si (111) interface and high resistivity show a better photovoltaic behavior and should be applied for high-performance photodetectors. With the combined atomic force microscopy and theoretical analysis, the possible origination is discussed. The work here should be helpful on exploring high-performance graphene/silicon photoelectronics. - Highlights: • Different graphene/silicon heterostructures were fabricated. • Electronic and optoelectronic properties of the heterostructures were studied. • Graphene/silicon heterostructures were further explored for photodetectors.

  5. Optical constants of silicon-like (Si:Ox:Cy:Hz) thin films deposited on quartz using hexamethyldisiloxane in a remote RF hollow cathode discharge plasma

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.

    2008-01-01

    Deposition of amorphous silicon-like (Si:O x :C y :H z ) thin films in a remote RF hollow cathode discharge plasma using Hexamethyldisoloxane as monomer and Ar as feed gas; has been investigated for films optical constants and plasma diagnostic as a function of RF power (100-300 W) and precursor flow rate (1-10 sccm). Plasma diagnostic has been performed using optical emission spectroscopy (OES). The optical constants (refractive index, extinction coefficient and dielectric constant) have been obtained by reflection/transmission measurements in the range 300-700 nm. It is found that the refractive index increases from 1.92 to 1.97 with increasing power from 100 to 300 W, and from 1.70 to 1.92 with increasing precursor flow rate from 1 to 10 sccm. The optical energy-band gap E g and the optical-absorption tail ΔE have been estimated from optical absorption spectra, it is found that E g decreases from 3.28 eV to 3.14 eV with power increase from 100 to 300 W, and from 3.54 eV to 3.28 eV with precursor flow rate increase from 1 to 10 sccm. ΔE is found to increase with applied RF power and precursor flow rate increase. The dependence of optical constants on deposition parameters has been correlated to plasma OES. (author)

  6. Controlling the quality of nanocrystalline silicon made by hot-wire chemical vapor deposition by using a reverse H2 profiling technique

    NARCIS (Netherlands)

    Li, H. B. T.; Franken, R.H.; Stolk, R.L.; van der Werf, C.H.M.; Rath, J.K.; Schropp, R.E.I.

    2008-01-01

    Hydrogen profiling, i.e., decreasing the H2 dilution during deposition, is a well-known technique to maintain a proper crystalline ratio of the nanocrystalline (nc-Si:H) absorber layers of plasma-enhanced chemical vapor-deposited (PECVD) thin film solar cells. With this technique a large increase in

  7. Irradiation effects of swift heavy ions on gallium arsenide, silicon and silicon diodes

    International Nuclear Information System (INIS)

    Bhoraskar, V.N.

    2001-01-01

    The irradiation effects of high energy lithium, boron, oxygen and silicon ions on crystalline silicon, gallium arsenide, porous silicon and silicon diodes were investigated. The ion energy and fluence were varied over the ranges 30 to 100 MeV and 10 11 to 10 14 ions/cm 2 respectively. Semiconductor samples were characterized with the x-ray fluorescence, photoluminescence, thermally stimulated exo-electron emission and optical reflectivity techniques. The life-time of minority carriers in crystalline silicon was measured with a pulsed electron beam and the lithium depth distribution in GaAs was measured with the neutron depth profiling technique. The diodes were characterized through electrical measurements. The results of optical reflectivity, life-time of minority carriers and photoluminescence show that swift heavy ions induce defects in the surface region of crystalline silicon. In the ion-irradiated GaAs, migration of silicon, oxygen and lithium atoms from the buried region towards the surface was observed, with orders of magnitude enhancement in the diffusion coefficients. Enhancement in the photoluminescence intensity was observed in the GaAs and porous silicon samples that, were irradiated with silicon ions. The trade-off between the turn-off time and the voltage, drop in diodes irradiated with different swift heavy ions was also studied. (author)

  8. Analytical and experimental evaluation of joining silicon carbide to silicon carbide and silicon nitride to silicon nitride for advanced heat engine applications Phase 2. Final report

    Energy Technology Data Exchange (ETDEWEB)

    Sundberg, G.J.; Vartabedian, A.M.; Wade, J.A.; White, C.S. [Norton Co., Northboro, MA (United States). Advanced Ceramics Div.

    1994-10-01

    The purpose of joining, Phase 2 was to develop joining technologies for HIP`ed Si{sub 3}N{sub 4} with 4wt% Y{sub 2}O{sub 3} (NCX-5101) and for a siliconized SiC (NT230) for various geometries including: butt joins, curved joins and shaft to disk joins. In addition, more extensive mechanical characterization of silicon nitride joins to enhance the predictive capabilities of the analytical/numerical models for structural components in advanced heat engines was provided. Mechanical evaluation were performed by: flexure strength at 22 C and 1,370 C, stress rupture at 1,370 C, high temperature creep, 22 C tensile testing and spin tests. While the silicon nitride joins were produced with sufficient integrity for many applications, the lower join strength would limit its use in the more severe structural applications. Thus, the silicon carbide join quality was deemed unsatisfactory to advance to more complex, curved geometries. The silicon carbide joining methods covered within this contract, although not entirely successful, have emphasized the need to focus future efforts upon ways to obtain a homogeneous, well sintered parent/join interface prior to siliconization. In conclusion, the improved definition of the silicon carbide joining problem obtained by efforts during this contract have provided avenues for future work that could successfully obtain heat engine quality joins.

  9. Effective hydrogenation and surface damage induced by MW-ECR plasma of fine-grained polycrystalline silicon

    Energy Technology Data Exchange (ETDEWEB)

    Madi, D. [Institut d' Electronique du Solide et des Systemes (InESS)-CNRS/UdS, Strasbourg (France); Universite de Jijel, Laboratoire d' Etudes et de Modelisation en Electrotechnique (LAMEL), Faculte des Sciences de l' Ingenieur, Jijel (Algeria); Prathap, P.; Focsa, A.; Slaoui, A. [Institut d' Electronique du Solide et des Systemes (InESS)-CNRS/UdS, Strasbourg (France); Birouk, B. [Universite de Jijel, Laboratoire d' Etudes et de Modelisation en Electrotechnique (LAMEL), Faculte des Sciences de l' Ingenieur, Jijel (Algeria)

    2010-06-15

    This work reports the investigations on the effects of the hydrogenation process of thin film polycrystalline n{sup +}pp{sup +} mesa silicon cells using MW-ECR plasma in a conventional PECVD system. Different operating parameters such as MW-ECR power, annealing temperature and the doping level of the emitter region were varied. The n{sup +}-type emitter regions were obtained by phosphorus diffusion in a conventional furnace using an oxide doping source containing phosphorus (P507 or P509 solutions, from Filmtronics Inc.). The MW hydrogenation was carried out at a sample temperature of 400 C for 60 min. Both types of emitters formed from P507 and P509 showed V{sub oc} of 155 mV and 206 mV, which increased linearly to 305 mV and 331 mV, respectively, after hydrogenation when the MW power varied from 200 to 650 W. However, the sheet resistances of the n{sup +} emitter region showed a slight increase depending upon hydrogenation power because of its etching. In a further study, hydrogenated samples were annealed in neutral or forming gas (FG) and we observed interesting results on V{sub oc} in the presence of FG. The FG annealing temperature study revealed a strong dependence of V{sub oc} on MW power, which affected the etching level of emitter and emitter dopant concentration, which controls the diffusion of hydrogen ions during post-hydrogenation step. The results were explained in detail by combining the effects of MW power and dopant level of the emitter. (orig.)

  10. Hydrogen in amorphous silicon

    International Nuclear Information System (INIS)

    Peercy, P.S.

    1980-01-01

    The structural aspects of amorphous silicon and the role of hydrogen in this structure are reviewed with emphasis on ion implantation studies. In amorphous silicon produced by Si ion implantation of crystalline silicon, the material reconstructs into a metastable amorphous structure which has optical and electrical properties qualitatively similar to the corresponding properties in high-purity evaporated amorphous silicon. Hydrogen studies further indicate that these structures will accomodate less than or equal to 5 at.% hydrogen and this hydrogen is bonded predominantly in a monohydride (SiH 1 ) site. Larger hydrogen concentrations than this can be achieved under certain conditions, but the excess hydrogen may be attributed to defects and voids in the material. Similarly, glow discharge or sputter deposited amorphous silicon has more desirable electrical and optical properties when the material is prepared with low hydrogen concentration and monohydride bonding. Results of structural studies and hydrogen incorporation in amorphous silicon were discussed relative to the different models proposed for amorphous silicon

  11. A convenient way of manufacturing silicon nanotubes on a silicon substrate

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Changchang; Cheng, Heming; Liu, Xiang, E-mail: liuxiang@ahut.edu.cn

    2016-07-01

    A convenient approach of preparing silicon nanotubes (SiNTs) on a silicon substrate is described in this work in detail. Firstly, a porous silicon (PSi) slice is prepared by a galvanic displacement reaction. Then it is put into aqueous solutions of 20% (w%) ammonium fluoride and 2.5 mM cobalt nitrate for a predetermined time. The cobalt ions are reduced and the resulted cobalt particles are deposited on the PSi slice. After the cobalt particles are removed with 5 M nitric acid a plenty of SiNTs come out and exhibit disorderly on the silicon substrate, which are illustrated by scanning electron microscopy (SEM). The compositions of the SiNTs are examined by energy-dispersive X-ray spectroscopy. Based on the SEM images, a suggested mechanism is put forward to explain the generation of the SiNTs on the PSi substrate. - Highlights: • A facile approach of preparing silicon nano tubes was invented. • The experimental results demonstrated the strong reducibility of Si-H{sub x} species. • It provided a new way of manufacturing silicon-contained hybrids.

  12. Development of fluorocarbon evaporative cooling recirculators and controls for the ATLAS inner silicon tracker

    CERN Document Server

    Bayer, C; Bonneau, P; Bosteels, Michel; Burckhart, H J; Cragg, D; English, R; Hallewell, G D; Hallgren, Björn I; Ilie, S; Kersten, S; Kind, P; Langedrag, K; Lindsay, S; Merkel, M; Stapnes, Steinar; Thadome, J; Vacek, V

    2000-01-01

    We report on the development of evaporative fluorocarbon cooling recirculators and their control systems for the ATLAS inner silicon tracker. We have developed a prototype circulator using a dry, hermetic compressor with C/sub 3/F/sup 8/ refrigerant, and have prototyped the remote-control analog pneumatic links for the regulation of coolant mass flows and operating temperatures that will be necessary in the magnetic field and radiation environment around ATLAS. pressure and flow measurement and control use 150+ channels of standard ATLAS LMB ("Local Monitor Board") DAQ and DACs on a multi-drop CAN network administered through a BridgeVIEW user interface. A hardwired thermal interlock system has been developed to cut power to individual silicon modules should their temperatures exceed safe values. Highly satisfactory performance of the circulator under steady state, partial-load and transient conditions was seen, with proportional fluid flow tuned to varying circuit power. Future developments, including a 6 kW...

  13. Development of Radiation Hard Radiation Detectors, Differences between Czochralski Silicon and Float Zone Silicon

    CERN Document Server

    Tuominen, Eija

    2012-01-01

    The purpose of this work was to develop radiation hard silicon detectors. Radiation detectors made ofsilicon are cost effective and have excellent position resolution. Therefore, they are widely used fortrack finding and particle analysis in large high-energy physics experiments. Silicon detectors willalso be used in the CMS (Compact Muon Solenoid) experiment that is being built at the LHC (LargeHadron Collider) accelerator at CERN (European Organisation for Nuclear Research). This work wasdone in the CMS programme of Helsinki Institute of Physics (HIP).Exposure of the silicon material to particle radiation causes irreversible defects that deteriorate theperformance of the silicon detectors. In HIP CMS Programme, our approach was to improve theradiation hardness of the silicon material with increased oxygen concentration in silicon material. Westudied two different methods: diffusion oxygenation of Float Zone silicon and use of high resistivityCzochralski silicon.We processed, characterised, tested in a parti...

  14. Improved opto-electronic properties of silicon heterojunction solar cells with SiO x /Tungsten-doped indium oxide double anti-reflective coatings

    Science.gov (United States)

    Yu, Jian; Zhou, Jie; Bian, Jiantao; Zhang, Liping; Liu, Yucheng; Shi, Jianhua; Meng, Fanying; Liu, Jinning; Liu, Zhengxin

    2017-08-01

    Amorphous SiO x was prepared by plasma enhanced chemical vapor deposition (PECVD) to form SiO x /tungsten-doped indium oxide (IWO) double anti-reflective coatings for silicon heterojunction (SHJ) solar cell. The sheet resistance of SiO x /IWO stacks decreases due to plasma treatment during deposition process, which means thinner IWO film would be deposited for better optical response. However, the comparisons of three anti-reflective coating (ARC) structures reveal that SiO x film limits carier transport and the path of IWO-SiO x -Ag structure is non-conductive. The decrease of sheet resistance is defined as pseudo conductivity. IWO film capping with SiO x allows observably reduced reflectance and better response in 300-400 and 600-1200 nm wavelength ranges. Compared with IWO single ARC, the average reflection is reduced by 1.65% with 70 nm SiO x /80 nm IWO double anti-reflective coatings (DARCs) in 500-1200 nm wavelength range, leading to growing external quantum efficiency response, short circuit current density (J sc), and efficiency. After well optimization of SiO x /IWO stacks, an impressive efficiency of 23.08% is obtained with high J sc and without compromising open circuit voltage (V oc) and fill factor. SiO x /IWO DARCs provide better anti-reflective properties over a broad range of wavelength, showing promising application for SHJ solar cells.

  15. Methods To Determine the Silicone Oil Layer Thickness in Sprayed-On Siliconized Syringes.

    Science.gov (United States)

    Loosli, Viviane; Germershaus, Oliver; Steinberg, Henrik; Dreher, Sascha; Grauschopf, Ulla; Funke, Stefanie

    2018-01-01

    The silicone lubricant layer in prefilled syringes has been investigated with regards to siliconization process performance, prefilled syringe functionality, and drug product attributes, such as subvisible particle levels, in several studies in the past. However, adequate methods to characterize the silicone oil layer thickness and distribution are limited, and systematic evaluation is missing. In this study, white light interferometry was evaluated to close this gap in method understanding. White light interferometry demonstrated a good accuracy of 93-99% for MgF 2 coated, curved standards covering a thickness range of 115-473 nm. Thickness measurements for sprayed-on siliconized prefilled syringes with different representative silicone oil distribution patterns (homogeneous, pronounced siliconization at flange or needle side, respectively) showed high instrument (0.5%) and analyst precision (4.1%). Different white light interferometry instrument parameters (autofocus, protective shield, syringe barrel dimensions input, type of non-siliconized syringe used as base reference) had no significant impact on the measured average layer thickness. The obtained values from white light interferometry applying a fully developed method (12 radial lines, 50 mm measurement distance, 50 measurements points) were in agreement with orthogonal results from combined white and laser interferometry and 3D-laser scanning microscopy. The investigated syringe batches (lot A and B) exhibited comparable longitudinal silicone oil layer thicknesses ranging from 170-190 nm to 90-100 nm from flange to tip and homogeneously distributed silicone layers over the syringe barrel circumference (110- 135 nm). Empty break-loose (4-4.5 N) and gliding forces (2-2.5 N) were comparably low for both analyzed syringe lots. A silicone oil layer thickness of 100-200 nm was thus sufficient for adequate functionality in this particular study. Filling the syringe with a surrogate solution including short

  16. CHARACTERIZATION OF THE ELECTROPHYSICAL PROPERTIES OF SILICON-SILICON DIOXIDE INTERFACE USING PROBE ELECTROMETRY METHODS

    Directory of Open Access Journals (Sweden)

    V. А. Pilipenko

    2017-01-01

    Full Text Available Introduction of submicron design standards into microelectronic industry and a decrease of the gate dielectric thickness raise the importance of the analysis of microinhomogeneities in the silicon-silicon dioxide system. However, there is very little to no information on practical implementation of probe electrometry methods, and particularly scanning Kelvin probe method, in the interoperational control of real semiconductor manufacturing process. The purpose of the study was the development of methods for nondestructive testing of semiconductor wafers based on the determination of electrophysical properties of the silicon-silicon dioxide interface and their spatial distribution over wafer’s surface using non-contact probe electrometry methods.Traditional C-V curve analysis and scanning Kelvin probe method were used to characterize silicon- silicon dioxide interface. The samples under testing were silicon wafers of KEF 4.5 and KDB 12 type (orientation <100>, diameter 100 mm.Probe electrometry results revealed uniform spatial distribution of wafer’s surface potential after its preliminary rapid thermal treatment. Silicon-silicon dioxide electric potential values were also higher after treatment than before it. This potential growth correlates with the drop in interface charge density. At the same time local changes in surface potential indicate changes in surface layer structure.Probe electrometry results qualitatively reflect changes of interface charge density in silicon-silicon dioxide structure during its technological treatment. Inhomogeneities of surface potential distribution reflect inhomogeneity of damaged layer thickness and can be used as a means for localization of interface treatment defects.

  17. Silicon microphotonic waveguides

    International Nuclear Information System (INIS)

    Ta'eed, V.; Steel, M.J.; Grillet, C.; Eggleton, B.; Du, J.; Glasscock, J.; Savvides, N.

    2004-01-01

    Full text: Silicon microphotonic devices have been drawing increasing attention in the past few years. The high index-difference between silicon and its oxide (Δn = 2) suggests a potential for high-density integration of optical functions on to a photonic chip. Additionally, it has been shown that silicon exhibits strong Raman nonlinearity, a necessary property as light interaction can occur only by means of nonlinearities in the propagation medium. The small dimensions of silicon waveguides require the design of efficient tapers to couple light to them. We have used the beam propagation method (RSoft BeamPROP) to understand the principles and design of an inverse-taper mode-converter as implemented in several recent papers. We report on progress in the design and fabrication of silicon-based waveguides. Preliminary work has been conducted by patterning silicon-on-insulator (SOI) wafers using optical lithography and reactive ion etching. Thus far, only rib waveguides have been designed, as single-mode ridge-waveguides are beyond the capabilities of conventional optical lithography. We have recently moved to electron beam lithography as the higher resolutions permitted will provide the flexibility to begin fabricating sub-micron waveguides

  18. MUST: A silicon strip detector array for radioactive beam experiments

    International Nuclear Information System (INIS)

    Blumenfeld, Y.; Auger, F.; Sauvestre, J.E.; Marechal, F.; Ottini, S.; Alamanos, N.; Barbier, A.; Beaumel, D.; Bonnereau, B.; Charlet, D.; Clavelin, J.F.; Courtat, P.; Delbourgo-Salvador, P.; Douet, R.; Engrand, M.; Ethvignot, T.; Gillibert, A.; Khan, E.; Lapoux, V.; Lagoyannis, A.; Lavergne, L.; Lebon, S.; Lelong, P.; Lesage, A.; Le Ven, V.; Lhenry, I.; Martin, J.M.; Musumarra, A.; Pita, S.; Petizon, L.; Pollacco, E.; Pouthas, J.; Richard, A.; Rougier, D.; Santonocito, D.; Scarpaci, J.A.; Sida, J.L.; Soulet, C.; Stutzmann, J.S.; Suomijaervi, T.; Szmigiel, M.; Volkov, P.; Voltolini, G.

    1999-01-01

    A new and innovative array, MUST, based on silicon strip technology and dedicated to the study of reactions induced by radioactive beams on light particles is described. The detector consists of 8 silicon strip - Si(Li) telescopes used to identify recoiling light charged particles through time of flight, energy loss and energy measurements and to determine precisely their scattering angle through X, Y position measurements. Each 60x60 mm 2 double sided silicon strip detector with 60 vertical and 60 horizontal strips yields an X-Y position resolution of 1 mm, an energy resolution of 50 keV, a time resolution of around 1 ns and a 500 keV energy threshold for protons. The backing Si(Li) detectors stop protons up to 25 MeV with a resolution of approximately 50 keV. CsI crystals read out by photo-diodes which stop protons up to 70 MeV are added to the telescopes for applications where higher energy particles need to be detected. The dedicated electronics in VXIbus standard allow us to house the 968 logic and analog channels of the array in one crate placed adjacent to the reaction chamber and fully remote controlled, including pulse visualization on oscilloscopes. A stand alone data acquisition system devoted to the MUST array has been developed. Isotope identification of light charged particles over the full energy range has been achieved, and the capability of the system to measure angular distributions of states populated in inverse kinematics reactions has been demonstrated

  19. Ceramic silicon-boron-carbon fibers from organic silicon-boron-polymers

    Science.gov (United States)

    Riccitiello, Salvatore R. (Inventor); Hsu, Ming-Ta S. (Inventor); Chen, Timothy S. (Inventor)

    1993-01-01

    Novel high strength ceramic fibers derived from boron, silicon, and carbon organic precursor polymers are discussed. The ceramic fibers are thermally stable up to and beyond 1200 C in air. The method of preparation of the boron-silicon-carbon fibers from a low oxygen content organosilicon boron precursor polymer of the general formula Si(R2)BR(sup 1) includes melt-spinning, crosslinking, and pyrolysis. Specifically, the crosslinked (or cured) precursor organic polymer fibers do not melt or deform during pyrolysis to form the silicon-boron-carbon ceramic fiber. These novel silicon-boron-carbon ceramic fibers are useful in high temperature applications because they retain tensile and other properties up to 1200 C, from 1200 to 1300 C, and in some cases higher than 1300 C.

  20. Catastrophic degradation of the interface of epitaxial silicon carbide on silicon at high temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Pradeepkumar, Aiswarya; Mishra, Neeraj; Kermany, Atieh Ranjbar; Iacopi, Francesca [Queensland Micro and Nanotechnology Centre and Environmental Futures Research Institute, Griffith University, Nathan QLD 4111 (Australia); Boeckl, John J. [Materials and Manufacturing Directorate, Air Force Research Laboratories, Wright-Patterson Air Force Base, Ohio 45433 (United States); Hellerstedt, Jack; Fuhrer, Michael S. [Monash Centre for Atomically Thin Materials, Monash University, Monash, VIC 3800 (Australia)

    2016-07-04

    Epitaxial cubic silicon carbide on silicon is of high potential technological relevance for the integration of a wide range of applications and materials with silicon technologies, such as micro electro mechanical systems, wide-bandgap electronics, and graphene. The hetero-epitaxial system engenders mechanical stresses at least up to a GPa, pressures making it extremely challenging to maintain the integrity of the silicon carbide/silicon interface. In this work, we investigate the stability of said interface and we find that high temperature annealing leads to a loss of integrity. High–resolution transmission electron microscopy analysis shows a morphologically degraded SiC/Si interface, while mechanical stress measurements indicate considerable relaxation of the interfacial stress. From an electrical point of view, the diode behaviour of the initial p-Si/n-SiC junction is catastrophically lost due to considerable inter-diffusion of atoms and charges across the interface upon annealing. Temperature dependent transport measurements confirm a severe electrical shorting of the epitaxial silicon carbide to the underlying substrate, indicating vast predominance of the silicon carriers in lateral transport above 25 K. This finding has crucial consequences on the integration of epitaxial silicon carbide on silicon and its potential applications.

  1. Memory characteristics of silicon nitride with silicon nanocrystals as a charge trapping layer of nonvolatile memory devices

    International Nuclear Information System (INIS)

    Choi, Sangmoo; Yang, Hyundeok; Chang, Man; Baek, Sungkweon; Hwang, Hyunsang; Jeon, Sanghun; Kim, Juhyung; Kim, Chungwoo

    2005-01-01

    Silicon nitride with silicon nanocrystals formed by low-energy silicon plasma immersion ion implantation has been investigated as a charge trapping layer of a polycrystalline silicon-oxide-nitride-oxide-silicon-type nonvolatile memory device. Compared with the control sample without silicon nanocrystals, silicon nitride with silicon nanocrystals provides excellent memory characteristics, such as larger width of capacitance-voltage hysteresis, higher program/erase speed, and lower charge loss rate at elevated temperature. These improved memory characteristics are derived by incorporation of silicon nanocrystals into the charge trapping layer as additional accessible charge traps with a deeper effective trap energy level

  2. Use of hydroxypropylmethylcellulose 2% for removing adherent silicone oil from silicone intraocular lenses

    OpenAIRE

    Wong , S Chien; Ramkissoon , Yashin D; Lopez , Mauricio; Page , Kristopher; Parkin , Ivan P; Sullivan , Paul M

    2009-01-01

    Abstract Background / aims: To investigate the effect of hydroxypropylmethylcellulose (HPMC) on the physical interaction (contact angle) between silicone oil and a silicone intraocular lens (IOL). Methods: In vitro experiments were performed, to determine the effect of HPMC (0.5%, 1% or 2%), with or without an additional simple mechanical manoeuvre, on the contact angle of silicone oil at the surface of both silicone and acrylic (control) IOLs. A balanced salt solu...

  3. Single-Event Effects in Silicon and Silicon Carbide Power Devices

    Science.gov (United States)

    Lauenstein, Jean-Marie; Casey, Megan C.; LaBel, Kenneth A.; Topper, Alyson D.; Wilcox, Edward P.; Kim, Hak; Phan, Anthony M.

    2014-01-01

    NASA Electronics Parts and Packaging program-funded activities over the past year on single-event effects in silicon and silicon carbide power devices are presented, with focus on SiC device failure signatures.

  4. Hierarchical carbon nanostructure design: ultra-long carbon nanofibers decorated with carbon nanotubes

    International Nuclear Information System (INIS)

    El Mel, A A; Achour, A; Gautron, E; Angleraud, B; Granier, A; Le Brizoual, L; Djouadi, M A; Tessier, P Y; Xu, W; Choi, C H

    2011-01-01

    Hierarchical carbon nanostructures based on ultra-long carbon nanofibers (CNF) decorated with carbon nanotubes (CNT) have been prepared using plasma processes. The nickel/carbon composite nanofibers, used as a support for the growth of CNT, were deposited on nanopatterned silicon substrate by a hybrid plasma process, combining magnetron sputtering and plasma-enhanced chemical vapor deposition (PECVD). Transmission electron microscopy revealed the presence of spherical nanoparticles randomly dispersed within the carbon nanofibers. The nickel nanoparticles have been used as a catalyst to initiate the growth of CNT by PECVD at 600 deg. C. After the growth of CNT onto the ultra-long CNF, SEM imaging revealed the formation of hierarchical carbon nanostructures which consist of CNF sheathed with CNTs. Furthermore, we demonstrate that reducing the growth temperature of CNT to less than 500 deg. C leads to the formation of carbon nanowalls on the CNF instead of CNT. This simple fabrication method allows an easy preparation of hierarchical carbon nanostructures over a large surface area, as well as a simple manipulation of such material in order to integrate it into nanodevices.

  5. Porous Silicon Nanowires

    Science.gov (United States)

    Qu, Yongquan; Zhou, Hailong; Duan, Xiangfeng

    2011-01-01

    In this minreview, we summarize recent progress in the synthesis, properties and applications of a new type of one-dimensional nanostructures — single crystalline porous silicon nanowires. The growth of porous silicon nanowires starting from both p- and n-type Si wafers with a variety of dopant concentrations can be achieved through either one-step or two-step reactions. The mechanistic studies indicate the dopant concentration of Si wafers, oxidizer concentration, etching time and temperature can affect the morphology of the as-etched silicon nanowires. The porous silicon nanowires are both optically and electronically active and have been explored for potential applications in diverse areas including photocatalysis, lithium ion battery, gas sensor and drug delivery. PMID:21869999

  6. Formation of multiple levels of porous silicon for buried insulators and conductors in silicon device technologies

    Science.gov (United States)

    Blewer, Robert S.; Gullinger, Terry R.; Kelly, Michael J.; Tsao, Sylvia S.

    1991-01-01

    A method of forming a multiple level porous silicon substrate for semiconductor integrated circuits including anodizing non-porous silicon layers of a multi-layer silicon substrate to form multiple levels of porous silicon. At least one porous silicon layer is then oxidized to form an insulating layer and at least one other layer of porous silicon beneath the insulating layer is metallized to form a buried conductive layer. Preferably the insulating layer and conductive layer are separated by an anodization barrier formed of non-porous silicon. By etching through the anodization barrier and subsequently forming a metallized conductive layer, a fully or partially insulated buried conductor may be fabricated under single crystal silicon.

  7. Silicon: electrochemistry and luminescence

    NARCIS (Netherlands)

    Kooij, Ernst Stefan

    1997-01-01

    The electrochemistry of crystalline and porous silicon and the luminescence from porous silicon has been studied. One chapter deals with a model for the anodic dissolution of silicon in HF solution. In following chapters both the electrochemistry and various ways of generating visible

  8. Polycrystalline Silicon Gettered by Porous Silicon and Heavy Phosphorous Diffusion

    Institute of Scientific and Technical Information of China (English)

    LIU Zuming(刘祖明); Souleymane K Traore; ZHANG Zhongwen(张忠文); LUO Yi(罗毅)

    2004-01-01

    The biggest barrier for photovoltaic (PV) utilization is its high cost, so the key for scale PV utilization is to further decrease the cost of solar cells. One way to improve the efficiency, and therefore lower the cost, is to increase the minority carrier lifetime by controlling the material defects. The main defects in grain boundaries of polycrystalline silicon gettered by porous silicon and heavy phosphorous diffusion have been studied. The porous silicon was formed on the two surfaces of wafers by chemical etching. Phosphorous was then diffused into the wafers at high temperature (900℃). After the porous silicon and diffusion layers were removed, the minority carrier lifetime was measured by photo-conductor decay. The results show that the lifetime's minority carriers are increased greatly after such treatment.

  9. Thermoelectric characteristics of Pt-silicide/silicon multi-layer structured p-type silicon

    International Nuclear Information System (INIS)

    Choi, Wonchul; Jun, Dongseok; Kim, Soojung; Shin, Mincheol; Jang, Moongyu

    2015-01-01

    Electric and thermoelectric properties of silicide/silicon multi-layer structured devices were investigated with the variation of silicide/silicon heterojunction numbers from 3 to 12 layers. For the fabrication of silicide/silicon multi-layered structure, platinum and silicon layers are repeatedly sputtered on the (100) silicon bulk substrate and rapid thermal annealing is carried out for the silicidation. The manufactured devices show ohmic current–voltage (I–V) characteristics. The Seebeck coefficient of bulk Si is evaluated as 195.8 ± 15.3 μV/K at 300 K, whereas the 12 layered silicide/silicon multi-layer structured device is evaluated as 201.8 ± 9.1 μV/K. As the temperature increases to 400 K, the Seebeck coefficient increases to 237.2 ± 4.7 μV/K and 277.0 ± 1.1 μV/K for bulk and 12 layered devices, respectively. The increase of Seebeck coefficient in multi-layered structure is mainly attributed to the electron filtering effect due to the Schottky barrier at Pt-silicide/silicon interface. At 400 K, the thermal conductivity is reduced by about half of magnitude compared to bulk in multi-layered device which shows the efficient suppression of phonon propagation by using Pt-silicide/silicon hetero-junctions. - Highlights: • Silicide/silicon multi-layer structured is proposed for thermoelectric devices. • Electric and thermoelectric properties with the number of layer are investigated. • An increase of Seebeck coefficient is mainly attributed the Schottky barrier. • Phonon propagation is suppressed with the existence of Schottky barrier. • Thermal conductivity is reduced due to the suppression of phonon propagation

  10. Colloidal Photoluminescent Amorphous Porous Silicon, Methods Of Making Colloidal Photoluminescent Amorphous Porous Silicon, And Methods Of Using Colloidal Photoluminescent Amorphous Porous Silicon

    KAUST Repository

    Chaieb, Sahraoui

    2015-04-09

    Embodiments of the present disclosure provide for a colloidal photoluminescent amorphous porous silicon particle suspension, methods of making a colloidal photoluminescent amorphous porous silicon particle suspension, methods of using a colloidal photoluminescent amorphous porous silicon particle suspension, and the like.

  11. Colloidal Photoluminescent Amorphous Porous Silicon, Methods Of Making Colloidal Photoluminescent Amorphous Porous Silicon, And Methods Of Using Colloidal Photoluminescent Amorphous Porous Silicon

    KAUST Repository

    Chaieb, Saharoui; Mughal, Asad Jahangir

    2015-01-01

    Embodiments of the present disclosure provide for a colloidal photoluminescent amorphous porous silicon particle suspension, methods of making a colloidal photoluminescent amorphous porous silicon particle suspension, methods of using a colloidal photoluminescent amorphous porous silicon particle suspension, and the like.

  12. The effect of silicon crystallographic orientation on the formation of silicon nanoclusters during anodic electrochemical etching

    International Nuclear Information System (INIS)

    Timokhov, D. F.; Timokhov, F. P.

    2009-01-01

    Possible ways for increasing the photoluminescence quantum yield of porous silicon layers have been investigated. The effect of the anodization parameters on the photoluminescence properties for porous silicon layers formed on silicon substrates with different crystallographic orientations was studied. The average diameters for silicon nanoclusters are calculated from the photoluminescence spectra of porous silicon. The influence of the substrate crystallographic orientation on the photoluminescence quantum yield of porous silicon is revealed. A model explaining the effect of the substrate orientation on the photoluminescence properties for the porous silicon layers formed by anode electrochemical etching is proposed.

  13. Study on the development of coating technology for UO{sub 2} nuclear fuel pellet and the microstructural observation of the coated layer

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Yong; Song, Moon Sup; Cho, In Sik; Kim Yu Sin; Lim Young Kyun [Sunmoon University, Asan (Korea)

    1998-04-01

    In order to enhance inherent safety of UO{sub 2} nuclear fuel pellet and develop future nuclear fuel technology, a coating method for the preparation multi-layers of pyrolytic carbon and silicon carbide on the fuel was developed. Inner pyrolytic carbon layer and outer silicon layer were prepared by thermal decomposition of propane in a fluidized bed type CVD unit and silane in ECR PECVD, respectively. Combustion reaction between two layers resulted in forming silicon carbide layer. The morphology depended on the initial carbon shape. Phase identification and microstructural analysis of the combustion product with XRD, AES, SEM and TEM showed that final products of inner layer and outer layer were pyrolytic carbon with isotropic structure and fine crystalline {beta}-SiC, respectively. This coating process is very useful for the fabrication of coated UO{sub 2} nuclear fuel pellet an future nuclear fuel fabrication technology. (author). 45 refs., 47 figs., 5 tabs.

  14. Effect of Ge atoms on crystal structure and optoelectronic properties of hydrogenated Si-Ge films

    Science.gov (United States)

    Li, Tianwei; Zhang, Jianjun; Ma, Ying; Yu, Yunwu; Zhao, Ying

    2017-07-01

    Optoelectronic and structural properties of hydrogenated microcrystalline silicon-germanium (μc-Si1-xGex:H) alloys prepared by radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) were investigated. When the Ge atoms were predominantly incorporated in amorphous matrix, the dark and photo-conductivity decreased due to the reduced crystalline volume fraction of the Si atoms (XSi-Si) and the increased Ge dangling bond density. The photosensitivity decreased monotonously with Ge incorporation under higher hydrogen dilution condition, which was attributed to the increase in both crystallization of Ge and the defect density.

  15. Complementary metal-oxide semiconductor compatible source of single photons at near-visible wavelengths

    Science.gov (United States)

    Cernansky, Robert; Martini, Francesco; Politi, Alberto

    2018-02-01

    We demonstrate on chip generation of correlated pairs of photons in the near-visible spectrum using a CMOS compatible PECVD Silicon Nitride photonic device. Photons are generated via spontaneous four wave mixing enhanced by a ring resonator with high quality Q-factor of 320,000 resulting in a generation rate of 950,000 $\\frac{pairs}{mW}$. The high brightness of this source offers the opportunity to expand photonic quantum technologies over a broad wavelength range and provides a path to develop fully integrated quantum chips working at room temperature.

  16. Joining elements of silicon carbide

    International Nuclear Information System (INIS)

    Olson, B.A.

    1979-01-01

    A method of joining together at least two silicon carbide elements (e.g.in forming a heat exchanger) is described, comprising subjecting to sufficiently non-oxidizing atmosphere and sufficiently high temperature, material placed in space between the elements. The material consists of silicon carbide particles, carbon and/or a precursor of carbon, and silicon, such that it forms a joint joining together at least two silicon carbide elements. At least one of the elements may contain silicon. (author)

  17. Remote Sensing

    CERN Document Server

    Khorram, Siamak; Koch, Frank H; van der Wiele, Cynthia F

    2012-01-01

    Remote Sensing provides information on how remote sensing relates to the natural resources inventory, management, and monitoring, as well as environmental concerns. It explains the role of this new technology in current global challenges. "Remote Sensing" will discuss remotely sensed data application payloads and platforms, along with the methodologies involving image processing techniques as applied to remotely sensed data. This title provides information on image classification techniques and image registration, data integration, and data fusion techniques. How this technology applies to natural resources and environmental concerns will also be discussed.

  18. Graphitized silicon carbide microbeams: wafer-level, self-aligned graphene on silicon wafers

    International Nuclear Information System (INIS)

    Cunning, Benjamin V; Ahmed, Mohsin; Mishra, Neeraj; Kermany, Atieh Ranjbar; Iacopi, Francesca; Wood, Barry

    2014-01-01

    Currently proven methods that are used to obtain devices with high-quality graphene on silicon wafers involve the transfer of graphene flakes from a growth substrate, resulting in fundamental limitations for large-scale device fabrication. Moreover, the complex three-dimensional structures of interest for microelectromechanical and nanoelectromechanical systems are hardly compatible with such transfer processes. Here, we introduce a methodology for obtaining thousands of microbeams, made of graphitized silicon carbide on silicon, through a site-selective and wafer-scale approach. A Ni-Cu alloy catalyst mediates a self-aligned graphitization on prepatterned SiC microstructures at a temperature that is compatible with silicon technologies. The graphene nanocoating leads to a dramatically enhanced electrical conductivity, which elevates this approach to an ideal method for the replacement of conductive metal films in silicon carbide-based MEMS and NEMS devices. (paper)

  19. Design and operation of equipment used to develop remote coating capability for HTGR fuel particles

    International Nuclear Information System (INIS)

    Suchomel, R.R.; Stinton, D.P.; Preston, M.K.; Heck, J.L.; Bolfing, B.J.; Lackey, W.J.

    1978-12-01

    Refabrication of HTGR fuels is a manufacturing process that consists of preparation of fuel kernels, application of multiple layers of pyrolytic carbon and silicon carbide, preparation of fuel rods, and assembly of fuel rods into fuel elements. All the equipment for refabrication of 233 U-containing fuel must be designed for completely remote operation and maintenance in hot-cell facilities. Equipment to remotely coated HTGR fuel particles has been designed and operated. Although not all of the equipment development needed for a fully remote coating system has been completed, significant progress has been made. The most important component of the coating furnace is the gas distributor, which must be simple, reliable, and easily maintainable. Techniques for loading and unloading the coater and handling microspheres have been developed. An engineering-scale system, currently in operation, is being used to verify the workability of these concepts. Coating crucible handling components are used to remove the crucible from the furnace, remove coated particles, and exchange the crucible, if necessary. After the batch of particles has been unloaded, it is transferred, weighed, and sampled. The components used in these processes have been tested to ensure that no particle breakage or holdup occurs. Tests of the particle handling system have been very encouraging because no major problems have been encountered. Instrumentation that controls the equipment performed very smoothly and reliably and can be operated remotely

  20. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber.

    Science.gov (United States)

    Dechana, A; Thamboon, P; Boonyawan, D

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films-analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques-will be discussed.

  1. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Energy Technology Data Exchange (ETDEWEB)

    Dechana, A. [Program of Physics and General Science, Faculty of Science and Technology, Songkhla Rajabhat University, Songkhla 90000 (Thailand); Thamboon, P. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Boonyawan, D., E-mail: dheerawan.b@cmu.ac.th [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand)

    2014-10-15

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al{sub 2}O{sub 3} layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al{sub 2}O{sub 3} films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  2. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Science.gov (United States)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  3. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    International Nuclear Information System (INIS)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-01-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al 2 O 3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al 2 O 3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed

  4. Indentation fatigue in silicon nitride, alumina and silicon carbide ...

    Indian Academy of Sciences (India)

    Repeated indentation fatigue (RIF) experiments conducted on the same spot of different structural ceramics viz. a hot pressed silicon nitride (HPSN), sintered alumina of two different grain sizes viz. 1 m and 25 m, and a sintered silicon carbide (SSiC) are reported. The RIF experiments were conducted using a Vicker's ...

  5. Silicon web process development

    Science.gov (United States)

    Duncan, C. S.; Seidensticker, R. G.; Mchugh, J. P.; Skutch, M. E.; Driggers, J. M.; Hopkins, R. H.

    1981-01-01

    The silicon web process takes advantage of natural crystallographic stabilizing forces to grow long, thin single crystal ribbons directly from liquid silicon. The ribbon, or web, is formed by the solidification of a liquid film supported by surface tension between two silicon filaments, called dendrites, which border the edges of the growing strip. The ribbon can be propagated indefinitely by replenishing the liquid silicon as it is transformed to crystal. The dendritic web process has several advantages for achieving low cost, high efficiency solar cells. These advantages are discussed.

  6. Characterization of silicon oxynitride films prepared by the simultaneous implantation of oxygen and nitrogen ions into silicon

    International Nuclear Information System (INIS)

    Hezel, R.; Streb, W.

    1985-01-01

    Silicon oxynitride films about 5 nm in thickness were prepared by simultaneously implanting 5 keV oxygen and nitrogen ions into silicon at room temperature up to saturation. These films with concentrations ranging from pure silicon oxide to silicon nitride were characterized using Auger electron spectroscopy, electron energy loss spectroscopy and depth-concentration profiling. The different behaviour of the silicon oxynitride films compared with those of silicon oxide and silicon nitride with regard to thermal stability and hardness against electron and argon ion irradiation is pointed out. (Auth.)

  7. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed

    2014-07-29

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  8. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed; Rubin, Andrew; Refaat, Mohamed; Sedky, Sherif; Abdo, Mohammad

    2014-01-01

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  9. Evanescent field phase shifting in a silicon nitride waveguide using a coupled silicon slab

    DEFF Research Database (Denmark)

    Jensen, Asger Sellerup; Oxenløwe, Leif Katsuo; Green, William M. J.

    2015-01-01

    An approach for electrical modulation of low-loss silicon nitride waveguides is proposed, using a silicon nitride waveguide evanescently loaded with a thin silicon slab. The thermooptic phase-shift characteristics are investigated in a racetrack resonator configuration....

  10. Selective formation of porous silicon

    Science.gov (United States)

    Fathauer, Robert W. (Inventor); Jones, Eric W. (Inventor)

    1993-01-01

    A pattern of porous silicon is produced in the surface of a silicon substrate by forming a pattern of crystal defects in said surface, preferably by applying an ion milling beam through openings in a photoresist layer to the surface, and then exposing said surface to a stain etchant, such as HF:HNO3:H2O. The defected crystal will preferentially etch to form a pattern of porous silicon. When the amorphous content of the porous silicon exceeds 70 percent, the porous silicon pattern emits visible light at room temperature.

  11. Modulation Doping of Silicon using Aluminium-induced Acceptor States in Silicon Dioxide

    OpenAIRE

    K?nig, Dirk; Hiller, Daniel; Gutsch, Sebastian; Zacharias, Margit; Smith, Sean

    2017-01-01

    All electronic, optoelectronic or photovoltaic applications of silicon depend on controlling majority charge carriers via doping with impurity atoms. Nanoscale silicon is omnipresent in fundamental research (quantum dots, nanowires) but also approached in future technology nodes of the microelectronics industry. In general, silicon nanovolumes, irrespective of their intended purpose, suffer from effects that impede conventional doping due to fundamental physical principles such as out-diffusi...

  12. Optical property of silicon quantum dots embedded in silicon nitride by thermal annealing

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Baek Hyun, E-mail: bhkim@andrew.cmu.ed [Department of Materials Science and Engineering, Carnegie Mellon University, Pittsburgh, PA 15213, United Sates (United States); Davis, Robert F. [Department of Materials Science and Engineering, Carnegie Mellon University, Pittsburgh, PA 15213, United Sates (United States); Park, Seong-Ju [Nanophotonic Semiconductors Laboratory, Department of Materials Science and Engineering, Gwangju Institute of Science and Technology, Gwangju, 500-712 (Korea, Republic of)

    2010-01-01

    We present the effects on the thermal annealing of silicon quantum dots (Si QDs) embedded in silicon nitride. The improved photoluminescence (PL) intensities and the red-shifted PL spectra were obtained with annealing treatment in the range of 700 to 1000 {sup o}C. The shifts of PL spectra were attributed to the increase in the size of Si QDs. The improvement of the PL intensities was also attributed to the reduction of point defects at Si QD/silicon nitride interface and in the silicon nitride due to hydrogen passivation effects.

  13. Influence of flow rate on different properties of diamond-like nanocomposite thin films grown by PECVD

    Directory of Open Access Journals (Sweden)

    T. S. Santra

    2012-06-01

    Full Text Available Diamond-like nanocomposite (DLN thin films were deposited on pyrex glass substrate using different flow rate of haxamethyldisiloxane (HMDSO based liquid precursor with nitrogen gas as a glow discharged decomposition by plasma enhanced chemical vapor deposition (PECVD technique. The significant influence of different precursor flow rates on refractive index and thickness of the DLN films was measured by using spectroscopic filmatrics and DEKTAK profilometer. Optical transparency of the DLN thin films was analyzed by UV-VIS-NIR spectrometer. FTIR spectroscopy, provides the information about shifted bonds like SiC2, Si-C, Si-O, C-C, Si-H, C-H, N-H, and O-H with different precursor flow rate. We have estimated the hardness of the DLN films from Raman spectroscopy using Gaussian deconvolution method and tried to investigate the correlation between hardness, refractive index and thickness of the films with different precursor flow rates. The composition and surface morphology of the DLN films were investigated by X-ray photo electron spectroscopy (XPS and atomic force microscopy (AFM respectively. We have analyzed the hardness by intensity ratio (ID/IG of D and G peaks and correlates with hardness measurement by nanoindentation test where hardness increases from 27.8 μl/min to 80.6μl/min and then decreases with increase of flow rate from 80.6μl/min to 149.5μl/min. Finally, we correlates different parameters of structural, optical and tribological properties like film-thickness, refractive index, light transmission, hardness, surface roughness, modulus of elasticity, contact angle etc. with different precursor flow rates of DLN films.

  14. Silicon photonics fundamentals and devices

    CERN Document Server

    Deen, M Jamal

    2012-01-01

    The creation of affordable high speed optical communications using standard semiconductor manufacturing technology is a principal aim of silicon photonics research. This would involve replacing copper connections with optical fibres or waveguides, and electrons with photons. With applications such as telecommunications and information processing, light detection, spectroscopy, holography and robotics, silicon photonics has the potential to revolutionise electronic-only systems. Providing an overview of the physics, technology and device operation of photonic devices using exclusively silicon and related alloys, the book includes: * Basic Properties of Silicon * Quantum Wells, Wires, Dots and Superlattices * Absorption Processes in Semiconductors * Light Emitters in Silicon * Photodetectors , Photodiodes and Phototransistors * Raman Lasers including Raman Scattering * Guided Lightwaves * Planar Waveguide Devices * Fabrication Techniques and Material Systems Silicon Photonics: Fundamentals and Devices outlines ...

  15. Twenty-fold plasmon-induced enhancement of radiative emission rate in silicon nanocrystals embedded in silicon dioxide

    International Nuclear Information System (INIS)

    Gardelis, S; Gianneta, V.; Nassiopoulou, A.G

    2016-01-01

    We report on a 20-fold enhancement of the integrated photoluminescence (PL) emission of silicon nanocrystals, embedded in a matrix of silicon dioxide, induced by excited surface plasmons from silver nanoparticles, which are located in the vicinity of the silicon nanocrystals and separated from them by a silicon dioxide layer of a few nanometers. The electric field enhancement provided by the excited surface plasmons increases the absorption cross section and the emission rate of the nearby silicon nanocrystals, resulting in the observed enhancement of the photoluminescence, mainly attributed to a 20-fold enhancement in the emission rate of the silicon nanocrystals. The observed remarkable improvement of the PL emission makes silicon nanocrystals very useful material for photonic, sensor and solar cell applications.

  16. Direct Production of Silicones From Sand

    Energy Technology Data Exchange (ETDEWEB)

    Larry N. Lewis; F.J. Schattenmann: J.P. Lemmon

    2001-09-30

    Silicon, in the form of silica and silicates, is the second most abundant element in the earth's crust. However the synthesis of silicones (scheme 1) and almost all organosilicon chemistry is only accessible through elemental silicon. Silicon dioxide (sand or quartz) is converted to chemical-grade elemental silicon in an energy intensive reduction process, a result of the exceptional thermodynamic stability of silica. Then, the silicon is reacted with methyl chloride to give a mixture of methylchlorosilanes catalyzed by cooper containing a variety of tract metals such as tin, zinc etc. The so-called direct process was first discovered at GE in 1940. The methylchlorosilanes are distilled to purify and separate the major reaction components, the most important of which is dimethyldichlorosilane. Polymerization of dimethyldichlorosilane by controlled hydrolysis results in the formation of silicone polymers. Worldwide, the silicones industry produces about 1.3 billion pounds of the basic silicon polymer, polydimethylsiloxane.

  17. Flexible Thermoelectric Generators on Silicon Fabric

    KAUST Repository

    Sevilla, Galo T.

    2012-11-01

    In this work, the development of a Thermoelectric Generator on Flexible Silicon Fabric is explored to extend silicon electronics for flexible platforms. Low cost, easily deployable plastic based flexible electronics are of great interest for smart textile, wearable electronics and many other exciting applications. However, low thermal budget processing and fundamentally limited electron mobility hinders its potential to be competitive with well established and highly developed silicon technology. The use of silicon in flexible electronics involve expensive and abrasive materials and processes. In this work, high performance flexible thermoelectric energy harvesters are demonstrated from low cost bulk silicon (100) wafers. The fabrication of the micro- harvesters was done using existing silicon processes on silicon (100) and then peeled them off from the original substrate leaving it for reuse. Peeled off silicon has 3.6% thickness of bulk silicon reducing the thermal loss significantly and generating nearly 30% more output power than unpeeled harvesters. The demonstrated generic batch processing shows a pragmatic way of peeling off a whole silicon circuitry after conventional fabrication on bulk silicon wafers for extremely deformable high performance integrated electronics. In summary, by using a novel, low cost process, this work has successfully integrated existing and highly developed fabrication techniques to introduce a flexible energy harvester for sustainable applications.

  18. Subwavelength silicon photonics

    International Nuclear Information System (INIS)

    Cheben, P.; Bock, P.J.; Schmid, J.H.; Lapointe, J.; Janz, S.; Xu, D.-X.; Densmore, A.; Delage, A.; Lamontagne, B.; Florjanczyk, M.; Ma, R.

    2011-01-01

    With the goal of developing photonic components that are compatible with silicon microelectronic integrated circuits, silicon photonics has been the subject of intense research activity. Silicon is an excellent material for confining and manipulating light at the submicrometer scale. Silicon optoelectronic integrated devices have the potential to be miniaturized and mass-produced at affordable cost for many applications, including telecommunications, optical interconnects, medical screening, and biological and chemical sensing. We review recent advances in silicon photonics research at the National Research Council Canada. A new type of optical waveguide is presented, exploiting subwavelength grating (SWG) effect. We demonstrate subwavelength grating waveguides made of silicon, including practical components operating at telecom wavelengths: input couplers, waveguide crossings and spectrometer chips. SWG technique avoids loss and wavelength resonances due to diffraction effects and allows for single-mode operation with direct control of the mode confinement by changing the refractive index of a waveguide core over a range as broad as 1.6 - 3.5 simply by lithographic patterning. The light can be launched to these waveguides with a coupling loss as small as 0.5 dB and with minimal wavelength dependence, using coupling structures similar to that shown in Fig. 1. The subwavelength grating waveguides can cross each other with minimal loss and negligible crosstalk which allows massive photonic circuit connectivity to overcome the limits of electrical interconnects. These results suggest that the SWG waveguides could become key elements for future integrated photonic circuits. (authors)

  19. Silicon photonic integration in telecommunications

    Directory of Open Access Journals (Sweden)

    Christopher Richard Doerr

    2015-08-01

    Full Text Available Silicon photonics is the guiding of light in a planar arrangement of silicon-based materials to perform various functions. We focus here on the use of silicon photonics to create transmitters and receivers for fiber-optic telecommunications. As the need to squeeze more transmission into a given bandwidth, a given footprint, and a given cost increases, silicon photonics makes more and more economic sense.

  20. Silicon microphones - a Danish perspective

    DEFF Research Database (Denmark)

    Bouwstra, Siebe; Storgaard-Larsen, Torben; Scheeper, Patrick

    1998-01-01

    Two application areas of microphones are discussed, those for precision measurement and those for hearing instruments. Silicon microphones are under investigation for both areas, and Danish industry plays a key role in both. The opportunities of silicon, as well as the challenges and expectations......, are discussed. For precision measurement the challenge for silicon is large, while for hearing instruments silicon seems to be very promising....

  1. Integrated silicon optoelectronics

    CERN Document Server

    Zimmermann, Horst

    2000-01-01

    'Integrated Silicon Optoelectronics'assembles optoelectronics and microelectronics The book concentrates on silicon as the major basis of modern semiconductor devices and circuits Starting from the basics of optical emission and absorption and from the device physics of photodetectors, the aspects of the integration of photodetectors in modern bipolar, CMOS, and BiCMOS technologies are discussed Detailed descriptions of fabrication technologies and applications of optoelectronic integrated circuits are included The book, furthermore, contains a review of the state of research on eagerly expected silicon light emitters In order to cover the topic of the book comprehensively, integrated waveguides, gratings, and optoelectronic power devices are included in addition Numerous elaborate illustrations promote an easy comprehension 'Integrated Silicon Optoelectronics'will be of value to engineers, physicists, and scientists in industry and at universities The book is also recommendable for graduate students speciali...

  2. Process for making silicon

    Science.gov (United States)

    Levin, Harry (Inventor)

    1987-01-01

    A reactor apparatus (10) adapted for continuously producing molten, solar grade purity elemental silicon by thermal reaction of a suitable precursor gas, such as silane (SiH.sub.4), is disclosed. The reactor apparatus (10) includes an elongated reactor body (32) having graphite or carbon walls which are heated to a temperature exceeding the melting temperature of silicon. The precursor gas enters the reactor body (32) through an efficiently cooled inlet tube assembly (22) and a relatively thin carbon or graphite septum (44). The septum (44), being in contact on one side with the cooled inlet (22) and the heated interior of the reactor (32) on the other side, provides a sharp temperature gradient for the precursor gas entering the reactor (32) and renders the operation of the inlet tube assembly (22) substantially free of clogging. The precursor gas flows in the reactor (32) in a substantially smooth, substantially axial manner. Liquid silicon formed in the initial stages of the thermal reaction reacts with the graphite or carbon walls to provide a silicon carbide coating on the walls. The silicon carbide coated reactor is highly adapted for prolonged use for production of highly pure solar grade silicon. Liquid silicon (20) produced in the reactor apparatus (10) may be used directly in a Czochralski or other crystal shaping equipment.

  3. Silicon etch process

    International Nuclear Information System (INIS)

    Day, D.J.; White, J.C.

    1984-01-01

    A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)

  4. Porous silicon gettering

    Energy Technology Data Exchange (ETDEWEB)

    Tsuo, Y.S.; Menna, P.; Pitts, J.R. [National Renewable Energy Lab., Golden, CO (United States)] [and others

    1996-05-01

    The authors have studied a novel extrinsic gettering method that uses the large surface areas produced by a porous-silicon etch as gettering sites. The annealing step of the gettering used a high-flux solar furnace. They found that a high density of photons during annealing enhanced the impurity diffusion to the gettering sites. The authors used metallurgical-grade Si (MG-Si) prepared by directional solidification casing as the starting material. They propose to use porous-silicon-gettered MG-Si as a low-cost epitaxial substrate for polycrystalline silicon thin-film growth.

  5. Investigation of the interface region between a porous silicon layer and a silicon substrate

    International Nuclear Information System (INIS)

    Lee, Ki-Won; Park, Dae-Kyu; Kim, Young-You; Shin, Hyun-Joon

    2005-01-01

    Atomic force microscopy (AFM) measurement and X-ray diffraction (XRD) analysis were performed to investigate the physical and structural characteristics of the interface region between a porous silicon layer and a silicon substrate. We discovered that, when anodization time was increased under a constant current density, the Si crystallites in the interface region became larger and formed different lattice parameters than observed in the porous silicon layer. Secondary ion mass spectrometry (SIMS) analysis also revealed that the Si was more concentrated in the interface region than in the porous silicon layer. These results were interpreted by the deficiency of the HF solution in reaching to the interface through the pores during the porous silicon formation

  6. Amorphous and microcrystalline silicon applied in very thin tandem solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Schicho, Sandra

    2011-07-28

    Thin-film solar cells are fabricated by low-cost production processes, and are therefore an alternative to conventionally used wafer solar cells based on crystalline silicon. Due to the different band gaps, tandem cells that consist of amorphous (a-Si:H) and microcrystalline ({mu}c-Si:H) single junction solar cells deposited on top of each other use the solar spectrum much more efficient than single junction solar cells. The silicon layers are usually deposited on TCO (Transparent Conductive Oxide)-coated glass and metal- or plastic foils. Compared to the CdTe and CIGS based thin-film technologies, silicon thin-film solar cells have the advantage that no limitation of raw material supply is expected and no toxic elements are used. Nevertheless, the production cost per Wattpeak is the decisive factor concerning competitiveness and can be reduced by, e.g., shorter deposition times or reduced material consumption. Both cost-reducing conceptions are simultaneously achieved by reducing the a-Si:H and {mu}c-Si:H absorber layer thicknesses in a tandem device. In the work on hand, the influence of an absorber layer thickness reduction up to 77% on the photovoltaic parameters of a-Si:H/{mu}c-Si:H tandem solar cells was investigated. An industry-oriented Radio Frequency Plasma-Enhanced Chemical Vapour Deposition (RF-PECVD) system was used to deposit the solar cells on glass substrates coated with randomly structured TCO layers. The thicknesses of top and bottom cell absorber layers were varied by adjusting the deposition time. Reduced layer thicknesses lead to lower absorption and, hence, to reduced short-circuit current densities which, however, are partially balanced by higher open-circuit voltages and fill factors. Furthermore, by using very thin amorphous top cells, the light-induced degradation decreases tremendously. Accordingly, a thickness reduction of 75% led to an efficiency loss of only 21 %. By adjusting the parameters for the deposition of a-Si:H top cells, a

  7. Vapor Pressure and Evaporation Coefficient of Silicon Monoxide over a Mixture of Silicon and Silica

    Science.gov (United States)

    Ferguson, Frank T.; Nuth, Joseph A., III

    2012-01-01

    The evaporation coefficient and equilibrium vapor pressure of silicon monoxide over a mixture of silicon and vitreous silica have been studied over the temperature range (1433 to 1608) K. The evaporation coefficient for this temperature range was (0.007 plus or minus 0.002) and is approximately an order of magnitude lower than the evaporation coefficient over amorphous silicon monoxide powder and in general agreement with previous measurements of this quantity. The enthalpy of reaction at 298.15 K for this reaction was calculated via second and third law analyses as (355 plus or minus 25) kJ per mol and (363.6 plus or minus 4.1) kJ per mol respectively. In comparison with previous work with the evaporation of amorphous silicon monoxide powder as well as other experimental measurements of the vapor pressure of silicon monoxide gas over mixtures of silicon and silica, these systems all tend to give similar equilibrium vapor pressures when the evaporation coefficient is correctly taken into account. This provides further evidence that amorphous silicon monoxide is an intimate mixture of small domains of silicon and silica and not strictly a true compound.

  8. High temperature corrosion of silicon carbide and silicon nitride in the presence of chloride compound

    International Nuclear Information System (INIS)

    McNallan, M.

    1993-01-01

    Silicon carbide and silicon nitride are resistant to oxidation because a protective silicon dioxide films on their surfaces in most oxidizing environments. Chloride compounds can attack the surface in two ways: 1) chlorine can attack the silicon directly to form a volatile silicon chloride compound or 2) alkali compounds combined with the chlorine can be transported to the surface where they flux the silica layer by forming stable alkali silicates. Alkali halides have enough vapor pressure that a sufficient quantity of alkali species to cause accelerated corrosion can be transported to the ceramic surface without the formation of a chloride deposit. When silicon carbide is attacked simultaneously by chlorine and oxygen, the corrosion products include both volatile and condensed spices. Silicon nitride is much more resistance to this type of attack than silicon carbide. Silicon based ceramics are exposed to oxidizing gases in the presence of alkali chloride vapors, the rate of corrosion is controlled primarily by the driving force for the formation of alkali silicate, which can be quantified as the activity of the alkali oxide in equilibrium with the corrosive gas mixture. In a gas mixture containing a fixed partial pressure of KCl, the rate of corrosion is accelerated by increasing the concentration of water vapor and inhibited by increasing the concentration of HCl. Similar results have been obtained for mixtures containing other alkalis and halogens. (Orig./A.B.)

  9. RBS/channeling analysis of hydrogen-implanted single crystals of FZ silicon and 6H silicon

    International Nuclear Information System (INIS)

    Irwin, R.B.

    1984-01-01

    Single crystals of FZ silicon and 6H silicon carbide were implanted with hydrogen ions (50 and 80 keV, respectively) to fluences from 2 x 10 16 H + /cm 2 to 2 x 10 18 H+/cm 2 . The implantations were carried out at three temperatures: approx.95K, 300 K, and approx.800 K. Swelling of the samples was measured by surface profilometry. RBS/channeling was used to obtain the damage profiles and to determine the amount of hydrogen retained in the lattice. The damage profiles are centered around X/sub m/ for the implants into silicon and around R/sub p/ for silicon carbide. For silicon carbide implanted at 95 K and 300 K and for silicon implanted at 95 K, the peak damage region is amorphous for fluences above 8 x 10 16 H + /cm 2 , 4 x 10 17 H + /cm 2 , and 2 x 10 17 H + /cm 2 , respectively. Silicon implanted at 300 and 800 K and silicon carbide implanted at 800 K remain crystalline up to fluences of 1 x 10 18 H + /cm 2 . The channeling damage results agree with previously reported TEM and electron diffraction data. The predictions of a simple disorder-accumulation model with a linear annealing term explains qualitatively the observed damage profiles in silicon carbide. Quantitatively, however, the model predicts faster development of the damage profiles than is observed at low fluences in both silicon and silicon carbide. For samples implanted at 300 and 800 K, the model also predicts substantially less peak disorder than is observed. The effect of the surface, the retained hydrogen, the shape of S/sub D/(X), and the need for a nonlinear annealing term may be responsible for the discrepancy

  10. Quantum mechanical theory of epitaxial transformation of silicon to silicon carbide

    International Nuclear Information System (INIS)

    Kukushkin, S A; Osipov, A V

    2017-01-01

    The paper focuses on the study of transformation of silicon crystal into silicon carbide crystal via substitution reaction with carbon monoxide gas. As an example, the Si(1 0 0) surface is considered. The cross section of the potential energy surface of the first stage of transformation along the reaction pathway is calculated by the method of nudged elastic bands. It is found that in addition to intermediate states associated with adsorption of CO and SiO molecules on the surface, there is also an intermediate state in which all the atoms are strongly bonded to each other. This intermediate state significantly reduces the activation barrier of transformation down to 2.6 eV. The single imaginary frequencies corresponding to the two transition states of this transformation are calculated, one of which is reactant-like, whereas the other is product-like. By methods of quantum chemistry of solids, the second stage of this transformation is described, namely, the transformation of precarbide silicon into silicon carbide. Energy reduction per one cell is calculated for this ‘collapse’ process, and bond breaking energy is also found. Hence, it is concluded that the smallest size of the collapsing islet is 30 nm. It is shown that the chemical bonds of the initial silicon crystal are coordinately replaced by the bonds between Si and C in silicon carbide, which leads to a high quality of epitaxy and a low concentration of misfit dislocations. (paper)

  11. Nanostructured silicon for thermoelectric

    Science.gov (United States)

    Stranz, A.; Kähler, J.; Waag, A.; Peiner, E.

    2011-06-01

    Thermoelectric modules convert thermal energy into electrical energy and vice versa. At present bismuth telluride is the most widely commercial used material for thermoelectric energy conversion. There are many applications where bismuth telluride modules are installed, mainly for refrigeration. However, bismuth telluride as material for energy generation in large scale has some disadvantages. Its availability is limited, it is hot stable at higher temperatures (>250°C) and manufacturing cost is relatively high. An alternative material for energy conversion in the future could be silicon. The technological processing of silicon is well advanced due to the rapid development of microelectronics in recent years. Silicon is largely available and environmentally friendly. The operating temperature of silicon thermoelectric generators can be much higher than of bismuth telluride. Today silicon is rarely used as a thermoelectric material because of its high thermal conductivity. In order to use silicon as an efficient thermoelectric material, it is necessary to reduce its thermal conductivity, while maintaining high electrical conductivity and high Seebeck coefficient. This can be done by nanostructuring into arrays of pillars. Fabrication of silicon pillars using ICP-cryogenic dry etching (Inductive Coupled Plasma) will be described. Their uniform height of the pillars allows simultaneous connecting of all pillars of an array. The pillars have diameters down to 180 nm and their height was selected between 1 micron and 10 microns. Measurement of electrical resistance of single silicon pillars will be presented which is done in a scanning electron microscope (SEM) equipped with nanomanipulators. Furthermore, measurement of thermal conductivity of single pillars with different diameters using the 3ω method will be shown.

  12. Studies on the reactive melt infiltration of silicon and silicon-molybdenum alloys in porous carbon

    Science.gov (United States)

    Singh, M.; Behrendt, D. R.

    1992-01-01

    Investigations on the reactive melt infiltration of silicon and silicon-1.7 and 3.2 at percent molybdenum alloys into porous carbon preforms have been carried out by process modeling, differential thermal analysis (DTA) and melt infiltration experiments. These results indicate that the initial pore volume fraction of the porous carbon preform is a critical parameter in determining the final composition of the raction-formed silicon carbide and other residual phases. The pore size of the carbon preform is very detrimental to the exotherm temperatures due to liquid silicon-carbon reactions encountered during the reactive melt infiltration process. A possible mechanism for the liquid silicon-porous (glassy) carbon reaction has been proposed. The composition and microstructure of the reaction-formed silicon carbide has been discussed in terms of carbon preform microstructures, infiltration materials, and temperatures.

  13. 1366 Project Silicon: Reclaiming US Silicon PV Leadership

    Energy Technology Data Exchange (ETDEWEB)

    Lorenz, Adam [1366 Technologies, Bedford, MA (United States)

    2016-02-16

    1366 Technologies’ Project Silicon addresses two of the major goals of the DOE’s PV Manufacturing Initiative Part 2 program: 1) How to reclaim a strong silicon PV manufacturing presence and; 2) How to lower the levelized cost of electricity (“LCOE”) for solar to $0.05-$0.07/kWh, enabling wide-scale U.S. market adoption. To achieve these two goals, US companies must commercialize disruptive, high-value technologies that are capable of rapid scaling, defensible from foreign competition, and suited for US manufacturing. These are the aims of 1366 Technologies Direct Wafer ™ process. The research conducted during Project Silicon led to the first industrial scaling of 1366’s Direct Wafer™ process – an innovative, US-friendly (efficient, low-labor content) manufacturing process that destroys the main cost barrier limiting silicon PV cost-reductions: the 35-year-old grand challenge of making quality wafers (40% of the cost of modules) without the cost and waste of sawing. The SunPath program made it possible for 1366 Technologies to build its demonstration factory, a key and critical step in the Company’s evolution. The demonstration factory allowed 1366 to build every step of the process flow at production size, eliminating potential risk and ensuring the success of the Company’s subsequent scaling for a 1 GW factory to be constructed in Western New York in 2016 and 2017. Moreover, the commercial viability of the Direct Wafer process and its resulting wafers were established as 1366 formed key strategic partnerships, gained entry into the $8B/year multi-Si wafer market, and installed modules featuring Direct Wafer products – the veritable proving grounds for the technology. The program also contributed to the development of three Generation 3 Direct Wafer furnaces. These furnaces are the platform for copying intelligently and preparing our supply chain – large-scale expansion will not require a bigger machine but more machines. SunPath filled the

  14. Silicon-micromachined microchannel plates

    CERN Document Server

    Beetz, C P; Steinbeck, J; Lemieux, B; Winn, D R

    2000-01-01

    Microchannel plates (MCP) fabricated from standard silicon wafer substrates using a novel silicon micromachining process, together with standard silicon photolithographic process steps, are described. The resulting SiMCP microchannels have dimensions of approx 0.5 to approx 25 mu m, with aspect ratios up to 300, and have the dimensional precision and absence of interstitial defects characteristic of photolithographic processing, compatible with positional matching to silicon electronics readouts. The open channel areal fraction and detection efficiency may exceed 90% on plates up to 300 mm in diameter. The resulting silicon substrates can be converted entirely to amorphous quartz (qMCP). The strip resistance and secondary emission are developed by controlled depositions of thin films, at temperatures up to 1200 deg. C, also compatible with high-temperature brazing, and can be essentially hydrogen, water and radionuclide-free. Novel secondary emitters and cesiated photocathodes can be high-temperature deposite...

  15. Porous silicon carbide (SIC) semiconductor device

    Science.gov (United States)

    Shor, Joseph S. (Inventor); Kurtz, Anthony D. (Inventor)

    1996-01-01

    Porous silicon carbide is fabricated according to techniques which result in a significant portion of nanocrystallites within the material in a sub 10 nanometer regime. There is described techniques for passivating porous silicon carbide which result in the fabrication of optoelectronic devices which exhibit brighter blue luminescence and exhibit improved qualities. Based on certain of the techniques described porous silicon carbide is used as a sacrificial layer for the patterning of silicon carbide. Porous silicon carbide is then removed from the bulk substrate by oxidation and other methods. The techniques described employ a two-step process which is used to pattern bulk silicon carbide where selected areas of the wafer are then made porous and then the porous layer is subsequently removed. The process to form porous silicon carbide exhibits dopant selectivity and a two-step etching procedure is implemented for silicon carbide multilayers.

  16. Silicon Photonics Cloud (SiCloud)

    DEFF Research Database (Denmark)

    DeVore, P. T. S.; Jiang, Y.; Lynch, M.

    2015-01-01

    Silicon Photonics Cloud (SiCloud.org) is the first silicon photonics interactive web tool. Here we report new features of this tool including mode propagation parameters and mode distribution galleries for user specified waveguide dimensions and wavelengths.......Silicon Photonics Cloud (SiCloud.org) is the first silicon photonics interactive web tool. Here we report new features of this tool including mode propagation parameters and mode distribution galleries for user specified waveguide dimensions and wavelengths....

  17. Electrical Manipulation of Donor Spin Qubits in Silicon and Germanium

    Science.gov (United States)

    Sigillito, Anthony James

    Many proposals for quantum information devices rely on electronic or nuclear spins in semiconductors because of their long coherence times and compatibility with industrial fabrication processes. One of the most notable qubits is the electron spin bound to phosphorus donors in silicon, which offers coherence times exceeding seconds at low temperatures. These donors are naturally isolated from their environments to the extent that silicon has been coined a "semiconductor vacuum". While this makes for ultra-coherent qubits, it is difficult to couple two remote donors so quantum information proposals rely on high density arrays of qubits. Here, single qubit addressability becomes an issue. Ideally one would address individual qubits using electric fields which can be easily confined. Typically these schemes rely on tuning a donor spin qubit onto and off of resonance with a magnetic driving field. In this thesis, we measure the electrical tunability of phosphorus donors in silicon and use the extracted parameters to estimate the effects of electric-field noise on qubit coherence times. Our measurements show that donor ionization may set in before electron spins can be sufficiently tuned. We therefore explore two alternative options for qubit addressability. First, we demonstrate that nuclear spin qubits can be directly driven using electric fields instead of magnetic fields and show that this approach offers several advantages over magnetically driven spin resonance. In particular, spin transitions can occur at half the spin resonance frequency and double quantum transitions (magnetic-dipole forbidden) can occur. In a second approach to realizing tunable qubits in semiconductors, we explore the option of replacing silicon with germanium. We first measure the coherence and relaxation times for shallow donor spin qubits in natural and isotopically enriched germanium. We find that in isotopically enriched material, coherence times can exceed 1 ms and are limited by a

  18. The silicon-silicon oxide multilayers utilization as intrinsic layer on pin solar cells

    International Nuclear Information System (INIS)

    Colder, H.; Marie, P.; Gourbilleau, F.

    2008-01-01

    Silicon nanostructures are promising candidate for the intrinsic layer on pin solar cells. In this work we report on new material: silicon-rich silicon oxide (SRSO) deposited by reactive magnetron sputtering of a pure silica target and an interesting structure: multilayers consisting of a stack of SRSO and pure silicon oxide layers. Two thicknesses of the SRSO sublayer, t SRSO , are studied 3 nm and 5 nm whereas the thickness of silica sublayer is maintaining at 3 nm. The presence of nanocrystallites of silicon, evidenced by X-Ray diffraction (XRD), leads to photoluminescence (PL) emission at room temperature due to the quantum confinement of the carriers. The PL peak shifts from 1.3 eV to 1.5 eV is correlated to the decreasing of t SRSO from 5 nm down to 3 nm. In the purpose of their potential utilization for i-layer, the optical properties are studied by absorption spectroscopy. The achievement a such structures at promising absorption properties. Moreover by favouring the carriers injection by the tunnel effect between silicon nanograins and silica sublayers, the multilayers seem to be interesting for solar cells

  19. Method of forming buried oxide layers in silicon

    Science.gov (United States)

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2000-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  20. Effects of ion implantation on charges in the silicon--silicon dioxide system

    International Nuclear Information System (INIS)

    Learn, A.J.; Hess, D.W.

    1977-01-01

    Structures consisting of thermally grown oxide on silicon were implanted with boron, arsenic, or argon ions. For argon implantation through oxides, an increased fixed oxide charge (Q/sub ss/) was observed with the increase being greater for than for silicon. This effect is attributed to oxygen recoil which produces additional excess ionized silicon in the oxide of a type similar to that arising in thermal oxidation. Fast surface state (N/sub st/) generation was also noted which in most cases obscured the Q/sub ss/ increase. Of various heat treatments tested, only a 900 degreeC anneal in hydrogen annihilated N/sub st/ and allowed Q/sub ss/ measurement. Such N/sub st/ apparently arises as a consequence of implantation damage at the silicon--silicon dioxide interface. With the exception of boron implantations into thick oxides or through aluminum electrodes, reduction of the mobile ionic charge (Q/sub o/) was achieved by implantation. The reduction again is presumably damage related and is not negated by high-temperature annealing but may be counterbalanced by aluminum incorporation in the oxide

  1. High Efficiency, Low Cost Solar Cells Manufactured Using 'Silicon Ink' on Thin Crystalline Silicon Wafers

    Energy Technology Data Exchange (ETDEWEB)

    Antoniadis, H.

    2011-03-01

    Reported are the development and demonstration of a 17% efficient 25mm x 25mm crystalline Silicon solar cell and a 16% efficient 125mm x 125mm crystalline Silicon solar cell, both produced by Ink-jet printing Silicon Ink on a thin crystalline Silicon wafer. To achieve these objectives, processing approaches were developed to print the Silicon Ink in a predetermined pattern to form a high efficiency selective emitter, remove the solvents in the Silicon Ink and fuse the deposited particle Silicon films. Additionally, standard solar cell manufacturing equipment with slightly modified processes were used to complete the fabrication of the Silicon Ink high efficiency solar cells. Also reported are the development and demonstration of a 18.5% efficient 125mm x 125mm monocrystalline Silicon cell, and a 17% efficient 125mm x 125mm multicrystalline Silicon cell, by utilizing high throughput Ink-jet and screen printing technologies. To achieve these objectives, Innovalight developed new high throughput processing tools to print and fuse both p and n type particle Silicon Inks in a predetermined pat-tern applied either on the front or the back of the cell. Additionally, a customized Ink-jet and screen printing systems, coupled with customized substrate handling solution, customized printing algorithms, and a customized ink drying process, in combination with a purchased turn-key line, were used to complete the high efficiency solar cells. This development work delivered a process capable of high volume producing 18.5% efficient crystalline Silicon solar cells and enabled the Innovalight to commercialize its technology by the summer of 2010.

  2. Silicon-micromachined microchannel plates

    International Nuclear Information System (INIS)

    Beetz, Charles P.; Boerstler, Robert; Steinbeck, John; Lemieux, Bryan; Winn, David R.

    2000-01-01

    Microchannel plates (MCP) fabricated from standard silicon wafer substrates using a novel silicon micromachining process, together with standard silicon photolithographic process steps, are described. The resulting SiMCP microchannels have dimensions of ∼0.5 to ∼25 μm, with aspect ratios up to 300, and have the dimensional precision and absence of interstitial defects characteristic of photolithographic processing, compatible with positional matching to silicon electronics readouts. The open channel areal fraction and detection efficiency may exceed 90% on plates up to 300 mm in diameter. The resulting silicon substrates can be converted entirely to amorphous quartz (qMCP). The strip resistance and secondary emission are developed by controlled depositions of thin films, at temperatures up to 1200 deg. C, also compatible with high-temperature brazing, and can be essentially hydrogen, water and radionuclide-free. Novel secondary emitters and cesiated photocathodes can be high-temperature deposited or nucleated in the channels or the first strike surface. Results on resistivity, secondary emission and gain are presented

  3. Removal of inclusions from silicon

    Science.gov (United States)

    Ciftja, Arjan; Engh, Thorvald Abel; Tangstad, Merete; Kvithyld, Anne; Øvrelid, Eivind Johannes

    2009-11-01

    The removal of inclusions from molten silicon is necessary to satisfy the purity requirements for solar grade silicon. This paper summarizes two methods that are investigated: (i) settling of the inclusions followed by subsequent directional solidification and (infiltration by ceramic foam filters. Settling of inclusions followed by directional solidification is of industrial importance for production of low-cost solar grade silicon. Filtration is reported as the most efficient method for removal of inclusions from the top-cut silicon scrap.

  4. Silicon Tracking Upgrade at CDF

    International Nuclear Information System (INIS)

    Kruse, M.C.

    1998-04-01

    The Collider Detector at Fermilab (CDF) is scheduled to begin recording data from Run II of the Fermilab Tevatron in early 2000. The silicon tracking upgrade constitutes both the upgrade to the CDF silicon vertex detector (SVX II) and the new Intermediate Silicon Layers (ISL) located at radii just beyond the SVX II. Here we review the design and prototyping of all aspects of these detectors including mechanical design, data acquisition, and a trigger based on silicon tracking

  5. Radiation Hardening of Silicon Detectors

    CERN Multimedia

    Leroy, C; Glaser, M

    2002-01-01

    %RD48 %title\\\\ \\\\Silicon detectors will be widely used in experiments at the CERN Large Hadron Collider where high radiation levels will cause significant bulk damage. In addition to increased leakage current and charge collection losses worsening the signal to noise, the induced radiation damage changes the effective doping concentration and represents the limiting factor to long term operation of silicon detectors. The objectives are to develop radiation hard silicon detectors that can operate beyond the limits of the present devices and that ensure guaranteed operation for the whole lifetime of the LHC experimental programme. Radiation induced defect modelling and experimental results show that the silicon radiation hardness depends on the atomic impurities present in the initial monocrystalline material.\\\\ \\\\ Float zone (FZ) silicon materials with addition of oxygen, carbon, nitrogen, germanium and tin were produced as well as epitaxial silicon materials with epilayers up to 200 $\\mu$m thickness. Their im...

  6. Silicon Alloying On Aluminium Based Alloy Surface

    International Nuclear Information System (INIS)

    Suryanto

    2002-01-01

    Silicon alloying on surface of aluminium based alloy was carried out using electron beam. This is performed in order to enhance tribological properties of the alloy. Silicon is considered most important alloying element in aluminium alloy, particularly for tribological components. Prior to silicon alloying. aluminium substrate were painted with binder and silicon powder and dried in a furnace. Silicon alloying were carried out in a vacuum chamber. The Silicon alloyed materials were assessed using some techniques. The results show that silicon alloying formed a composite metal-non metal system in which silicon particles are dispersed in the alloyed layer. Silicon content in the alloyed layer is about 40% while in other place is only 10.5 %. The hardness of layer changes significantly. The wear properties of the alloying alloys increase. Silicon surface alloying also reduced the coefficient of friction for sliding against a hardened steel counter face, which could otherwise be higher because of the strong adhesion of aluminium to steel. The hardness of the silicon surface alloyed material dropped when it underwent a heating cycle similar to the ion coating process. Hence, silicon alloying is not a suitable choice for use as an intermediate layer for duplex treatment

  7. Silicon microfabricated beam expander

    Science.gov (United States)

    Othman, A.; Ibrahim, M. N.; Hamzah, I. H.; Sulaiman, A. A.; Ain, M. F.

    2015-03-01

    The feasibility design and development methods of silicon microfabricated beam expander are described. Silicon bulk micromachining fabrication technology is used in producing features of the structure. A high-precision complex 3-D shape of the expander can be formed by exploiting the predictable anisotropic wet etching characteristics of single-crystal silicon in aqueous Potassium-Hydroxide (KOH) solution. The beam-expander consist of two elements, a micromachined silicon reflector chamber and micro-Fresnel zone plate. The micro-Fresnel element is patterned using lithographic methods. The reflector chamber element has a depth of 40 µm, a diameter of 15 mm and gold-coated surfaces. The impact on the depth, diameter of the chamber and absorption for improved performance are discussed.

  8. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.

    2013-10-01

    This paper reports on the use of p-type polycrystalline silicon germanium (poly-Si1-xGex) thin films as a new masking material for the cryogenic deep reactive ion etching (DRIE) of silicon. We investigated the etching behavior of various poly-Si1-xGex:B (0silicon, silicon oxide, and photoresist was determined at different etching temperatures, ICP and RF powers, and SF6 to O2 ratios. The study demonstrates that the etching selectivity of the SiGe mask for silicon depends strongly on three factors: Ge content; boron concentration; and etching temperature. Compared to conventional SiO2 and SiN masks, the proposed SiGe masking material exhibited several advantages, including high etching selectivity to silicon (>1:800). Furthermore, the SiGe mask was etched in SF6/O2 plasma at temperatures ≥ - 80°C and at rates exceeding 8 μm/min (i.e., more than 37 times faster than SiO2 or SiN masks). Because of the chemical and thermodynamic stability of the SiGe film as well as the electronic properties of the mask, it was possible to deposit the proposed film at CMOS backend compatible temperatures. The paper also confirms that the mask can easily be dry-removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6 ratio (i.e., ~6%), we were able to etch away the SiGe mask without adversely affecting the final profile. Ultimately, we were able to develop deep silicon- trenches with high aspect ratio etching straight profiles. © 1992-2012 IEEE.

  9. Structural modification of silicon during the formation process of porous silicon

    International Nuclear Information System (INIS)

    Martin-Palma, R.J.; Pascual, L.; Landa-Canovas, A.R.; Herrero, P.; Martinez-Duart, J.M.

    2005-01-01

    Direct examination of porous silicon (PS) by the use of high resolution transmission electron microscopy (HRTEM) allowed us to perform a deep insight into the formation mechanisms of this material. In particular, the structure of the PS/Si interface and that of the silicon nanocrystals that compose porous silicon were analyzed in detail. Furthermore, image processing was used to study in detail the structure of PS. The mechanism of PS formation and lattice matching between the PS layer and the Si substrate is analyzed and discussed. Finally, a formation mechanism for PS based on the experimental observations is proposed

  10. Development of low cost silicon solar cells by reusing the silicon saw dust collected during wafering process

    International Nuclear Information System (INIS)

    Zaidi, Z.I.; Raza, B.; Ahmed, M.; Sheikh, H.; Qazi, I.A.

    2002-01-01

    Silicon material due to its abundance in nature and maximum conversion efficiency has been successfully being used for the fabrication of electronic and photovoltaic devices such as ICs, diodes, transistors and solar cells. The 80% of the semiconductor industry is ruled by silicon material. Single crystal silicon solar cells are in use for both space and terrestrial application, due to the well developed technology and better efficiency than polycrystalline and amorphous silicon solar cells. The current research work is an attempt to reduce the cost of single crystal silicon solar cells by reusing the silicon saw dust obtained during the watering process. During the watering process about 45% Si material is wasted in the form of Si powder dust. Various waste powder silicon samples were analyzed using inductively Coupled Plasma (ICP) technique, for metallic impurities critical for solar grade silicon material. The results were evaluated from impurity and cost point of view. (author)

  11. Thin film silicon on silicon nitride for radiation hardened dielectrically isolated MISFET's

    International Nuclear Information System (INIS)

    Neamen, D.; Shedd, W.; Buchanan, B.

    1975-01-01

    The permanent ionizing radiation effects resulting from charge trapping in a silicon nitride isolation dielectric have been determined for a total ionizing dose up to 10 7 rads (Si). Junction FET's, whose active channel region is directly adjacent to the silicon-silicon nitride interface, were used to measure the effects of the radiation induced charge trapping in the Si 3 N 4 isolation dielectric. The JFET saturation current and channel conductance versus junction gate voltage and substrate voltage were characterized as a function of the total ionizing radiation dose. The experimental results on the Si 3 N 4 are compared to results on similar devices with SiO 2 dielectric isolation. The ramifications of using the silicon nitride for fabricating radiation hardened dielectrically isolated MIS devices are discussed

  12. Remote plasma sputtering of indium tin oxide thin films for large area flexible electronics

    International Nuclear Information System (INIS)

    Yeadon, A.D.; Wakeham, S.J.; Brown, H.L.; Thwaites, M.J.; Whiting, M.J.; Baker, M.A.

    2011-01-01

    Indium tin oxide (ITO) thin films with a specific resistivity of 3.5 × 10 −4 Ω cm and average visible light transmission (VLT) of 90% have been reactively sputtered onto A4 Polyethylene terephthalate (PET), glass and silicon substrates using a remote plasma sputtering system. This system offers independent control of the plasma density and the target power enabling the effect of the plasma on ITO properties to be studied. Characterization of ITO on glass and silicon has shown that increasing the plasma density gives rise to a decrease in the specific resistivity and an increase in the optical band gap of the ITO films. Samples deposited at plasma powers of 1.5 kW, 2.0 kW and 2.5 kW and optimized oxygen flow rates exhibited specific resistivity values of 3.8 × 10 −4 Ω cm, 3.7 × 10 −4 Ω cm and 3.5 × 10 −4 Ω cm and optical gaps of 3.48 eV, 3.51 eV and 3.78 eV respectively. The increase in plasma density also influenced the crystalline texture and the VLT increased from 70 to 95%, indicating that more oxygen is being incorporated into the growing film. It has been shown that the remote plasma sputter technique can be used in an in-line process to produce uniform ITO coatings on PET with specific resistivities of between 3.5 × 10 −4 and 4.5 × 10 −4 Ω cm and optical transmission of greater than 85% over substrate widths of up to 30 cm.

  13. The silicon vertex tracker for star and future applications of silicon drift detectors

    International Nuclear Information System (INIS)

    Bellwied, Rene

    2001-01-01

    The Silicon Vertex Tracker (SVT) for the STAR experiment at the Relativistic Heavy Ion Collider at Brookhaven National Laboratory has recently been completed and installed. First data were taken in July 2001. The SVT is based on a novel semi-conductor technology called Silicon Drift Detectors. 216 large area (6 by 6 cm) Silicon wafers were employed to build a three barrel device capable of vertexing and tracking in a high occupancy environment. Its intrinsic radiation hardness, its operation at room temperature and its excellent position resolution (better than 20 micron) in two dimensions with a one dimensional detector readout, make this technology very robust and inexpensive and thus a viable alternative to CCD, Silicon pixel and Silicon strip detectors in a variety of applications from fundamental research in high-energy and nuclear physics to astrophysics to medical imaging. I will describe the development that led to the STAR-SVT, its performance and possible applications for the near future

  14. Optoelectronic enhancement of monocrystalline silicon solar cells by porous silicon-assisted mechanical grooving

    Energy Technology Data Exchange (ETDEWEB)

    Ben Rabha, Mohamed; Mohamed, Seifeddine Belhadj; Dimassi, Wissem; Gaidi, Mounir; Ezzaouia, Hatem; Bessais, Brahim [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia)

    2011-03-15

    One of the most important factors influencing silicon solar cells performances is the front side reflectivity. Consequently, new methods for efficient reduction of this reflectivity are searched. This has always been done by creating a rough surface that enables incident light of being absorbed within the solar cell. Combination of texturization-porous silicon surface treatment was found to be an attractive technical solution for lowering the reflectivity of monocrystalline silicon (c-Si). The texturization of the monocrystalline silicon wafer was carried out by means of mechanical grooving. A specific etching procedure was then applied to form a thin porous silicon layer enabling to remove mechanical damages. This simple and low cost method reduces the total reflectivity from 29% to 7% in the 300 - 950 nm wavelength range and enhances the diffusion length of the minority carriers from 100 {mu}m to 790 {mu}m (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. The dark side of silicon energy efficient computing in the dark silicon era

    CERN Document Server

    Liljeberg, Pasi; Hemani, Ahmed; Jantsch, Axel; Tenhunen, Hannu

    2017-01-01

    This book presents the state-of-the art of one of the main concerns with microprocessors today, a phenomenon known as "dark silicon". Readers will learn how power constraints (both leakage and dynamic power) limit the extent to which large portions of a chip can be powered up at a given time, i.e. how much actual performance and functionality the microprocessor can provide. The authors describe their research toward the future of microprocessor development in the dark silicon era, covering a variety of important aspects of dark silicon-aware architectures including design, management, reliability, and test. Readers will benefit from specific recommendations for mitigating the dark silicon phenomenon, including energy-efficient, dedicated solutions and technologies to maximize the utilization and reliability of microprocessors. Enables readers to understand the dark silicon phenomenon and why it has emerged, including detailed analysis of its impacts; Presents state-of-the-art research, as well as tools for mi...

  16. Use of B{sub 2}O{sub 3} films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Kalkofen, Bodo, E-mail: bodo.kalkofen@ovgu.de; Amusan, Akinwumi A.; Bukhari, Muhammad S. K.; Burte, Edmund P. [Institute of Micro and Sensor Systems, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Garke, Bernd [Institute for Experimental Physics, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Lisker, Marco [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Gargouri, Hassan [SENTECH Instruments GmbH, Schwarzschildstraße 2, 12489 Berlin (Germany)

    2015-05-15

    Plasma-assisted atomic layer deposition (PALD) was carried for growing thin boron oxide films onto silicon aiming at the formation of dopant sources for shallow boron doping of silicon by rapid thermal annealing (RTA). A remote capacitively coupled plasma source powered by GaN microwave oscillators was used for generating oxygen plasma in the PALD process with tris(dimethylamido)borane as boron containing precursor. ALD type growth was obtained; growth per cycle was highest with 0.13 nm at room temperature and decreased with higher temperature. The as-deposited films were highly unstable in ambient air and could be protected by capping with in-situ PALD grown antimony oxide films. After 16 weeks of storage in air, degradation of the film stack was observed in an electron microscope. The instability of the boron oxide, caused by moisture uptake, suggests the application of this film for testing moisture barrier properties of capping materials particularly for those grown by ALD. Boron doping of silicon was demonstrated using the uncapped PALD B{sub 2}O{sub 3} films for RTA processes without exposing them to air. The boron concentration in the silicon could be varied depending on the source layer thickness for very thin films, which favors the application of ALD for semiconductor doping processes.

  17. Mechanically flexible optically transparent silicon fabric with high thermal budget devices from bulk silicon (100)

    KAUST Repository

    Hussain, Muhammad Mustafa

    2013-05-30

    Today’s information age is driven by silicon based electronics. For nearly four decades semiconductor industry has perfected the fabrication process of continuingly scaled transistor – heart of modern day electronics. In future, silicon industry will be more pervasive, whose application will range from ultra-mobile computation to bio-integrated medical electronics. Emergence of flexible electronics opens up interesting opportunities to expand the horizon of electronics industry. However, silicon – industry’s darling material is rigid and brittle. Therefore, we report a generic batch fabrication process to convert nearly any silicon electronics into a flexible one without compromising its (i) performance; (ii) ultra-large-scale-integration complexity to integrate billions of transistors within small areas; (iii) state-of-the-art process compatibility, (iv) advanced materials used in modern semiconductor technology; (v) the most widely used and well-studied low-cost substrate mono-crystalline bulk silicon (100). In our process, we make trenches using anisotropic reactive ion etching (RIE) in the inactive areas (in between the devices) of a silicon substrate (after the devices have been fabricated following the regular CMOS process), followed by a dielectric based spacer formation to protect the sidewall of the trench and then performing an isotropic etch to create caves in silicon. When these caves meet with each other the top portion of the silicon with the devices is ready to be peeled off from the bottom silicon substrate. Release process does not need to use any external support. Released silicon fabric (25 μm thick) is mechanically flexible (5 mm bending radius) and the trenches make it semi-transparent (transparency of 7%). © (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  18. Mechanically flexible optically transparent silicon fabric with high thermal budget devices from bulk silicon (100)

    KAUST Repository

    Hussain, Muhammad Mustafa; Rojas, Jhonathan Prieto; Sevilla, Galo T.

    2013-01-01

    Today’s information age is driven by silicon based electronics. For nearly four decades semiconductor industry has perfected the fabrication process of continuingly scaled transistor – heart of modern day electronics. In future, silicon industry will be more pervasive, whose application will range from ultra-mobile computation to bio-integrated medical electronics. Emergence of flexible electronics opens up interesting opportunities to expand the horizon of electronics industry. However, silicon – industry’s darling material is rigid and brittle. Therefore, we report a generic batch fabrication process to convert nearly any silicon electronics into a flexible one without compromising its (i) performance; (ii) ultra-large-scale-integration complexity to integrate billions of transistors within small areas; (iii) state-of-the-art process compatibility, (iv) advanced materials used in modern semiconductor technology; (v) the most widely used and well-studied low-cost substrate mono-crystalline bulk silicon (100). In our process, we make trenches using anisotropic reactive ion etching (RIE) in the inactive areas (in between the devices) of a silicon substrate (after the devices have been fabricated following the regular CMOS process), followed by a dielectric based spacer formation to protect the sidewall of the trench and then performing an isotropic etch to create caves in silicon. When these caves meet with each other the top portion of the silicon with the devices is ready to be peeled off from the bottom silicon substrate. Release process does not need to use any external support. Released silicon fabric (25 μm thick) is mechanically flexible (5 mm bending radius) and the trenches make it semi-transparent (transparency of 7%). © (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  19. Colloidal characterization of silicon nitride and silicon carbide

    Science.gov (United States)

    Feke, Donald L.

    1986-01-01

    The colloidal behavior of aqueous ceramic slips strongly affects the forming and sintering behavior and the ultimate mechanical strength of the final ceramic product. The colloidal behavior of these materials, which is dominated by electrical interactions between the particles, is complex due to the strong interaction of the solids with the processing fluids. A surface titration methodology, modified to account for this interaction, was developed and used to provide fundamental insights into the interfacial chemistry of these systems. Various powder pretreatment strategies were explored to differentiate between true surface chemistry and artifacts due to exposure history. The colloidal behavior of both silicon nitride and carbide is dominated by silanol groups on the powder surfaces. However, the colloid chemistry of silicon nitride is apparently influenced by an additional amine group. With the proper powder treatments, silicon nitride and carbide powder can be made to appear colloidally equivalent. The impact of these results on processing control will be discussed.

  20. Silicon-to-silicon wafer bonding using evaporated glass

    DEFF Research Database (Denmark)

    Weichel, Steen; Reus, Roger De; Lindahl, M.

    1998-01-01

    Anodic bending of silicon to silicon 4-in. wafers using an electron-beam evaporated glass (Schott 8329) was performed successfully in air at temperatures ranging from 200 degrees C to 450 degrees C. The composition of the deposited glass is enriched in sodium as compared to the target material....... The roughness of the as-deposited films was below 5 nm and was found to be unchanged by annealing at 500 degrees C for 1 h in air. No change in the macroscopic edge profiles of the glass film was found as a function of annealing; however, small extrusions appear when annealing above 450 degrees C. Annealing...... of silicon/glass structures in air around 340 degrees C for 15 min leads to stress-free structures. Bonded wafer pairs, however, show no reduction in stress and always exhibit compressive stress. The bond yield is larger than 95% for bonding temperatures around 350 degrees C and is above 80% for bonding...

  1. Elite silicon and solar power

    International Nuclear Information System (INIS)

    Yasamanov, N.A.

    2000-01-01

    The article is of popular character, the following issues being considered: conversion of solar energy into electric one, solar batteries in space and on the Earth, growing of silicon large-size crystals, source material problems relating to silicon monocrystals production, outlooks of solar silicon batteries production [ru

  2. Porous silicon: X-rays sensitivity

    International Nuclear Information System (INIS)

    Gerstenmayer, J.L.; Vibert, Patrick; Mercier, Patrick; Rayer, Claude; Hyvernage, Michel; Herino, Roland; Bsiesy, Ahmad

    1994-01-01

    We demonstrate that high porosity anodically porous silicon is radioluminescent. Interests of this study are double. Firstly: is the construction of porous silicon X-rays detectors (imagers) possible? Secondly: is it necessary to protect silicon porous based optoelectronic systems from ionising radiations effects (spatial environment)? ((orig.))

  3. SFG analysis of the molecular structures at the surfaces and buried interfaces of PECVD ultralow-dielectric constant pSiCOH

    Science.gov (United States)

    Zhang, Xiaoxian; Myers, John N.; Huang, Huai; Shobha, Hosadurga; Chen, Zhan; Grill, Alfred

    2016-02-01

    PECVD deposited porous SiCOH with ultralow dielectric constant has been successfully integrated as the insulator in advanced interconnects to decrease the RC delay. The effects of NH3 plasma treatment and the effectiveness of the dielectric repair on molecular structures at the surface and buried interface of a pSiCOH film deposited on top of a SiCNH film on a Si wafer were fully characterized using sum frequency generation vibrational spectroscopy (SFG), supplemented by X-ray photoelectron spectroscopy. After exposure to NH3 plasma for 18 s, about 40% of the methyl groups were removed from the pSiCOH surface, and the average orientation of surface methyl groups tilted more towards the surface. The repair method used here effectively repaired the molecular structures at the pSiCOH surface but did not totally recover the entire plasma-damaged layer. Additionally, simulated SFG spectra with various average orientations of methyl groups at the SiCNH/pSiCOH buried interface were compared with the experimental SFG spectra collected using three different laser input angles to determine the molecular structural information at the SiCNH/pSiCOH buried interface after NH3 plasma treatment and repair. The molecular structures including the coverage and the average orientation of methyl groups at the buried interface were found to be unchanged by NH3 plasma treatment and repair.

  4. Metal (Ag/Ti)-Containing Hydrogenated Amorphous Carbon Nanocomposite Films with Enhanced Nanoscratch Resistance: Hybrid PECVD/PVD System and Microstructural Characteristics.

    Science.gov (United States)

    Constantinou, Marios; Nikolaou, Petros; Koutsokeras, Loukas; Avgeropoulos, Apostolos; Moschovas, Dimitrios; Varotsis, Constantinos; Patsalas, Panos; Kelires, Pantelis; Constantinides, Georgios

    2018-03-30

    This study aimed to develop hydrogenated amorphous carbon thin films with embedded metallic nanoparticles (a-C:H:Me) of controlled size and concentration. Towards this end, a novel hybrid deposition system is presented that uses a combination of Plasma Enhanced Chemical Vapor Deposition (PECVD) and Physical Vapor Deposition (PVD) technologies. The a-C:H matrix was deposited through the acceleration of carbon ions generated through a radio-frequency (RF) plasma source by cracking methane, whereas metallic nanoparticles were generated and deposited using terminated gas condensation (TGC) technology. The resulting material was a hydrogenated amorphous carbon film with controlled physical properties and evenly dispersed metallic nanoparticles (here Ag or Ti). The physical, chemical, morphological and mechanical characteristics of the films were investigated through X-ray reflectivity (XRR), Raman spectroscopy, Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Transmission Electron Microscopy (TEM) and nanoscratch testing. The resulting amorphous carbon metal nanocomposite films (a-C:H:Ag and a-C:H:Ti) exhibited enhanced nanoscratch resistance (up to +50%) and low values of friction coefficient (<0.05), properties desirable for protective coatings and/or solid lubricant applications. The ability to form nanocomposite structures with tunable coating performance by potentially controlling the carbon bonding, hydrogen content, and the type/size/percent of metallic nanoparticles opens new avenues for a broad range of applications in which mechanical, physical, biological and/or combinatorial properties are required.

  5. Characterization of Nanocrystalline SiGe Thin Film Solar Cell with Double Graded-Dead Absorption Layer

    Directory of Open Access Journals (Sweden)

    Chao-Chun Wang

    2012-01-01

    Full Text Available The nanocrystalline silicon-germanium (nc-SiGe thin films were deposited by high-frequency (27.12 MHz plasma-enhanced chemical vapor deposition (HF-PECVD. The films were used in a silicon-based thin film solar cell with graded-dead absorption layer. The characterization of the nc-SiGe films are analyzed by scanning electron microscopy, UV-visible spectroscopy, and Fourier transform infrared absorption spectroscopy. The band gap of SiGe alloy can be adjusted between 0.8 and 1.7 eV by varying the gas ratio. For thin film solar cell application, using double graded-dead i-SiGe layers mainly leads to an increase in short-circuit current and therefore cell conversion efficiency. An initial conversion efficiency of 5.06% and the stabilized efficiency of 4.63% for an nc-SiGe solar cell were achieved.

  6. High Throughput Nanofabrication of Silicon Nanowire and Carbon Nanotube Tips on AFM Probes by Stencil-Deposited Catalysts

    DEFF Research Database (Denmark)

    Engstrøm, Daniel Southcott; Savu, Veronica; Zhu, Xueni

    2011-01-01

    scale nanostencil lithography. Individual vertical SiNWs were grown epitaxially by a catalytic vapor−liquid−solid (VLS) process and MWNTs were grown by a plasma-enhanced chemical vapor (PECVD) process on the AFM probes. The AFM probes were tested for imaging micrometers-deep trenches, where...... they demonstrated a significantly better performance than commercial high aspect ratio tips. Our method demonstrates a reliable and cost-efficient route toward wafer scale manufacturing of SiNW and MWNT AFM probes....

  7. Remote Research

    CERN Document Server

    Tulathimutte, Tony

    2011-01-01

    Remote studies allow you to recruit subjects quickly, cheaply, and immediately, and give you the opportunity to observe users as they behave naturally in their own environment. In Remote Research, Nate Bolt and Tony Tulathimutte teach you how to design and conduct remote research studies, top to bottom, with little more than a phone and a laptop.

  8. High-density oxidized porous silicon

    International Nuclear Information System (INIS)

    Gharbi, Ahmed; Souifi, Abdelkader; Remaki, Boudjemaa; Halimaoui, Aomar; Bensahel, Daniel

    2012-01-01

    We have studied oxidized porous silicon (OPS) properties using Fourier transform infraRed (FTIR) spectroscopy and capacitance–voltage C–V measurements. We report the first experimental determination of the optimum porosity allowing the elaboration of high-density OPS insulators. This is an important contribution to the research of thick integrated electrical insulators on porous silicon based on an optimized process ensuring dielectric quality (complete oxidation) and mechanical and chemical reliability (no residual pores or silicon crystallites). Through the measurement of the refractive indexes of the porous silicon (PS) layer before and after oxidation, one can determine the structural composition of the OPS material in silicon, air and silica. We have experimentally demonstrated that a porosity approaching 56% of the as-prepared PS layer is required to ensure a complete oxidation of PS without residual silicon crystallites and with minimum porosity. The effective dielectric constant values of OPS materials determined from capacitance–voltage C–V measurements are discussed and compared to FTIR results predictions. (paper)

  9. Silicon spintronics with ferromagnetic tunnel devices

    International Nuclear Information System (INIS)

    Jansen, R; Sharma, S; Dash, S P; Min, B C

    2012-01-01

    In silicon spintronics, the unique qualities of ferromagnetic materials are combined with those of silicon, aiming at creating an alternative, energy-efficient information technology in which digital data are represented by the orientation of the electron spin. Here we review the cornerstones of silicon spintronics, namely the creation, detection and manipulation of spin polarization in silicon. Ferromagnetic tunnel contacts are the key elements and provide a robust and viable approach to induce and probe spins in silicon, at room temperature. We describe the basic physics of spin tunneling into silicon, the spin-transport devices, the materials aspects and engineering of the magnetic tunnel contacts, and discuss important quantities such as the magnitude of the spin accumulation and the spin lifetime in the silicon. We highlight key experimental achievements and recent progress in the development of a spin-based information technology. (topical review)

  10. Study of double porous silicon surfaces for enhancement of silicon solar cell performance

    Science.gov (United States)

    Razali, N. S. M.; Rahim, A. F. A.; Radzali, R.; Mahmood, A.

    2017-09-01

    In this work, design and simulation of double porous silicon surfaces for enhancement of silicon solar cell is carried out. Both single and double porous structures are constructed by using TCAD ATHENA and TCAD DEVEDIT tools of the SILVACO software respectively. After the structures were created, I-V characteristics and spectral response of the solar cell were extracted using ATLAS device simulator. Finally, the performance of the simulated double porous solar cell is compared with the performance of both single porous and bulk-Si solar cell. The results showed that double porous silicon solar cell exhibited 1.8% efficiency compared to 1.3% and 1.2% for single porous silicon and bulk-Si solar cell.

  11. Time-correlated single-photon counting study of multiple photoluminescence lifetime components of silicon nanoclusters

    Energy Technology Data Exchange (ETDEWEB)

    Diamare, D., E-mail: d.diamare@ee.ucl.ac.uk [Department of Electronic and Electrical Engineering, University College London, Torrington Place, London, WC1E 7JE (United Kingdom); Wojdak, M. [Department of Electronic and Electrical Engineering, University College London, Torrington Place, London, WC1E 7JE (United Kingdom); Lettieri, S. [Institute for Superconductors and Innovative Materials, National Council of Research (CNR-SPIN), Via Cintia 80126, Naples (Italy); Department of Physical Sciences, University of Naples “Federico II”, Via Cintia 80126, Naples (Italy); Kenyon, A.J. [Department of Electronic and Electrical Engineering, University College London, Torrington Place, London, WC1E 7JE (United Kingdom)

    2013-04-15

    We report time-resolved photoluminescence measurements of thin films of silica containing silicon nanoclusters (Si NCs), produced by PECVD and annealed at temperatures between 700 °C and 1150 °C. While the near infrared emission of Si NCs has long been studied, visible light emission has only recently attracted interest due to its very short decay times and its recently-reported redshift with decreasing NCs size. We analyse the PL decay dynamics in the range 450–700 nm with picosecond time resolution using Time Correlated Single Photon Counting. In the resultant multi-exponential decays two dominant components can clearly be distinguished: a very short component, in the range of hundreds of picoseconds, and a nanosecond component. In this wavelength range we do not detect the microsecond component generally associated with excitonic recombination. We associate the nanosecond component to defect relaxation: it decreases in intensity in the sample annealed at higher temperature, suggesting that the contribution from defects decreases with increasing temperature. The origin of the very fast PL component (ps time region) is also discussed. We show that it is consistent with the Auger recombination times of multiple excitons. Further work needs to be done in order to assess the contribution of the Auger-controlled recombinations to the defect-assisted mechanism of photoluminescence. -- Highlights: ► We report time-resolved PL measurements of Si-Ncs embedded in SiO{sub 2} matrix. ► Net decrease of PL with increasing the annealing temperature has been observed. ► Lifetime distribution analysis revealed a multiexponential decay with ns and ps components. ► Ps components are consistent with the lifetime range of the Auger recombination times. ► No evidence for a fast direct transition at the Brillouin zone centre.

  12. Morphology, optical and electrical properties of Cu-Ni nanoparticles in a-C:H prepared by co-deposition of RF-sputtering and RF-PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Ghodselahi, T., E-mail: ghodselahi@ipm.ir [School of Physics, Institute for Research in Fundamental Sciences (IPM), P.O. Box 19395-5531, Tehran (Iran, Islamic Republic of); Vesaghi, M.A. [School of Physics, Institute for Research in Fundamental Sciences (IPM), P.O. Box 19395-5531, Tehran (Iran, Islamic Republic of); Department of Physics, Sharif University of Technology, P.O. Box 11365-9161, Tehran (Iran, Islamic Republic of); Gelali, A.; Zahrabi, H.; Solaymani, S. [Young Researchers Club, Islamic Azad University, Kermanshah Branch, Kermanshah (Iran, Islamic Republic of)

    2011-11-01

    We report optical and electrical properties of Cu-Ni nanoparticles in hydrogenated amorphous carbon (Cu-Ni NPs - a-C:H) with different surface morphology. Ni NPs with layer thicknesses of 5, 10 and 15 nm over Cu NPs - a-C:H were prepared by co-deposition of RF-sputtering and RF-Plasma Enhanced Chemical Vapor Deposition (RF-PECVD) from acetylene gas and Cu and Ni targets. A nonmetal-metal transition was observed as the thickness of Ni over layer increases. The surface morphology of the sample was described by a two dimensional (2D) Gaussian self-affine fractal, except the sample with 10 nm thickness of Ni over layer, which is in the nonmetal-metal transition region. X-ray diffraction profile indicates that Cu NPs and Ni NPs with fcc crystalline structure are formed in these films. Localized Surface Plasmon Resonance (LSPR) peak of Cu NPs is observed around 600 nm in visible spectra, which is widen and shifted to lower wavelengths as the thickness of Ni over layer increases. The variation of LSPR peak width correlates with conductivity variation of these bilayers. We assign both effects to surface electron delocalization of Cu NPs.

  13. All-solid-state supercapacitors on silicon using graphene from silicon carbide

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Bei; Ahmed, Mohsin; Iacopi, Francesca, E-mail: f.iacopi@griffith.edu.au [Environmental Futures Research Institute, Griffith University, Nathan 4111 (Australia); Wood, Barry [Centre for Microscopy and Microanalysis, The University of Queensland, St. Lucia 4072 (Australia)

    2016-05-02

    Carbon-based supercapacitors are lightweight devices with high energy storage performance, allowing for faster charge-discharge rates than batteries. Here, we present an example of all-solid-state supercapacitors on silicon for on-chip applications, paving the way towards energy supply systems embedded in miniaturized electronics with fast access and high safety of operation. We present a nickel-assisted graphitization method from epitaxial silicon carbide on a silicon substrate to demonstrate graphene as a binder-free electrode material for all-solid-state supercapacitors. We obtain graphene electrodes with a strongly enhanced surface area, assisted by the irregular intrusion of nickel into the carbide layer, delivering a typical double-layer capacitance behavior with a specific area capacitance of up to 174 μF cm{sup −2} with about 88% capacitance retention over 10 000 cycles. The fabrication technique illustrated in this work provides a strategic approach to fabricate micro-scale energy storage devices compatible with silicon electronics and offering ultimate miniaturization capabilities.

  14. All-solid-state supercapacitors on silicon using graphene from silicon carbide

    International Nuclear Information System (INIS)

    Wang, Bei; Ahmed, Mohsin; Iacopi, Francesca; Wood, Barry

    2016-01-01

    Carbon-based supercapacitors are lightweight devices with high energy storage performance, allowing for faster charge-discharge rates than batteries. Here, we present an example of all-solid-state supercapacitors on silicon for on-chip applications, paving the way towards energy supply systems embedded in miniaturized electronics with fast access and high safety of operation. We present a nickel-assisted graphitization method from epitaxial silicon carbide on a silicon substrate to demonstrate graphene as a binder-free electrode material for all-solid-state supercapacitors. We obtain graphene electrodes with a strongly enhanced surface area, assisted by the irregular intrusion of nickel into the carbide layer, delivering a typical double-layer capacitance behavior with a specific area capacitance of up to 174 μF cm"−"2 with about 88% capacitance retention over 10 000 cycles. The fabrication technique illustrated in this work provides a strategic approach to fabricate micro-scale energy storage devices compatible with silicon electronics and offering ultimate miniaturization capabilities.

  15. Enhanced Raman scattering in porous silicon grating.

    Science.gov (United States)

    Wang, Jiajia; Jia, Zhenhong; Lv, Changwu

    2018-03-19

    The enhancement of Raman signal on monocrystalline silicon gratings with varying groove depths and on porous silicon grating were studied for a highly sensitive surface enhanced Raman scattering (SERS) response. In the experiment conducted, porous silicon gratings were fabricated. Silver nanoparticles (Ag NPs) were then deposited on the porous silicon grating to enhance the Raman signal of the detective objects. Results show that the enhancement of Raman signal on silicon grating improved when groove depth increased. The enhanced performance of Raman signal on porous silicon grating was also further improved. The Rhodamine SERS response based on Ag NPs/ porous silicon grating substrates was enhanced relative to the SERS response on Ag NPs/ porous silicon substrates. Ag NPs / porous silicon grating SERS substrate system achieved a highly sensitive SERS response due to the coupling of various Raman enhancement factors.

  16. Photo-EMF Sensitivity of Porous Silicon Thin Layer–Crystalline Silicon Heterojunction to Ammonia Adsorption

    Directory of Open Access Journals (Sweden)

    Kae Dal Kwack

    2011-01-01

    Full Text Available A new method of using photo-electromotive force in detecting gas and controlling sensitivity is proposed. Photo-electromotive force on the heterojunction between porous silicon thin layer and crystalline silicon wafer depends on the concentration of ammonia in the measurement chamber. A porous silicon thin layer was formed by electrochemical etching on p-type silicon wafer. A gas and light transparent electrical contact was manufactured to this porous layer. Photo-EMF sensitivity corresponding to ammonia concentration in the range from 10 ppm to 1,000 ppm can be maximized by controlling the intensity of illumination light.

  17. Photo-EMF sensitivity of porous silicon thin layer-crystalline silicon heterojunction to ammonia adsorption.

    Science.gov (United States)

    Vashpanov, Yuriy; Jung, Jae Il; Kwack, Kae Dal

    2011-01-01

    A new method of using photo-electromotive force in detecting gas and controlling sensitivity is proposed. Photo-electromotive force on the heterojunction between porous silicon thin layer and crystalline silicon wafer depends on the concentration of ammonia in the measurement chamber. A porous silicon thin layer was formed by electrochemical etching on p-type silicon wafer. A gas and light transparent electrical contact was manufactured to this porous layer. Photo-EMF sensitivity corresponding to ammonia concentration in the range from 10 ppm to 1,000 ppm can be maximized by controlling the intensity of illumination light.

  18. Photo-EMF Sensitivity of Porous Silicon Thin Layer–Crystalline Silicon Heterojunction to Ammonia Adsorption

    Science.gov (United States)

    Vashpanov, Yuriy; Jung, Jae Il; Kwack, Kae Dal

    2011-01-01

    A new method of using photo-electromotive force in detecting gas and controlling sensitivity is proposed. Photo-electromotive force on the heterojunction between porous silicon thin layer and crystalline silicon wafer depends on the concentration of ammonia in the measurement chamber. A porous silicon thin layer was formed by electrochemical etching on p-type silicon wafer. A gas and light transparent electrical contact was manufactured to this porous layer. Photo-EMF sensitivity corresponding to ammonia concentration in the range from 10 ppm to 1,000 ppm can be maximized by controlling the intensity of illumination light. PMID:22319353

  19. Reduction of absorption loss in multicrystalline silicon via combination of mechanical grooving and porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Ben Rabha, Mohamed; Mohamed, Seifeddine Belhadj; Dimassi, Wissem; Gaidi, Mounir; Ezzaouia, Hatem; Bessais, Brahim [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia)

    2011-03-15

    Surface texturing of silicon wafer is a key step to enhance light absorption and to improve the solar cell performances. While alkaline-texturing of single crystalline silicon wafers was well established, no efficient chemical solution has been successfully developed for multicrystalline silicon wafers. Thus, the use of alternative new methods for effective texturization of multicrystalline silicon is worth to be investigated. One of the promising texturing techniques of multicrystalline silicon wafers is the use of mechanical grooves. However, most often, physical damages occur during mechanical grooves of the wafer surface, which in turn require an additional step of wet processing-removal damage. Electrochemical surface treatment seems to be an adequate solution for removing mechanical damage throughout porous silicon formation. The topography of untreated and porous silicon-treated mechanically textured surface was investigated using scanning electron microscopy (SEM). As a result of the electrochemical surface treatment, the total reflectivity drops to about 5% in the 400-1000 nm wavelength range and the effective minority carrier diffusion length enhances from 190 {mu}m to about 230 {mu}m (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Laboratory course on silicon sensors

    CERN Document Server

    Crescio, E; Roe, S; Rudge, A

    2003-01-01

    The laboratory course consisted of four different mini sessions, in order to give the student some hands-on experience on various aspects of silicon sensors and related integrated electronics. The four experiments were. 1. Characterisation of silicon diodes for particle detection 2. Study of noise performance of the Viking readout circuit 3. Study of the position resolution of a silicon microstrip sensor 4. Study of charge transport in silicon with a fast amplifier The data in the following were obtained during the ICFA school by the students.

  1. Application of hydrogen-plasma technology for property modification of silicon and producing the silicon-based structures

    International Nuclear Information System (INIS)

    Fedotov, A.K.; Mazanik, A.V.; Ul'yashin, A.G.; Dzhob, R; Farner, V.R.

    2000-01-01

    Effects of atomic hydrogen on the properties of Czochralski-grown single crystal silicon as well as polycrystalline shaped silicon have been investigated. It was established that the buried defect layers created by high-energy hydrogen or helium ion implantation act as a good getter centers for hydrogen atoms introduced in silicon in the process of hydrogen plasma hydrogenation. Atomic hydrogen was shown to be active as a catalyzer significantly enhancing the rate of thermal donors formation in p-type single crystal silicon. This effect can be used for n-p- and p-n-p-silicon based device structures producing [ru

  2. Silicon microfabricated beam expander

    International Nuclear Information System (INIS)

    Othman, A.; Ibrahim, M. N.; Hamzah, I. H.; Sulaiman, A. A.; Ain, M. F.

    2015-01-01

    The feasibility design and development methods of silicon microfabricated beam expander are described. Silicon bulk micromachining fabrication technology is used in producing features of the structure. A high-precision complex 3-D shape of the expander can be formed by exploiting the predictable anisotropic wet etching characteristics of single-crystal silicon in aqueous Potassium-Hydroxide (KOH) solution. The beam-expander consist of two elements, a micromachined silicon reflector chamber and micro-Fresnel zone plate. The micro-Fresnel element is patterned using lithographic methods. The reflector chamber element has a depth of 40 µm, a diameter of 15 mm and gold-coated surfaces. The impact on the depth, diameter of the chamber and absorption for improved performance are discussed

  3. Silicon microfabricated beam expander

    Energy Technology Data Exchange (ETDEWEB)

    Othman, A., E-mail: aliman@ppinang.uitm.edu.my; Ibrahim, M. N.; Hamzah, I. H.; Sulaiman, A. A. [Faculty of Electrical Engineering, Universiti Teknologi MARA Malaysia, 40450, Shah Alam, Selangor (Malaysia); Ain, M. F. [School of Electrical and Electronic Engineering, Engineering Campus, Universiti Sains Malaysia, Seri Ampangan, 14300,Nibong Tebal, Pulau Pinang (Malaysia)

    2015-03-30

    The feasibility design and development methods of silicon microfabricated beam expander are described. Silicon bulk micromachining fabrication technology is used in producing features of the structure. A high-precision complex 3-D shape of the expander can be formed by exploiting the predictable anisotropic wet etching characteristics of single-crystal silicon in aqueous Potassium-Hydroxide (KOH) solution. The beam-expander consist of two elements, a micromachined silicon reflector chamber and micro-Fresnel zone plate. The micro-Fresnel element is patterned using lithographic methods. The reflector chamber element has a depth of 40 µm, a diameter of 15 mm and gold-coated surfaces. The impact on the depth, diameter of the chamber and absorption for improved performance are discussed.

  4. Using silicon nanostructures for the improvement of silicon solar cells' efficiency

    International Nuclear Information System (INIS)

    Torre, J. de la; Bremond, G.; Lemiti, M.; Guillot, G.; Mur, P.; Buffet, N.

    2006-01-01

    Silicon nanostructures (ns-Si) show interesting optical and electrical properties as a result of the band gap widening caused by quantum confinement effects. Along with their potential utilization for silicon-based light emitters' fabrication, they could also represent an appealing option for the improvement of energy conversion efficiency in silicon-based solar cells whether by using their luminescence properties (photon down-conversion) or the excess photocurrent produced by an improved high-energy photon's absorption. In this work, we report on the morphological and optical studies of non-stoichiometric silica (SiO x ) and silicon nitride (SiN x ) layers containing silicon nanostructures (ns-Si) in view of their application for solar cell's efficiency improvement. The morphological studies of the samples performed by transmission electron microscopy (TEM) unambiguously show the presence of ns-Si in a crystalline form for high temperature-annealed SiO x layers and for low temperature deposition of SiN x layers. The photoluminescence emission (PL) shows a rather high efficiency in both kind of layers with an intensity of only a factor ∼ 100 lower than that of porous silicon (pi-Si). The photocurrent spectroscopy (PC) shows a significant increase of absorption at high photon energy excitation most probably related to photon absorption within ns-Si quantized states. Moreover, the absorption characteristics obtained from PC spectra show a good agreement with the PL emission states unambiguously demonstrating a same origin, related to Q-confined excitons within ns-Si. Finally, the major asset of this material is the possibility to incorporate it to solar cells manufacturing processing for an insignificant cost

  5. Photodetector based on carbon nanotubes

    Science.gov (United States)

    Pavlov, A.; Kitsyuk, E.; Ryazanov, R.; Timoshenkov, V.; Adamov, Y.

    2015-09-01

    Photodetector based on carbon nanotubes (CNT) was investigated. Sensors were done on quartz and silicon susbtrate. Samples of photodetectors sensors were produced by planar technology. This technology included deposition of first metal layer (Al), lithography for pads formation, etching, and formation of local catalyst area by inverse lithography. Vertically-aligned multi-wall carbon nanotubes were directly synthesized on substrate by PECVD method. I-V analysis and spectrum sensitivity of photodetector were investigated for 0.4 μm - 1.2 μm wavelength. Resistivity of CNT layers over temperature was detected in the range of -20°C to 100°C.

  6. Silicon processing for photovoltaics II

    CERN Document Server

    Khattak, CP

    2012-01-01

    The processing of semiconductor silicon for manufacturing low cost photovoltaic products has been a field of increasing activity over the past decade and a number of papers have been published in the technical literature. This volume presents comprehensive, in-depth reviews on some of the key technologies developed for processing silicon for photovoltaic applications. It is complementary to Volume 5 in this series and together they provide the only collection of reviews in silicon photovoltaics available.The volume contains papers on: the effect of introducing grain boundaries in silicon; the

  7. The development of remote repairing system, decontamination and in-cell remote inspection equipment

    International Nuclear Information System (INIS)

    Ishibashi, Yuzo; Toyoda, Osamu; Haginoya, Isao; Yamamoto, Ryuichi; Tanaka, Yasumasa

    1993-01-01

    PNC has been developing remote repair and inspection technologies for in-cell components in reprocessing Plants. In this report, several remote technologies such as remote dismantling and removal, decontamination, remote pipe maintenance and remote in-cell inspection equipment are described. (author)

  8. Nano-ridge fabrication by local oxidation of silicon edges with silicon nitride as a mask

    NARCIS (Netherlands)

    Haneveld, J.; Berenschot, Johan W.; Maury, P.A.; Jansen, Henricus V.

    2005-01-01

    A method to fabricate nano-ridges over a full wafer is presented. The fabrication method uses local oxidation of silicon, with silicon nitride as a mask, and wet anisotropic etching of silicon. The realized structures are 7-20 nm wide, 40-100 nm high and centimeters long. All dimensions are easily

  9. Silicon nanowire hybrid photovoltaics

    KAUST Repository

    Garnett, Erik C.

    2010-06-01

    Silicon nanowire Schottky junction solar cells have been fabricated using n-type silicon nanowire arrays and a spin-coated conductive polymer (PEDOT). The polymer Schottky junction cells show superior surface passivation and open-circuit voltages compared to standard diffused junction cells with native oxide surfaces. External quantum efficiencies up to 88% were measured for these silicon nanowire/PEDOT solar cells further demonstrating excellent surface passivation. This process avoids high temperature processes which allows for low-cost substrates to be used. © 2010 IEEE.

  10. Silicon nanowire hybrid photovoltaics

    KAUST Repository

    Garnett, Erik C.; Peters, Craig; Brongersma, Mark; Cui, Yi; McGehee, Mike

    2010-01-01

    Silicon nanowire Schottky junction solar cells have been fabricated using n-type silicon nanowire arrays and a spin-coated conductive polymer (PEDOT). The polymer Schottky junction cells show superior surface passivation and open-circuit voltages compared to standard diffused junction cells with native oxide surfaces. External quantum efficiencies up to 88% were measured for these silicon nanowire/PEDOT solar cells further demonstrating excellent surface passivation. This process avoids high temperature processes which allows for low-cost substrates to be used. © 2010 IEEE.

  11. Design and Fabrication of Silicon-on-Silicon-Carbide Substrates and Power Devices for Space Applications

    Directory of Open Access Journals (Sweden)

    Gammon P.M.

    2017-01-01

    Full Text Available A new generation of power electronic semiconductor devices are being developed for the benefit of space and terrestrial harsh-environment applications. 200-600 V lateral transistors and diodes are being fabricated in a thin layer of silicon (Si wafer bonded to silicon carbide (SiC. This novel silicon-on-silicon-carbide (Si/SiC substrate solution promises to combine the benefits of silicon-on-insulator (SOI technology (i.e device confinement, radiation tolerance, high and low temperature performance with that of SiC (i.e. high thermal conductivity, radiation hardness, high temperature performance. Details of a process are given that produces thin films of silicon 1, 2 and 5 μm thick on semi-insulating 4H-SiC. Simulations of the hybrid Si/SiC substrate show that the high thermal conductivity of the SiC offers a junction-to-case temperature ca. 4× less that an equivalent SOI device; reducing the effects of self-heating, and allowing much greater power density. Extensive electrical simulations are used to optimise a 600 V laterally diffused metal-oxide-semiconductor field-effect transistor (LDMOSFET implemented entirely within the silicon thin film, and highlight the differences between Si/SiC and SOI solutions.

  12. Silicon oxide nanoimprint stamp fabrication by edge lithography reinforced with silicon nitride

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2007-01-01

    The fabrication of silicon oxide nanoimprint stamp employing edge lithography in combination with silicon nitride deposition is presented. The fabrication process is based on conventional photolithography an weg etching methods. Nanoridges with width dimension of sub-20 nm were fabricated by edge

  13. Amorphous silicon rich silicon nitride optical waveguides for high density integrated optics

    DEFF Research Database (Denmark)

    Philipp, Hugh T.; Andersen, Karin Nordström; Svendsen, Winnie Edith

    2004-01-01

    Amorphous silicon rich silicon nitride optical waveguides clad in silica are presented as a high-index contrast platform for high density integrated optics. Performance of different cross-sectional geometries have been measured and are presented with regards to bending loss and insertion loss...

  14. Process for forming a porous silicon member in a crystalline silicon member

    Science.gov (United States)

    Northrup, M. Allen; Yu, Conrad M.; Raley, Norman F.

    1999-01-01

    Fabrication and use of porous silicon structures to increase surface area of heated reaction chambers, electrophoresis devices, and thermopneumatic sensor-actuators, chemical preconcentrates, and filtering or control flow devices. In particular, such high surface area or specific pore size porous silicon structures will be useful in significantly augmenting the adsorption, vaporization, desorption, condensation and flow of liquids and gasses in applications that use such processes on a miniature scale. Examples that will benefit from a high surface area, porous silicon structure include sample preconcentrators that are designed to adsorb and subsequently desorb specific chemical species from a sample background; chemical reaction chambers with enhanced surface reaction rates; and sensor-actuator chamber devices with increased pressure for thermopneumatic actuation of integrated membranes. Examples that benefit from specific pore sized porous silicon are chemical/biological filters and thermally-activated flow devices with active or adjacent surfaces such as electrodes or heaters.

  15. Hybrid Integrated Platforms for Silicon Photonics

    Science.gov (United States)

    Liang, Di; Roelkens, Gunther; Baets, Roel; Bowers, John E.

    2010-01-01

    A review of recent progress in hybrid integrated platforms for silicon photonics is presented. Integration of III-V semiconductors onto silicon-on-insulator substrates based on two different bonding techniques is compared, one comprising only inorganic materials, the other technique using an organic bonding agent. Issues such as bonding process and mechanism, bonding strength, uniformity, wafer surface requirement, and stress distribution are studied in detail. The application in silicon photonics to realize high-performance active and passive photonic devices on low-cost silicon wafers is discussed. Hybrid integration is believed to be a promising technology in a variety of applications of silicon photonics.

  16. Ultrafast Terahertz Conductivity of Photoexcited Nanocrystalline Silicon

    DEFF Research Database (Denmark)

    Cooke, David; MacDonald, A. Nicole; Hryciw, Aaron

    2007-01-01

    The ultrafast transient ac conductivity of nanocrystalline silicon films is investigated using time-resolved terahertz spectroscopy. While epitaxial silicon on sapphire exhibits a free carrier Drude response, silicon nanocrystals embedded in glass show a response that is best described by a class...... in the silicon nanocrystal films is dominated by trapping at the Si/SiO2 interface states, occurring on a 1–100 ps time scale depending on particle size and hydrogen passivation......The ultrafast transient ac conductivity of nanocrystalline silicon films is investigated using time-resolved terahertz spectroscopy. While epitaxial silicon on sapphire exhibits a free carrier Drude response, silicon nanocrystals embedded in glass show a response that is best described...

  17. Epitaxial growth of silicon for layer transfer

    Science.gov (United States)

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  18. Silicon nanowire-based solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Stelzner, Th; Pietsch, M; Andrae, G; Falk, F; Ose, E; Christiansen, S [Institute of Photonic Technology, Albert-Einstein-Strasse 9, D-07745 Jena (Germany)], E-mail: thomas.stelzner@ipht-jena.de

    2008-07-23

    The fabrication of silicon nanowire-based solar cells on silicon wafers and on multicrystalline silicon thin films on glass is described. The nanowires show a strong broadband optical absorption, which makes them an interesting candidate to serve as an absorber in solar cells. The operation of a solar cell is demonstrated with n-doped nanowires grown on a p-doped silicon wafer. From a partially illuminated area of 0.6 cm{sup 2} open-circuit voltages in the range of 230-280 mV and a short-circuit current density of 2 mA cm{sup -2} were obtained.

  19. Silicon nanowire-based solar cells

    International Nuclear Information System (INIS)

    Stelzner, Th; Pietsch, M; Andrae, G; Falk, F; Ose, E; Christiansen, S

    2008-01-01

    The fabrication of silicon nanowire-based solar cells on silicon wafers and on multicrystalline silicon thin films on glass is described. The nanowires show a strong broadband optical absorption, which makes them an interesting candidate to serve as an absorber in solar cells. The operation of a solar cell is demonstrated with n-doped nanowires grown on a p-doped silicon wafer. From a partially illuminated area of 0.6 cm 2 open-circuit voltages in the range of 230-280 mV and a short-circuit current density of 2 mA cm -2 were obtained

  20. Silicon Qubits

    Energy Technology Data Exchange (ETDEWEB)

    Ladd, Thaddeus D. [HRL Laboratories, LLC, Malibu, CA (United States); Carroll, Malcolm S. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2018-02-28

    Silicon is a promising material candidate for qubits due to the combination of worldwide infrastructure in silicon microelectronics fabrication and the capability to drastically reduce decohering noise channels via chemical purification and isotopic enhancement. However, a variety of challenges in fabrication, control, and measurement leaves unclear the best strategy for fully realizing this material’s future potential. In this article, we survey three basic qubit types: those based on substitutional donors, on metal-oxide-semiconductor (MOS) structures, and on Si/SiGe heterostructures. We also discuss the multiple schema used to define and control Si qubits, which may exploit the manipulation and detection of a single electron charge, the state of a single electron spin, or the collective states of multiple spins. Far from being comprehensive, this article provides a brief orientation to the rapidly evolving field of silicon qubit technology and is intended as an approachable entry point for a researcher new to this field.

  1. Study of Silicon Microstrip Detector Properties for the LHCb Silicon Tracker

    CERN Document Server

    Lois-Gómez, C; Vázquez-Regueiro, P

    2006-01-01

    The LHCb experiment, at present under construction at the Large Hadron Collider at CERN, has been designed to perform high-precision measurements of CP violating phenomena and rare decays in the B meson systems. The need of a good tracking performance and the high density of particles close to the beam pipe lead to the use of silicon microstrip detectors in a significant part of the LHCb tracking system. The Silicon Tracker (ST) will be built using p-on-n silicon detectors with strip pitches of approximately 200 $\\mu$m and readout strips up to 38 cm in length. This thesis describes the tests carried out on silicon microstrip detectors for the ST, starting from the characterization of different prototypes up to the final tests on the detectors that are being installed at CERN. The results can be divided in three main blocks. The first part comprises an exhaustive characterization of several prototype sensors selected as suitable candidates for the detector and was performed in order to decide some design param...

  2. Apparatus for making molten silicon

    Science.gov (United States)

    Levin, Harry (Inventor)

    1988-01-01

    A reactor apparatus (10) adapted for continuously producing molten, solar grade purity elemental silicon by thermal reaction of a suitable precursor gas, such as silane (SiH.sub.4), is disclosed. The reactor apparatus (10) includes an elongated reactor body (32) having graphite or carbon walls which are heated to a temperature exceeding the melting temperature of silicon. The precursor gas enters the reactor body (32) through an efficiently cooled inlet tube assembly (22) and a relatively thin carbon or graphite septum (44). The septum (44), being in contact on one side with the cooled inlet (22) and the heated interior of the reactor (32) on the other side, provides a sharp temperature gradient for the precursor gas entering the reactor (32) and renders the operation of the inlet tube assembly (22) substantially free of clogging. The precursor gas flows in the reactor (32) in a substantially smooth, substantially axial manner. Liquid silicon formed in the initial stages of the thermal reaction reacts with the graphite or carbon walls to provide a silicon carbide coating on the walls. The silicon carbide coated reactor is highly adapted for prolonged use for production of highly pure solar grade silicon. Liquid silicon (20) produced in the reactor apparatus (10) may be used directly in a Czochralski or other crystal shaping equipment.

  3. Hole Injection at the Silicon/Aqueous Electrolyte Interface: A Possible Mechanism for Chemiluminescence from Porous Silicon

    NARCIS (Netherlands)

    Kooij, Ernst S.; Butter, K.; Kelly, J.J.

    1998-01-01

    The reduction mechanism of oxidizing agents at silicon and porous silicon electrodes has been investigated in relation to light emission from the porous semiconductor. Oxidizing agents with a positive redox potential are shown to inject holes into HF-pretreated silicon. However, as the degree of

  4. Tailoring the optical constants in single-crystal silicon with embedded silver nanostructures for advanced silicon photonics applications

    International Nuclear Information System (INIS)

    Akhter, Perveen; Huang, Mengbing; Spratt, William; Kadakia, Nirag; Amir, Faisal

    2015-01-01

    Plasmonic effects associated with metal nanostructures are expected to hold the key to tailoring light emission/propagation and harvesting solar energy in materials including single crystal silicon which remains the backbone in the microelectronics and photovoltaics industries but unfortunately, lacks many functionalities needed for construction of advanced photonic and optoelectronics devices. Currently, silicon plasmonic structures are practically possible only in the configuration with metal nanoparticles or thin film arrays on a silicon surface. This does not enable one to exploit the full potential of plasmonics for optical engineering in silicon, because the plasmonic effects are dominant over a length of ∼50 nm, and the active device region typically lies below the surface much beyond this range. Here, we report on a novel method for the formation of silver nanoparticles embedded within a silicon crystal through metal gettering from a silver thin film deposited at the surface to nanocavities within the Si created by hydrogen ion implantation. The refractive index of the Ag-nanostructured layer is found to be 3–10% lower or higher than that of silicon for wavelengths below or beyond ∼815–900 nm, respectively. Around this wavelength range, the optical extinction values increase by a factor of 10–100 as opposed to the pure silicon case. Increasing the amount of gettered silver leads to an increased extinction as well as a redshift in wavelength position for the resonance. This resonance is attributed to the surface plasmon excitation of the resultant silver nanoparticles in silicon. Additionally, we show that the profiles for optical constants in silicon can be tailored by varying the position and number of nanocavity layers. Such silicon crystals with embedded metal nanostructures would offer novel functional base structures for applications in silicon photonics, optoelectronics, photovoltaics, and plasmonics

  5. Advances in silicon nanophotonics

    DEFF Research Database (Denmark)

    Hvam, Jørn Märcher; Pu, Minhao

    Silicon has long been established as an ideal material for passive integrated optical circuitry due to its high refractive index, with corresponding strong optical confinement ability, and its low-cost CMOS-compatible manufacturability. However, the inversion symmetry of the silicon crystal lattice.......g. in high-bit-rate optical communication circuits and networks, it is vital that the nonlinear optical effects of silicon are being strongly enhanced. This can among others be achieved in photonic-crystal slow-light waveguides and in nano-engineered photonic-wires (Fig. 1). In this talk I shall present some...... recent advances in this direction. The efficient coupling of light between optical fibers and the planar silicon devices and circuits is of crucial importance. Both end-coupling (Fig. 1) and grating-coupling solutions will be discussed along with polarization issues. A new scheme for a hybrid III...

  6. Phosphorus-doped Amorphous Silicon Nitride Films Applied to Crystalline Silicon Solar Cells

    NARCIS (Netherlands)

    Feinäugle, Matthias

    2008-01-01

    The Photovoltaics Group at the Universitat Politècnica de Catalunya is investigating silicon carbide (SiC) for the electronic passivation of the surface of crystalline silicon solar cells. The doping of SiC passivation layers with phosphorus resulted in a clear improvement of the minority carrier

  7. Synthesis of Novel Reactive Disperse Silicon-Containing Dyes and Their Coloring Properties on Silicone Rubbers

    Directory of Open Access Journals (Sweden)

    Ning Yu

    2018-01-01

    Full Text Available Novel red and purple reactive disperse silicon-containing dyes were designed and synthesized using p-nitroaniline and 6-bromo-2,4-dinitro-aniline as diazonium components, the first condensation product of cyanuric chloride and 3-(N,N-diethylamino-aniline as coupling component, and 3-aminopropylmethoxydimethylsilane, 3-aminopropylmethyldimethoxysilane, and 3-aminopropyltrimethoxysilane as silicone reactive agents. These dyes were characterized by UV-Vis, 1H-NMR, FT-IR, and MS. The obtained reactive disperse silicon-containing dyes were used to color silicone rubbers and the color fastness of the dyes were evaluated. The dry/wet rubbing and washing fastnesses of these dyes all reached 4–5 grade and the sublimation fastness was also above 4 grade, indicating outstanding performance in terms of color fastness. Such colored silicone rubbers showed bright and rich colors without affecting its static mechanical properties.

  8. "Silicon millefeuille": From a silicon wafer to multiple thin crystalline films in a single step

    Science.gov (United States)

    Hernández, David; Trifonov, Trifon; Garín, Moisés; Alcubilla, Ramon

    2013-04-01

    During the last years, many techniques have been developed to obtain thin crystalline films from commercial silicon ingots. Large market applications are foreseen in the photovoltaic field, where important cost reductions are predicted, and also in advanced microelectronics technologies as three-dimensional integration, system on foil, or silicon interposers [Dross et al., Prog. Photovoltaics 20, 770-784 (2012); R. Brendel, Thin Film Crystalline Silicon Solar Cells (Wiley-VCH, Weinheim, Germany 2003); J. N. Burghartz, Ultra-Thin Chip Technology and Applications (Springer Science + Business Media, NY, USA, 2010)]. Existing methods produce "one at a time" silicon layers, once one thin film is obtained, the complete process is repeated to obtain the next layer. Here, we describe a technology that, from a single crystalline silicon wafer, produces a large number of crystalline films with controlled thickness in a single technological step.

  9. Silicon micromachined vibrating gyroscopes

    Science.gov (United States)

    Voss, Ralf

    1997-09-01

    This work gives an overview of silicon micromachined vibrating gyroscopes. Market perspectives and fields of application are pointed out. The advantage of using silicon micromachining is discussed and estimations of the desired performance, especially for automobiles are given. The general principle of vibrating gyroscopes is explained. Vibrating silicon gyroscopes can be divided into seven classes. for each class the characteristic principle is presented and examples are given. Finally a specific sensor, based on a tuning fork for automotive applications with a sensitivity of 250(mu) V/degrees is described in detail.

  10. Silicon containing copolymers

    CERN Document Server

    Amiri, Sahar; Amiri, Sanam

    2014-01-01

    Silicones have unique properties including thermal oxidative stability, low temperature flow, high compressibility, low surface tension, hydrophobicity and electric properties. These special properties have encouraged the exploration of alternative synthetic routes of well defined controlled microstructures of silicone copolymers, the subject of this Springer Brief. The authors explore the synthesis and characterization of notable block copolymers. Recent advances in controlled radical polymerization techniques leading to the facile synthesis of well-defined silicon based thermo reversible block copolymers?are described along with atom transfer radical polymerization (ATRP), a technique utilized to develop well-defined functional thermo reversible block copolymers. The brief also focuses on Polyrotaxanes and their great potential as stimulus-responsive materials which produce poly (dimethyl siloxane) (PDMS) based thermo reversible block copolymers.

  11. Diamond deposition on siliconized stainless steel

    International Nuclear Information System (INIS)

    Alvarez, F.; Reinoso, M.; Huck, H.; Rosenbusch, M.

    2010-01-01

    Silicon diffusion layers in AISI 304 and AISI 316 type stainless steels were investigated as an alternative to surface barrier coatings for diamond film growth. Uniform 2 μm thick silicon rich interlayers were obtained by coating the surface of the steels with silicon and performing diffusion treatments at 800 deg. C. Adherent diamond films with low sp 2 carbon content were deposited on the diffused silicon layers by a modified hot filament assisted chemical vapor deposition (HFCVD) method. Characterization of as-siliconized layers and diamond coatings was performed by energy dispersive X-ray analysis, scanning electron microscopy, X-ray diffraction and Raman spectroscopy.

  12. Transmutation doping of silicon solar cells

    Science.gov (United States)

    Wood, R. F.; Westbrook, R. D.; Young, R. T.; Cleland, J. W.

    1977-01-01

    Normal isotopic silicon contains 3.05% of Si-30 which transmutes to P-31 after thermal neutron absorption, with a half-life of 2.6 hours. This reaction is used to introduce extremely uniform concentrations of phosphorus into silicon, thus eliminating the areal and spatial inhomogeneities characteristic of chemical doping. Annealing of the lattice damage in the irradiated silicon does not alter the uniformity of dopant distribution. Transmutation doping also makes it possible to introduce phosphorus into polycrystalline silicon without segregation of the dopant at the grain boundaries. The use of neutron transmutation doped (NTD) silicon in solar cell research and development is discussed.

  13. Hybrid Integrated Platforms for Silicon Photonics

    Directory of Open Access Journals (Sweden)

    John E. Bowers

    2010-03-01

    Full Text Available A review of recent progress in hybrid integrated platforms for silicon photonics is presented. Integration of III-V semiconductors onto silicon-on-insulator substrates based on two different bonding techniques is compared, one comprising only inorganic materials, the other technique using an organic bonding agent. Issues such as bonding process and mechanism, bonding strength, uniformity, wafer surface requirement, and stress distribution are studied in detail. The application in silicon photonics to realize high-performance active and passive photonic devices on low-cost silicon wafers is discussed. Hybrid integration is believed to be a promising technology in a variety of applications of silicon photonics.

  14. Silicon on insulator self-aligned transistors

    Science.gov (United States)

    McCarthy, Anthony M.

    2003-11-18

    A method for fabricating thin-film single-crystal silicon-on-insulator (SOI) self-aligned transistors. Standard processing of silicon substrates is used to fabricate the transistors. Physical spaces, between the source and gate, and the drain and gate, introduced by etching the polysilicon gate material, are used to provide connecting implants (bridges) which allow the transistor to perform normally. After completion of the silicon substrate processing, the silicon wafer is bonded to an insulator (glass) substrate, and the silicon substrate is removed leaving the transistors on the insulator (glass) substrate. Transistors fabricated by this method may be utilized, for example, in flat panel displays, etc.

  15. Creep analysis of silicone for podiatry applications.

    Science.gov (United States)

    Janeiro-Arocas, Julia; Tarrío-Saavedra, Javier; López-Beceiro, Jorge; Naya, Salvador; López-Canosa, Adrián; Heredia-García, Nicolás; Artiaga, Ramón

    2016-10-01

    This work shows an effective methodology to characterize the creep-recovery behavior of silicones before their application in podiatry. The aim is to characterize, model and compare the creep-recovery properties of different types of silicone used in podiatry orthotics. Creep-recovery phenomena of silicones used in podiatry orthotics is characterized by dynamic mechanical analysis (DMA). Silicones provided by Herbitas are compared by observing their viscoelastic properties by Functional Data Analysis (FDA) and nonlinear regression. The relationship between strain and time is modeled by fixed and mixed effects nonlinear regression to compare easily and intuitively podiatry silicones. Functional ANOVA and Kohlrausch-Willians-Watts (KWW) model with fixed and mixed effects allows us to compare different silicones observing the values of fitting parameters and their physical meaning. The differences between silicones are related to the variations of breadth of creep-recovery time distribution and instantaneous deformation-permanent strain. Nevertheless, the mean creep-relaxation time is the same for all the studied silicones. Silicones used in palliative orthoses have higher instantaneous deformation-permanent strain and narrower creep-recovery distribution. The proposed methodology based on DMA, FDA and nonlinear regression is an useful tool to characterize and choose the proper silicone for each podiatry application according to their viscoelastic properties. Copyright © 2016 Elsevier Ltd. All rights reserved.

  16. Semiconducting silicon nanowires for biomedical applications

    CERN Document Server

    Coffer, JL

    2014-01-01

    Biomedical applications have benefited greatly from the increasing interest and research into semiconducting silicon nanowires. Semiconducting Silicon Nanowires for Biomedical Applications reviews the fabrication, properties, and applications of this emerging material. The book begins by reviewing the basics, as well as the growth, characterization, biocompatibility, and surface modification, of semiconducting silicon nanowires. It goes on to focus on silicon nanowires for tissue engineering and delivery applications, including cellular binding and internalization, orthopedic tissue scaffol

  17. Amorphous silicon crystalline silicon heterojunction solar cells

    CERN Document Server

    Fahrner, Wolfgang Rainer

    2013-01-01

    Amorphous Silicon/Crystalline Silicon Solar Cells deals with some typical properties of heterojunction solar cells, such as their history, the properties and the challenges of the cells, some important measurement tools, some simulation programs and a brief survey of the state of the art, aiming to provide an initial framework in this field and serve as a ready reference for all those interested in the subject. This book helps to "fill in the blanks" on heterojunction solar cells. Readers will receive a comprehensive overview of the principles, structures, processing techniques and the current developmental states of the devices. Prof. Dr. Wolfgang R. Fahrner is a professor at the University of Hagen, Germany and Nanchang University, China.

  18. Remote detection system

    International Nuclear Information System (INIS)

    Nixon, K.V.; France, S.W.; Garcia, C.; Hastings, R.D.

    1981-05-01

    A newly designed remote detection system has been developed at Los Alamos that allows the collection of high-resolution gamma-ray spectra and neutron data from a remote location. The system consists of the remote unit and a command unit. The remote unit collects data in a potentially hostile environment while the operator controls the unit by either radio or wire link from a safe position. Both units are battery powered and are housed in metal carrying cases

  19. Strained silicon as a new electro-optic material

    DEFF Research Database (Denmark)

    Jacobsen, Rune Shim; Andersen, Karin Nordström; Borel, Peter Ingo

    2006-01-01

    For decades, silicon has been the material of choice for mass fabrication of electronics. This is in contrast to photonics, where passive optical components in silicon have only recently been realized1, 2. The slow progress within silicon optoelectronics, where electronic and optical...... functionalities can be integrated into monolithic components based on the versatile silicon platform, is due to the limited active optical properties of silicon3. Recently, however, a continuous-wave Raman silicon laser was demonstrated4; if an effective modulator could also be realized in silicon, data...... processing and transmission could potentially be performed by all-silicon electronic and optical components. Here we have discovered that a significant linear electro-optic effect is induced in silicon by breaking the crystal symmetry. The symmetry is broken by depositing a straining layer on top...

  20. Effect of Silicon Nanowire on Crystalline Silicon Solar Cell Characteristics

    OpenAIRE

    Zahra Ostadmahmoodi Do; Tahereh Fanaei Sheikholeslami; Hassan Azarkish

    2016-01-01

    Nanowires (NWs) are recently used in several sensor or actuator devices to improve their ordered characteristics. Silicon nanowire (Si NW) is one of the most attractive one-dimensional nanostructures semiconductors because of its unique electrical and optical properties. In this paper, silicon nanowire (Si NW), is synthesized and characterized for application in photovoltaic device. Si NWs are prepared using wet chemical etching method which is commonly used as a simple and low cost method fo...

  1. Surface Effects in Segmented Silicon Sensors

    OpenAIRE

    Kopsalis, Ioannis

    2017-01-01

    Silicon detectors in Photon Science and Particle Physics require silicon sensors with very demanding specifications. New accelerators like the European X-ray Free Electron Laser (EuXFEL) and the High Luminosity upgrade of the Large Hadron Collider (HL-LHC), pose new challenges for silicon sensors, especially with respect to radiation hardness. High radiation doses and fluences damage the silicon crystal and the SiO2 layers at the surface, thus changing the sensor properties and limiting their...

  2. Silicon photonics for multicore fiber communication

    DEFF Research Database (Denmark)

    Ding, Yunhong; Kamchevska, Valerija; Dalgaard, Kjeld

    2016-01-01

    We review our recent work on silicon photonics for multicore fiber communication, including multicore fiber fan-in/fan-out, multicore fiber switches towards reconfigurable optical add/drop multiplexers. We also present multicore fiber based quantum communication using silicon devices.......We review our recent work on silicon photonics for multicore fiber communication, including multicore fiber fan-in/fan-out, multicore fiber switches towards reconfigurable optical add/drop multiplexers. We also present multicore fiber based quantum communication using silicon devices....

  3. Dephosphorization of Levitated Silicon-Iron Droplets for Production of Solar-Grade Silicon

    Science.gov (United States)

    Le, Katherine; Yang, Yindong; Barati, Mansoor; McLean, Alexander

    2018-05-01

    The treatment of relatively inexpensive silicon-iron alloys is a potential refining route in order to generate solar-grade silicon. Phosphorus is one of the more difficult impurity elements to remove by conventional processing. In this study, electromagnetic levitation was used to investigate phosphorus behavior in silicon-iron alloy droplets exposed to H2-Ar gas mixtures under various experimental conditions including, refining time, temperature (1723 K to 1993 K), gas flow rate, iron content, and initial phosphorus concentration in the alloy. Thermodynamic modeling of the dephosphorization reaction permitted prediction of the various gaseous products and indicated that diatomic phosphorus is the dominant species formed.

  4. Signal development in irradiated silicon detectors

    CERN Document Server

    Kramberger, Gregor; Mikuz, Marko

    2001-01-01

    This work provides a detailed study of signal formation in silicon detectors, with the emphasis on detectors with high concentration of irradiation induced defects in the lattice. These defects give rise to deep energy levels in the band gap. As a consequence, the current induced by charge motion in silicon detectors is signifcantly altered. Within the framework of the study a new experimental method, Charge correction method, based on transient current technique (TCT) was proposed for determination of effective electron and hole trapping times in irradiated silicon detectors. Effective carrier trapping times were determined in numerous silicon pad detectors irradiated with neutrons, pions and protons. Studied detectors were fabricated on oxygenated and non-oxygenated silicon wafers with different bulk resistivities. Measured effective carrier trapping times were found to be inversely proportional to fuence and increase with temperature. No dependence on silicon resistivity and oxygen concentration was observ...

  5. Ultra-fast silicon detectors

    Energy Technology Data Exchange (ETDEWEB)

    Sadrozinski, H. F.-W., E-mail: hartmut@scipp.ucsc.edu [Santa Cruz Institute for Particle Physics, UC Santa Cruz, Santa Cruz, CA 95064 (United States); Ely, S.; Fadeyev, V.; Galloway, Z.; Ngo, J.; Parker, C.; Petersen, B.; Seiden, A.; Zatserklyaniy, A. [Santa Cruz Institute for Particle Physics, UC Santa Cruz, Santa Cruz, CA 95064 (United States); Cartiglia, N.; Marchetto, F. [INFN Torino, Torino (Italy); Bruzzi, M.; Mori, R.; Scaringella, M.; Vinattieri, A. [University of Florence, Department of Physics and Astronomy, Sesto Fiorentino, Firenze (Italy)

    2013-12-01

    We propose to develop a fast, thin silicon sensor with gain capable to concurrently measure with high precision the space (∼10 μm) and time (∼10 ps) coordinates of a particle. This will open up new application of silicon detector systems in many fields. Our analysis of detector properties indicates that it is possible to improve the timing characteristics of silicon-based tracking sensors, which already have sufficient position resolution, to achieve four-dimensional high-precision measurements. The basic sensor characteristics and the expected performance are listed, the wide field of applications are mentioned and the required R and D topics are discussed. -- Highlights: •We are proposing thin pixel silicon sensors with 10's of picoseconds time resolution. •Fast charge collection is coupled with internal charge multiplication. •The truly 4-D sensors will revolutionize imaging and particle counting in many applications.

  6. Relationship between silicon concentration and creatinine clearance

    International Nuclear Information System (INIS)

    Miura, Y.; Nakai, K.; Itoh, C.; Horikiri, J.; Sera, K.; Sato, M.

    1998-01-01

    Silicon levels in dialysis patients are markedly increasing. Using PIXE we determined the relationship between silicon concentration and creatinine clearance in 30 samples. Urine silicon concentration were significantly correlated to creatinine clearance (p<0.001). And also serum silicon concentration were significantly correlated to creatinine clearance (p<0.0001). (author)

  7. Metal (Ag/Ti-Containing Hydrogenated Amorphous Carbon Nanocomposite Films with Enhanced Nanoscratch Resistance: Hybrid PECVD/PVD System and Microstructural Characteristics

    Directory of Open Access Journals (Sweden)

    Marios Constantinou

    2018-03-01

    Full Text Available This study aimed to develop hydrogenated amorphous carbon thin films with embedded metallic nanoparticles (a–C:H:Me of controlled size and concentration. Towards this end, a novel hybrid deposition system is presented that uses a combination of Plasma Enhanced Chemical Vapor Deposition (PECVD and Physical Vapor Deposition (PVD technologies. The a–C:H matrix was deposited through the acceleration of carbon ions generated through a radio-frequency (RF plasma source by cracking methane, whereas metallic nanoparticles were generated and deposited using terminated gas condensation (TGC technology. The resulting material was a hydrogenated amorphous carbon film with controlled physical properties and evenly dispersed metallic nanoparticles (here Ag or Ti. The physical, chemical, morphological and mechanical characteristics of the films were investigated through X-ray reflectivity (XRR, Raman spectroscopy, Scanning Electron Microscopy (SEM, Atomic Force Microscopy (AFM, Transmission Electron Microscopy (TEM and nanoscratch testing. The resulting amorphous carbon metal nanocomposite films (a–C:H:Ag and a–C:H:Ti exhibited enhanced nanoscratch resistance (up to +50% and low values of friction coefficient (<0.05, properties desirable for protective coatings and/or solid lubricant applications. The ability to form nanocomposite structures with tunable coating performance by potentially controlling the carbon bonding, hydrogen content, and the type/size/percent of metallic nanoparticles opens new avenues for a broad range of applications in which mechanical, physical, biological and/or combinatorial properties are required.

  8. Highly efficient silicon light emitting diode

    NARCIS (Netherlands)

    Le Minh, P.; Holleman, J.; Wallinga, Hans

    2002-01-01

    In this paper, we describe the fabrication, using standard silicon processing techniques, of silicon light-emitting diodes (LED) that efficiently emit photons with energy around the silicon bandgap. The improved efficiency had been explained by the spatial confinement of charge carriers due to a

  9. Silicon-Based Nanoscale Composite Energetic Materials

    Science.gov (United States)

    2013-02-01

    1193-1211. 9. Krishnamohan, G., E.M. Kurian, and H.R. Rao, Thermal Analysis and Inverse Burning Rate Studies on Silicon-Potassium Nitrate System...reported in a journal paper and appears in the Appendix. Multiscale Nanoporous Silicon Combustion Introduction for nanoporous silicon effort While

  10. Remote Monitoring Transparency Program

    International Nuclear Information System (INIS)

    Sukhoruchkin, V.K.; Shmelev, V.M.; Roumiantsev, A.N.

    1996-01-01

    The objective of the Remote Monitoring Transparency Program is to evaluate and demonstrate the use of remote monitoring technologies to advance nonproliferation and transparency efforts that are currently being developed by Russia and the United States without compromising the national security to the participating parties. Under a lab-to-lab transparency contract between Sandia National Laboratories (SNL) and the Kurchatov Institute (KI RRC), the Kurchatov Institute will analyze technical and procedural aspects of the application of remote monitoring as a transparency measure to monitor inventories of direct- use HEU and plutonium (e.g., material recovered from dismantled nuclear weapons). A goal of this program is to assist a broad range of political and technical experts in learning more about remote monitoring technologies that could be used to implement nonproliferation, arms control, and other security and confidence building measures. Specifically, this program will: (1) begin integrating Russian technologies into remote monitoring systems; (2) develop remote monitoring procedures that will assist in the application of remote monitoring techniques to monitor inventories of HEU and Pu from dismantled nuclear weapons; and (3) conduct a workshop to review remote monitoring fundamentals, demonstrate an integrated US/Russian remote monitoring system, and discuss the impacts that remote monitoring will have on the national security of participating countries

  11. An FPGA-based silicon neuronal network with selectable excitability silicon neurons

    Directory of Open Access Journals (Sweden)

    Jing eLi

    2012-12-01

    Full Text Available This paper presents a digital silicon neuronal network which simulates the nerve system in creatures and has the ability to execute intelligent tasks, such as associative memory. Two essential elements, the mathematical-structure-based digital spiking silicon neuron (DSSN and the transmitter release based silicon synapse, allow the network to show rich dynamic behaviors and are computationally efficient for hardware implementation. We adopt mixed pipeline and parallel structure and shift operations to design a sufficient large and complex network without excessive hardware resource cost. The network with $256$ full-connected neurons is built on a Digilent Atlys board equipped with a Xilinx Spartan-6 LX45 FPGA. Besides, a memory control block and USB control block are designed to accomplish the task of data communication between the network and the host PC. This paper also describes the mechanism of associative memory performed in the silicon neuronal network. The network is capable of retrieving stored patterns if the inputs contain enough information of them. The retrieving probability increases with the similarity between the input and the stored pattern increasing. Synchronization of neurons is observed when the successful stored pattern retrieval occurs.

  12. Charge trapping and carrier transport mechanism in silicon-rich silicon oxynitride

    International Nuclear Information System (INIS)

    Yu Zhenrui; Aceves, Mariano; Carrillo, Jesus; Lopez-Estopier, Rosa

    2006-01-01

    The charge-trapping and carrier transport properties of silicon-rich silicon oxynitride (SRO:N) were studied. The SRO:N films were deposited by low pressure chemical vapor deposition. Infrared (IR) and transmission electron microscopic (TEM) measurements were performed to characterize their structural properties. Capacitance versus voltage and current versus voltage measurements (I-V) were used to study the charge-trapping and carrier transport mechanism. IR and TEM measurements revealed the existence of Si nanodots in SRO:N films. I-V measurements revealed that there are two conduction regimes divided by a threshold voltage V T . When the applied voltage is smaller than V T , the current is dominated by the charge transfer between the SRO:N and substrate; and in this regime only dynamic charging/discharging of the SRO:N layer is observed. When the voltage is larger than V T , the current increases rapidly and is dominated by the Poole-Frenkel mechanism; and in this regime, large permanent trapped charge density is obtained. Nitrogen incorporation significantly reduced the silicon nanodots or defects near the SRO:N/Si interface. However, a significant increase of the density of silicon nanodot in the bulk of the SRO:N layer is obtained

  13. Wet-Chemical Preparation of Silicon Tunnel Oxides for Transparent Passivated Contacts in Crystalline Silicon Solar Cells.

    Science.gov (United States)

    Köhler, Malte; Pomaska, Manuel; Lentz, Florian; Finger, Friedhelm; Rau, Uwe; Ding, Kaining

    2018-05-02

    Transparent passivated contacts (TPCs) using a wide band gap microcrystalline silicon carbide (μc-SiC:H(n)), silicon tunnel oxide (SiO 2 ) stack are an alternative to amorphous silicon-based contacts for the front side of silicon heterojunction solar cells. In a systematic study of the μc-SiC:H(n)/SiO 2 /c-Si contact, we investigated selected wet-chemical oxidation methods for the formation of ultrathin SiO 2 , in order to passivate the silicon surface while ensuring a low contact resistivity. By tuning the SiO 2 properties, implied open-circuit voltages of 714 mV and contact resistivities of 32 mΩ cm 2 were achieved using μc-SiC:H(n)/SiO 2 /c-Si as transparent passivated contacts.

  14. Radiation resistant passivation of silicon solar cells

    International Nuclear Information System (INIS)

    Swanson, R.M.; Gan, J.Y.; Gruenbaum, P.E.

    1991-01-01

    This patent describes a silicon solar cell having improved stability when exposed to concentrated solar radiation. It comprises a body of silicon material having a major surface for receiving radiation, a plurality of p and n conductivity regions in the body for collecting electrons and holes created by impinging radiation, and a passivation layer on the major surface including a first layer of silicon oxide in contact with the body and a polycrystalline silicon layer on the first layer of silicon oxide

  15. Floating Silicon Method

    Energy Technology Data Exchange (ETDEWEB)

    Kellerman, Peter

    2013-12-21

    The Floating Silicon Method (FSM) project at Applied Materials (formerly Varian Semiconductor Equipment Associates), has been funded, in part, by the DOE under a “Photovoltaic Supply Chain and Cross Cutting Technologies” grant (number DE-EE0000595) for the past four years. The original intent of the project was to develop the FSM process from concept to a commercially viable tool. This new manufacturing equipment would support the photovoltaic industry in following ways: eliminate kerf losses and the consumable costs associated with wafer sawing, allow optimal photovoltaic efficiency by producing high-quality silicon sheets, reduce the cost of assembling photovoltaic modules by creating large-area silicon cells which are free of micro-cracks, and would be a drop-in replacement in existing high efficiency cell production process thereby allowing rapid fan-out into the industry.

  16. Silicon photonics for telecommunications and biomedicine

    CERN Document Server

    Fathpour, Sasan

    2011-01-01

    Given silicon's versatile material properties, use of low-cost silicon photonics continues to move beyond light-speed data transmission through fiber-optic cables and computer chips. Its application has also evolved from the device to the integrated-system level. A timely overview of this impressive growth, Silicon Photonics for Telecommunications and Biomedicine summarizes state-of-the-art developments in a wide range of areas, including optical communications, wireless technologies, and biomedical applications of silicon photonics. With contributions from world experts, this reference guides

  17. Spiral silicon drift detectors

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.; Longoni, A.; Sampietro, M.; Holl, P.; Lutz, G.; Kemmer, J.; Prechtel, U.; Ziemann, T.

    1988-01-01

    An advanced large area silicon photodiode (and x-ray detector), called Spiral Drift Detector, was designed, produced and tested. The Spiral Detector belongs to the family of silicon drift detectors and is an improvement of the well known Cylindrical Drift Detector. In both detectors, signal electrons created in silicon by fast charged particles or photons are drifting toward a practically point-like collection anode. The capacitance of the anode is therefore kept at the minimum (0.1pF). The concentric rings of the cylindrical detector are replaced by a continuous spiral in the new detector. The spiral geometry detector design leads to a decrease of the detector leakage current. In the spiral detector all electrons generated at the silicon-silicon oxide interface are collected on a guard sink rather than contributing to the detector leakage current. The decrease of the leakage current reduces the parallel noise of the detector. This decrease of the leakage current and the very small capacities of the detector anode with a capacitively matched preamplifier may improve the energy resolution of Spiral Drift Detectors operating at room temperature down to about 50 electrons rms. This resolution is in the range attainable at present only by cooled semiconductor detectors. 5 refs., 10 figs

  18. Time-sensitive remote sensing

    CERN Document Server

    Lippitt, Christopher; Coulter, Lloyd

    2015-01-01

    This book documents the state of the art in the use of remote sensing to address time-sensitive information requirements. Specifically, it brings together a group of authors who are both researchers and practitioners, who work toward or are currently using remote sensing to address time-sensitive information requirements with the goal of advancing the effective use of remote sensing to supply time-sensitive information. The book addresses the theoretical implications of time-sensitivity on the remote sensing process, assessments or descriptions of methods for expediting the delivery and improving the quality of information derived from remote sensing, and describes and analyzes time-sensitive remote sensing applications, with an emphasis on lessons learned. This book is intended for remote sensing scientists, practitioners (e.g., emergency responders or administrators of emergency response agencies), and students, but will also be of use to those seeking to understand the potential of remote sensing to addres...

  19. Scattering characteristics from porous silicon

    Directory of Open Access Journals (Sweden)

    R. Sabet-Dariani

    2000-12-01

    Full Text Available   Porous silicon (PS layers come into existance as a result of electrochemical anodization on silicon. Although a great deal of research has been done on the formation and optical properties of this material, the exact mechanism involved is not well-understood yet.   In this article, first, the optical properties of silicon and porous silicon are described. Then, previous research and the proposed models about reflection from PS and the origin of its photoluminescence are reveiwed. The reflecting and scattering, absorption and transmission of light from this material, are then investigated. These experiments include,different methods of PS sample preparation their photoluminescence, reflecting and scattering of light determining different characteristics with respect to Si bulk.

  20. Radiation Hard GaNFET High Voltage Multiplexing (HV Mux) for the ATLAS Upgrade Silicon Strip Tracker

    CERN Document Server

    Lynn, David; The ATLAS collaboration

    2017-01-01

    The outer radii of the inner tracker (ITk) for the Phase-II Upgrade of the ATLAS experiment will consist of groups of silicon strip sensors mounted on common support structures. Lack of space creates a need to remotely disable a failing sensor from the common HV bus. We have developed circuitry consisting of a GaNFET transistor and a HV Multiplier circuit to disable a failed sensor. We will present two variants of the HV Mux circuitry and show irradiation results on individual components with an emphasis on the GaNFET results. We will also discuss the reliability of the HV Mux circuitry and show plans to ensure reliability during production.

  1. Engineering piezoresistivity using biaxially strained silicon

    DEFF Research Database (Denmark)

    Pedersen, Jesper Goor; Richter, Jacob; Brandbyge, Mads

    2008-01-01

    of the piezocoefficient on temperature and dopant density is altered qualitatively for strained silicon. In particular, we find that a vanishing temperature coefficient may result for silicon with grown-in biaxial tensile strain. These results suggest that strained silicon may be used to engineer the iezoresistivity...

  2. ePIXfab - The silicon photonics platform

    NARCIS (Netherlands)

    Khanna, A.; Drissi, Y.; Dumon, P.; Baets, R.; Absil, P.; Pozo Torres, J.M.; Lo Cascio, D.M.R.; Fournier, M.; Fedeli, J.M.; Fulbert, L.; Zimmermann, L.; Tillack, B.; Aalto, T.; O'Brien, P.; Deptuck, D.; Xu, J.; Gale, D.

    2013-01-01

    ePIXfab-The European Silicon Photonics Support Center continues to provide state-of-the-art silicon photonics solutions to academia and industry for prototyping and research. ePIXfab is a consortium of EU research centers providing diverse expertise in the silicon photonics food chain, from training

  3. Hybrid III-V/silicon lasers

    Science.gov (United States)

    Kaspar, P.; Jany, C.; Le Liepvre, A.; Accard, A.; Lamponi, M.; Make, D.; Levaufre, G.; Girard, N.; Lelarge, F.; Shen, A.; Charbonnier, P.; Mallecot, F.; Duan, G.-H.; Gentner, J.-.; Fedeli, J.-M.; Olivier, S.; Descos, A.; Ben Bakir, B.; Messaoudene, S.; Bordel, D.; Malhouitre, S.; Kopp, C.; Menezo, S.

    2014-05-01

    The lack of potent integrated light emitters is one of the bottlenecks that have so far hindered the silicon photonics platform from revolutionizing the communication market. Photonic circuits with integrated light sources have the potential to address a wide range of applications from short-distance data communication to long-haul optical transmission. Notably, the integration of lasers would allow saving large assembly costs and reduce the footprint of optoelectronic products by combining photonic and microelectronic functionalities on a single chip. Since silicon and germanium-based sources are still in their infancy, hybrid approaches using III-V semiconductor materials are currently pursued by several research laboratories in academia as well as in industry. In this paper we review recent developments of hybrid III-V/silicon lasers and discuss the advantages and drawbacks of several integration schemes. The integration approach followed in our laboratory makes use of wafer-bonded III-V material on structured silicon-on-insulator substrates and is based on adiabatic mode transfers between silicon and III-V waveguides. We will highlight some of the most interesting results from devices such as wavelength-tunable lasers and AWG lasers. The good performance demonstrates that an efficient mode transfer can be achieved between III-V and silicon waveguides and encourages further research efforts in this direction.

  4. X-ray and scanning electron microscopic investigation of porous silicon and silicon epitaxial layers grown on porous silicon

    International Nuclear Information System (INIS)

    Wierzchowski, W.; Pawlowska, M.; Nossarzewska-Orlowska, E.; Brzozowski, A.; Wieteska, K.; Graeff, W.

    1998-01-01

    The 1 to 5 μm thick layers of porous silicon and epitaxial layers grown on porous silicon were studied by means of X-ray diffraction methods, realised with a wide use of synchrotron source and scanning microscopy. The results of x-ray investigation pointed the difference of lateral periodicity between the porous layer and the substrate. It was also found that the deposition of epitaxial layer considerably reduced the coherence of porous fragments. A number of interface phenomena was also observed in section and plane wave topographs. The scanning electron microscopic investigation of cleavage faces enabled direct evaluation of porous layer thickness and revealed some details of their morphology. The scanning observation of etched surfaces of epitaxial layers deposited on porous silicon revealed dislocations and other defects not reasonable in the X-ray topographs. (author)

  5. Method For Producing Mechanically Flexible Silicon Substrate

    KAUST Repository

    Hussain, Muhammad Mustafa

    2014-08-28

    A method for making a mechanically flexible silicon substrate is disclosed. In one embodiment, the method includes providing a silicon substrate. The method further includes forming a first etch stop layer in the silicon substrate and forming a second etch stop layer in the silicon substrate. The method also includes forming one or more trenches over the first etch stop layer and the second etch stop layer. The method further includes removing the silicon substrate between the first etch stop layer and the second etch stop layer.

  6. Intermediate Bandgap Solar Cells From Nanostructured Silicon

    Energy Technology Data Exchange (ETDEWEB)

    Black, Marcie [Bandgap Engineering, Lincoln, MA (United States)

    2014-10-30

    This project aimed to demonstrate increased electronic coupling in silicon nanostructures relative to bulk silicon for the purpose of making high efficiency intermediate bandgap solar cells using silicon. To this end, we formed nanowires with controlled crystallographic orientation, small diameter, <111> sidewall faceting, and passivated surfaces to modify the electronic band structure in silicon by breaking down the symmetry of the crystal lattice. We grew and tested these silicon nanowires with <110>-growth axes, which is an orientation that should produce the coupling enhancement.

  7. Method For Producing Mechanically Flexible Silicon Substrate

    KAUST Repository

    Hussain, Muhammad Mustafa; Rojas, Jhonathan Prieto

    2014-01-01

    A method for making a mechanically flexible silicon substrate is disclosed. In one embodiment, the method includes providing a silicon substrate. The method further includes forming a first etch stop layer in the silicon substrate and forming a second etch stop layer in the silicon substrate. The method also includes forming one or more trenches over the first etch stop layer and the second etch stop layer. The method further includes removing the silicon substrate between the first etch stop layer and the second etch stop layer.

  8. Optical characterization of nanocrystals in silicon rich oxide superlattices and porous silicon

    International Nuclear Information System (INIS)

    Agocs, E.; Petrik, P.; Milita, S.; Vanzetti, L.; Gardelis, S.; Nassiopoulou, A.G.; Pucker, G.; Balboni, R.; Fried, M.

    2011-01-01

    We propose to analyze ellipsometry data by using effective medium approximation (EMA) models. Thanks to EMA, having nanocrystalline reference dielectric functions and generalized critical point (GCP) model the physical parameters of two series of samples containing silicon nanocrystals, i.e. silicon rich oxide (SRO) superlattices and porous silicon layers (PSL), have been determined. The superlattices, consisting of ten SRO/SiO 2 layer pairs, have been prepared using plasma enhanced chemical vapor deposition. The porous silicon layers have been prepared using short monopulses of anodization current in the transition regime between porous silicon formation and electropolishing, in a mixture of hydrofluoric acid and ethanol. The optical modeling of both structures is similar. The effective dielectric function of the layer is calculated by EMA using nanocrystalline components (nc-Si and GCP) in a dielectric matrix (SRO) or voids (PSL). We discuss the two major problems occurring when modeling such structures: (1) the modeling of the vertically non-uniform layer structures (including the interface properties like nanoroughness at the layer boundaries) and (2) the parameterization of the dielectric function of nanocrystals. We used several techniques to reduce the large number of fit parameters of the GCP models. The obtained results are in good agreement with those obtained by X-ray diffraction and electron microscopy. We investigated the correlation of the broadening parameter and characteristic EMA components with the nanocrystal size and the sample preparation conditions, such as the annealing temperatures of the SRO superlattices and the anodization current density of the porous silicon samples. We found that the broadening parameter is a sensitive measure of the nanocrystallinity of the samples, even in cases, where the nanocrystals are too small to be visible for X-ray scattering. Major processes like sintering, phase separation, and intermixing have been

  9. High performance multilayered nano-crystalline silicon/silicon-oxide light-emitting diodes on glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Darbari, S; Shahmohammadi, M; Mortazavi, M; Mohajerzadeh, S [Thin Film and Nano-Electronic Laboratory, School of ECE, University of Tehran, Tehran (Iran, Islamic Republic of); Abdi, Y [Nano-Physics Research Laboratory, Department of Physics, University of Tehran, Tehran (Iran, Islamic Republic of); Robertson, M; Morrison, T, E-mail: mohajer@ut.ac.ir [Department of Physics, Acadia University, Wolfville, NS (Canada)

    2011-09-16

    A low-temperature hydrogenation-assisted sequential deposition and crystallization technique is reported for the preparation of nano-scale silicon quantum dots suitable for light-emitting applications. Radio-frequency plasma-enhanced deposition was used to realize multiple layers of nano-crystalline silicon while reactive ion etching was employed to create nano-scale features. The physical characteristics of the films prepared using different plasma conditions were investigated using scanning electron microscopy, transmission electron microscopy, room temperature photoluminescence and infrared spectroscopy. The formation of multilayered structures improved the photon-emission properties as observed by photoluminescence and a thin layer of silicon oxy-nitride was then used for electrical isolation between adjacent silicon layers. The preparation of light-emitting diodes directly on glass substrates has been demonstrated and the electroluminescence spectrum has been measured.

  10. High-efficient solar cells with porous silicon

    International Nuclear Information System (INIS)

    Migunova, A.A.

    2002-01-01

    It has been shown that the porous silicon is multifunctional high-efficient coating on silicon solar cells, modifies its surface and combines in it self antireflection and passivation properties., The different optoelectronic effects in solar cells with porous silicon were considered. The comparative parameters of uncovered photodetectors also solar cells with porous silicon and other coatings were resulted. (author)

  11. Luminescence of porous silicon doped by erbium

    International Nuclear Information System (INIS)

    Bondarenko, V.P.; Vorozov, N.N.; Dolgij, L.N.; Dorofeev, A.M.; Kazyuchits, N.M.; Leshok, A.A.; Troyanova, G.N.

    1996-01-01

    The possibility of the 1.54 μm intensive luminescence in the silicon dense porous layers, doped by erbium, with various structures is shown. Low-porous materials of both porous type on the p-type silicon and porous silicon with wood-like structure on the n + type silicon may be used for formation of light-emitting structures

  12. Process of preparing tritiated porous silicon

    Science.gov (United States)

    Tam, Shiu-Wing

    1997-01-01

    A process of preparing tritiated porous silicon in which porous silicon is equilibrated with a gaseous vapor containing HT/T.sub.2 gas in a diluent for a time sufficient for tritium in the gas phase to replace hydrogen present in the pore surfaces of the porous silicon.

  13. Modification of silicon nitride and silicon carbide surfaces for food and biosensor applications

    NARCIS (Netherlands)

    Rosso, M.

    2009-01-01

    Silicon-rich silicon nitride (SixN4, x > 3) is a robust insulating material widely used for the coating of microdevices: its high chemical and mechanical inertness make it a material of choice for the reinforcement of fragile microstructures (e.g. suspended microcantilevers, micro-fabricated

  14. Thin film silicon by a microwave plasma deposition technique: Growth and devices, and, interface effects in amorphous silicon/crystalline silicon solar cells

    Science.gov (United States)

    Jagannathan, Basanth

    Thin film silicon (Si) was deposited by a microwave plasma CVD technique, employing double dilution of silane, for the growth of low hydrogen content Si films with a controllable microstructure on amorphous substrates at low temperatures (prepared by this technique. Such films showed a dark conductivity ˜10sp{-6} S/cm, with a conduction activation energy of 0.49 eV. Film growth and properties have been compared for deposition in Ar and He carrier systems and growth models have been proposed. Low temperature junction formation by undoped thin film silicon was examined through a thin film silicon/p-type crystalline silicon heterojunctions. The thin film silicon layers were deposited by rf glow discharge, dc magnetron sputtering and microwave plasma CVD. The hetero-interface was identified by current transport analysis and high frequency capacitance methods as the key parameter controlling the photovoltaic (PV) response. The effect of the interface on the device properties (PV, junction, and carrier transport) was examined with respect to modifications created by chemical treatment, type of plasma species, their energy and film microstructure interacting with the substrate. Thermally stimulated capacitance was used to determine the interfacial trap parameters. Plasma deposition of thin film silicon on chemically clean c-Si created electron trapping sites while hole traps were seen when a thin oxide was present at the interface. Under optimized conditions, a 10.6% efficient cell (11.5% with SiOsb2 A/R) with an open circuit voltage of 0.55 volts and a short circuit current density of 30 mA/cmsp2 was fabricated.

  15. Remote docking apparatus

    International Nuclear Information System (INIS)

    Dent, T.H.; Sumpman, W.C.; Wilhelm, J.J.

    1981-01-01

    The remote docking apparatus comprises a support plate with locking devices mounted thereon. The locking devices are capable of being inserted into tubular members for suspending the support plate therefrom. A vertical member is attached to the support plate with an attachment mechanism attached to the vertical member. A remote access manipulator is capable of being attached to the attachment mechanism so that the vertical member can position the remote access manipulator so that the remote access manipulator can be initially attached to the tubular members in a well defined manner

  16. Amorphous silicon based particle detectors

    OpenAIRE

    Wyrsch, N.; Franco, A.; Riesen, Y.; Despeisse, M.; Dunand, S.; Powolny, F.; Jarron, P.; Ballif, C.

    2012-01-01

    Radiation hard monolithic particle sensors can be fabricated by a vertical integration of amorphous silicon particle sensors on top of CMOS readout chip. Two types of such particle sensors are presented here using either thick diodes or microchannel plates. The first type based on amorphous silicon diodes exhibits high spatial resolution due to the short lateral carrier collection. Combination of an amorphous silicon thick diode with microstrip detector geometries permits to achieve micromete...

  17. Porous silicon: Synthesis and optical properties

    International Nuclear Information System (INIS)

    Naddaf, M.; Awad, F.

    2006-01-01

    Formation of porous silicon by electrochemical etching method of both p and n-type single crystal silicon wafers in HF based solutions has been performed by using three different modes. In addition to DC and pulsed voltage, a novel etching mode is developed to prepare light-emitting porous silicon by applying and holding-up a voltage in gradient steps form periodically, between the silicon wafer and a graphite electrode. Under same equivalent etching conditions, periodic gradient steps voltage etching can yield a porous silicon layer with stronger photoluminescence intensity and blue shift than the porous silicon layer prepared by DC or pulsed voltage etching. It has been found that the holding-up of the applied voltage during the etching process for defined interval of time is another significant future of this method, which highly affects the blue shift. This can be used for tailoring a porous layer with novel properties. The actual mechanism behind the blue shift is not clear exactly, even the experimental observation of atomic force microscope and purist measurements in support with quantum confinement model. It has been seen also from Fourier Transform Infrared study that interplays between O-Si-H and Si-H bond intensities play key role in deciding the efficiency of photoluminescence emission. Study of relative humidity sensing and photonic crystal properties of pours silicon samples has confirmed the advantages of the new adopted etching mode. The sensitivity at room temperature of porous silicon prepared by periodic gradient steps voltage etching was found to be about 70% as compared to 51% and 45% for the porous silicon prepared by DC and pulsed voltage etching, respectively. (author)

  18. Porous silicon: Synthesis and optical properties

    International Nuclear Information System (INIS)

    Naddaf, M.; Awad, F.

    2006-06-01

    Formation of porous silicon by electrochemical etching method of both p and n-type single crystal silicon wafers in HF based solutions has been performed by using three different modes. In addition to DC and pulsed voltage, a novel etching mode is developed to prepare light-emitting porous silicon by applying and holding-up a voltage in gradient steps form periodically, between the silicon wafer and a graphite electrode. Under same equivalent etching conditions, periodic gradient steps voltage etching can yield a porous silicon layer with stronger photoluminescence intensity and blue shift than the porous silicon layer prepared by DC or pulsed voltage etching. It has been found that the holding-up of the applied voltage during the etching process for defined interval of time is another significant future of this method, which highly affects the blue shift. This can be used for tailoring a porous layer with novel properties. The actual mechanism behind the blue shift is not clear exactly, even the experimental observation of atomic force microscope and purist measurements in support with quantum confinement model. It has been seen also from Fourier Transform Infrared study that interplays between O-Si-H and Si-H bond intensities play key role in deciding the efficiency of photoluminescence emission. Study of relative humidity sensing and photonic crystal properties of pours silicon samples has confirmed the advantages of the new adopted etching mode. The sensitivity at room temperature of porous silicon prepared by periodic gradient steps voltage etching was found to be about 70% as compared to 51% and 45% for the porous silicon prepared by DC and pulsed voltage etching, respectively. (author)

  19. Silicon Micromachined Microlens Array for THz Antennas

    Science.gov (United States)

    Lee, Choonsup; Chattopadhyay, Goutam; Mehdi, IImran; Gill, John J.; Jung-Kubiak, Cecile D.; Llombart, Nuria

    2013-01-01

    5 5 silicon microlens array was developed using a silicon micromachining technique for a silicon-based THz antenna array. The feature of the silicon micromachining technique enables one to microfabricate an unlimited number of microlens arrays at one time with good uniformity on a silicon wafer. This technique will resolve one of the key issues in building a THz camera, which is to integrate antennas in a detector array. The conventional approach of building single-pixel receivers and stacking them to form a multi-pixel receiver is not suited at THz because a single-pixel receiver already has difficulty fitting into mass, volume, and power budgets, especially in space applications. In this proposed technique, one has controllability on both diameter and curvature of a silicon microlens. First of all, the diameter of microlens depends on how thick photoresist one could coat and pattern. So far, the diameter of a 6- mm photoresist microlens with 400 m in height has been successfully microfabricated. Based on current researchers experiences, a diameter larger than 1-cm photoresist microlens array would be feasible. In order to control the curvature of the microlens, the following process variables could be used: 1. Amount of photoresist: It determines the curvature of the photoresist microlens. Since the photoresist lens is transferred onto the silicon substrate, it will directly control the curvature of the silicon microlens. 2. Etching selectivity between photoresist and silicon: The photoresist microlens is formed by thermal reflow. In order to transfer the exact photoresist curvature onto silicon, there needs to be etching selectivity of 1:1 between silicon and photoresist. However, by varying the etching selectivity, one could control the curvature of the silicon microlens. The figure shows the microfabricated silicon microlens 5 x5 array. The diameter of the microlens located in the center is about 2.5 mm. The measured 3-D profile of the microlens surface has a

  20. An Investigation of Information Technology-Enabled Remote Management and Remote Work Issues

    Directory of Open Access Journals (Sweden)

    D. Sandy Staples

    1997-05-01

    Full Text Available A two phase research study was done to investigate remote work and remote management issues. In Phase 1, focus groups were carried out with remote managers and remote employees to identify key issues. The most common key issues dealt with communications, information technology, leadership and coaching, teamwork, building trust, and performance management. In the second phase, a questionnaire was used to test hypotheses developed from phase 1. The findings supported that higher trust leads to higher job satisfaction and lower job stress, and that more communication between the manager and the remote employee develops higher levels of employee organizational commitment.

  1. EDITORIAL: Special issue on silicon photonics

    Science.gov (United States)

    Reed, Graham; Paniccia, Mario; Wada, Kazumi; Mashanovich, Goran

    2008-06-01

    The technology now known as silicon photonics can be traced back to the pioneering work of Soref in the mid-1980s (see, for example, Soref R A and Lorenzo J P 1985 Electron. Lett. 21 953). However, the nature of the research conducted today, whilst it builds upon that early work, is unrecognizable in terms of technology metrics such as device efficiency, device data rate and device dimensions, and even in targeted applications areas. Today silicon photonics is still evolving, and is enjoying a period of unprecedented attention in terms of research focus. This has resulted in orders-of-magnitude improvement in device performance over the last few years to levels many thought were impossible. However, despite the existence of the research field for more than two decades, silicon is still regarded as a 'new' optical material, one that is being manipulated and modified to satisfy the requirements of a range of applications. This is somewhat ironic since silicon is one of the best known and most thoroughly studied materials, thanks to the electronics industry that has made silicon its material of choice. The principal reasons for the lack of study of this 'late developer' are that (i) silicon is an indirect bandgap material and (ii) it does not exhibit a linear electro-optic (Pockels) effect. The former condition means that it is difficult to make a laser in silicon based on the intrinsic performance of the material, and consequently, in recent years, researchers have attempted to modify the material to artificially engineer the conditions for lasing to be viable (see, for example, the review text, Jalali B et al 2008 Silicon Lasers in Silicon Photonics: The State of the Art ed G T Reed (New York: Wiley)). The latter condition means that optical modulators are intrinsically less efficient in silicon than in some other materials, particularly when targeting the popular telecommunications wavelengths around 1.55 μm. Therefore researchers have sought alternative

  2. Porous silicon-based direct hydrogen sulphide fuel cells.

    Science.gov (United States)

    Dzhafarov, T D; Yuksel, S Aydin

    2011-10-01

    In this paper, the use of Au/porous silicon/Silicon Schottky type structure, as a direct hydrogen sulphide fuel cell is demonstrated. The porous silicon filled with hydrochlorid acid was developed as a proton conduction membrane. The Au/Porous Silicon/Silicon cells were fabricated by first creating the porous silicon layer in single-crystalline Si using the anodic etching under illumination and then deposition Au catalyst layer onto the porous silicon. Using 80 mM H2S solution as fuel the open circuit voltage of 0.4 V was obtained and maximum power density of 30 W/m2 at room temperature was achieved. These results demonstrate that the Au/Porous Silicon/Silicon direct hydrogen sulphide fuel cell which uses H2S:dH2O solution as fuel and operates at room temperature can be considered as the most promising type of low cost fuel cell for small power-supply units.

  3. Silicon based light-emitting materials and devices

    International Nuclear Information System (INIS)

    Chen Weide

    1999-01-01

    Silicon based light-emitting materials and devices are the key to optoelectronic integration. Recently, there has been significant progress in materials engineering methods. The author reviews the latest developments in this area including erbium doped silicon, porous silicon, nanocrystalline silicon and Si/SiO 2 superlattice structures. The incorporation of these different materials into devices is described and future device prospects are assessed

  4. Vibrational Spectroscopy of Chemical Species in Silicon and Silicon-Rich Nitride Thin Films

    Directory of Open Access Journals (Sweden)

    Kirill O. Bugaev

    2012-01-01

    Full Text Available Vibrational properties of hydrogenated silicon-rich nitride (SiN:H of various stoichiometry (0.6≤≤1.3 and hydrogenated amorphous silicon (a-Si:H films were studied using Raman spectroscopy and Fourier transform infrared spectroscopy. Furnace annealing during 5 hours in Ar ambient at 1130∘C and pulse laser annealing were applied to modify the structure of films. Surprisingly, after annealing with such high-thermal budget, according to the FTIR data, the nearly stoichiometric silicon nitride film contains hydrogen in the form of Si–H bonds. From analysis of the FTIR data of the Si–N bond vibrations, one can conclude that silicon nitride is partly crystallized. According to the Raman data a-Si:H films with hydrogen concentration 15% and lower contain mainly Si–H chemical species, and films with hydrogen concentration 30–35% contain mainly Si–H2 chemical species. Nanosecond pulse laser treatments lead to crystallization of the films and its dehydrogenization.

  5. P-type silicon drift detectors

    International Nuclear Information System (INIS)

    Walton, J.T.; Krieger, B.; Krofcheck, D.; O'Donnell, R.; Odyniec, G.; Partlan, M.D.; Wang, N.W.

    1995-06-01

    Preliminary results on 16 CM 2 , position-sensitive silicon drift detectors, fabricated for the first time on p-type silicon substrates, are presented. The detectors were designed, fabricated, and tested recently at LBL and show interesting properties which make them attractive for use in future physics experiments. A pulse count rate of approximately 8 x l0 6 s -1 is demonstrated by the p-type silicon drift detectors. This count rate estimate is derived by measuring simultaneous tracks produced by a laser and photolithographic mask collimator that generates double tracks separated by 50 μm to 1200 μm. A new method of using ion-implanted polysilicon to produce precise valued bias resistors on the silicon drift detectors is also discussed

  6. Porous silicon investigated by positron annihilation

    International Nuclear Information System (INIS)

    Cruz, R.M. de la; Pareja, R.

    1989-01-01

    The effect of the anodic conversion in silicon single crystals is investigated by positron lifetime measurements. Anodization at constant current induces changes in the positron lifetime spectrum of monocrystalline silicon samples. It is found that theses changes are primarily dependent on the silicon resistivity. The annihilation parameter behaviour of anodized samples, treated at high temperature under reducing conditions, is also investigated. The results reveal that positron annihilation can be a useful technique to characterize porous silicon formed by anodizing as well as to investigate its thermal behaviour. (author)

  7. Structural, optical and electrical properties of quasi-monocrystalline silicon thin films obtained by rapid thermal annealing of porous silicon layers

    International Nuclear Information System (INIS)

    Hajji, M.; Khardani, M.; Khedher, N.; Rahmouni, H.; Bessais, B.; Ezzaouia, H.; Bouchriha, H.

    2006-01-01

    Quasi-mono-crystalline silicon (QMS) layers have a top surface like crystalline silicon with small voids in the body. Such layers are reported to have a higher absorption coefficient than crystalline silicon at the interesting range of the solar spectrum for photovoltaic application. In this work we present a study of the structural, optical and electrical properties of quasimonocrystalline silicon thin films. Quasimonocrystalline silicon thin films were obtained from porous silicon, which has been annealed at a temperature ranging from 950 to 1050 deg. C under H 2 atmosphere for different annealing durations. The porous layers were prepared by conventional electrochemical anodization using a double tank cell and a HF / Ethanol electrolyte. Porous silicon is formed on highly doped p + -type silicon substrates that enable us to prevent back contacts for the anodization. Atomic Force Microscope (AFM) was used to study the morphological quality of the prepared layers. Optical properties were extracted from transmission and reflectivity spectra. Dark I-V characteristics were used to determine the electrical conductivity of quasimonocrystalline silicon thin films. Results show an important improvement of the absorption coefficient of the material and electrical conductivity reaches a value of twenty orders higher than that of starting mesoporous silicon

  8. Characterization of Czochralski Silicon Detectors

    OpenAIRE

    Luukka, Panja-Riina; Haerkoenen, Jaakko

    2012-01-01

    This thesis describes the characterization of irradiated and non-irradiated segmenteddetectors made of high-resistivity (>1 kΩcm) magnetic Czochralski (MCZ) silicon. It isshown that the radiation hardness (RH) of the protons of these detectors is higher thanthat of devices made of traditional materials such as Float Zone (FZ) silicon or DiffusionOxygenated Float Zone (DOFZ) silicon due to the presence of intrinsic oxygen (> 5 x1017 cm-3). The MCZ devices therefore present an interesting alter...

  9. Structure and physical properties of silicon clusters and of vacancy clusters in bulk silicon

    International Nuclear Information System (INIS)

    Sieck, A.

    2000-01-01

    In this thesis the growth-pattern of free silicon clusters and vacancy clusters in bulk silicon is investigated. The aim is to describe and to better understand the cluster to bulk transition. Silicon structures in between clusters and solids feature new interesting physical properties. The structure and physical properties of silicon clusters can be revealed by a combination of theory and experiment, only. Low-energy clusters are determined with different optimization techniques and a density-functional based tight-binding method. Additionally, infrared and Raman spectra, and polarizabilities calculated within self-consistent field density-functional theory are provided for the smaller clusters. For clusters with 25 to 35 atoms an analysis of the shape of the clusters and the related mobilities in a buffer gas is given. Finally, the clusters observed in low-temperature experiments are identified via the best match between calculated properties and experimental data. Silicon clusters with 10 to 15 atoms have a tricapped trigonal prism as a common subunit. Clusters with up to about 25 atoms follow a prolate growth-path. In the range from 24 to 30 atoms the geometry of the clusters undergoes a transition towards compact spherical structures. Low-energy clusters with up to 240 atoms feature a bonding pattern strikingly different from the tetrahedral bonding in the solid. It follows that structures with dimensions of several Angstroem have electrical and optical properties different from the solid. The calculated stabilities and positron-lifetimes of vacancy clusters in bulk silicon indicate the positron-lifetimes of about 435 ps detected in irradiated silicon to be related to clusters of 9 or 10 vacancies. The vacancies in these clusters form neighboring hexa-rings and, therefore, minimize the number of dangling bonds. (orig.)

  10. Solar cells with gallium phosphide/silicon heterojunction

    Science.gov (United States)

    Darnon, Maxime; Varache, Renaud; Descazeaux, Médéric; Quinci, Thomas; Martin, Mickaël; Baron, Thierry; Muñoz, Delfina

    2015-09-01

    One of the limitations of current amorphous silicon/crystalline silicon heterojunction solar cells is electrical and optical losses in the front transparent conductive oxide and amorphous silicon layers that limit the short circuit current. We propose to grow a thin (5 to 20 nm) crystalline Gallium Phosphide (GaP) by epitaxy on silicon to form a more transparent and more conducting emitter in place of the front amorphous silicon layers. We show that a transparent conducting oxide (TCO) is still necessary to laterally collect the current with thin GaP emitter. Larger contact resistance of GaP/TCO increases the series resistance compared to amorphous silicon. With the current process, losses in the IR region associated with silicon degradation during the surface preparation preceding GaP deposition counterbalance the gain from the UV region. A first cell efficiency of 9% has been obtained on ˜5×5 cm2 polished samples.

  11. Electrical properties of pressure quenched silicon by thermal spraying

    International Nuclear Information System (INIS)

    Tan, S.Y.; Gambino, R.J.; Sampath, S.; Herman, H.

    2007-01-01

    High velocity thermal spray deposition of polycrystalline silicon film onto single crystal substrates, yields metastable high pressure forms of silicon in nanocrystalline form within the deposit. The phases observed in the deposit include hexagonal diamond-Si, R-8, BC-8 and Si-IX. The peculiar attribute of this transformation is that it occurs only on orientation silicon substrate. The silicon deposits containing the high pressure phases display a substantially higher electrical conductivity. The resistivity profile of the silicon deposit containing shock induced metastable silicon phases identified by X-ray diffraction patterns. The density of the pressure induced polymorphic silicon is higher at deposit/substrate interface. A modified two-layer model is presented to explain the resistivity of the deposit impacted by the pressure induced polymorphic silicon generated by the thermal spraying process. The pressure quenched silicon deposits on the p - silicon substrate, with or without metastable phases, display the barrier potential of about 0.72 eV. The measured hall mobility value of pressure quenched silicon deposits is in the range of polycrystalline silicon. The significance of this work lies in the fact that the versatility of thermal spray may enable applications of these high pressure forms of silicon

  12. Damage-free laser patterning of silicon nitride on textured crystalline silicon using an amorphous silicon etch mask for Ni/Cu plated silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Bailly, Mark S., E-mail: mbailly@asu.edu; Karas, Joseph; Jain, Harsh; Dauksher, William J.; Bowden, Stuart

    2016-08-01

    We investigate the optimization of laser ablation with a femtosecond laser for direct and indirect removal of SiN{sub x} on alkaline textured c-Si. Our proposed resist-free indirect removal process uses an a-Si:H etch mask and is demonstrated to have a drastically improved surface quality of the laser processed areas when compared to our direct removal process. Scanning electron microscope images of ablated sites show the existence of substantial surface defects for the standard direct removal process, and the reduction of those defects with our proposed process. Opening of SiN{sub x} and SiO{sub x} passivating layers with laser ablation is a promising alternative to the standard screen print and fire process for making contact to Si solar cells. The potential for small contacts from laser openings of dielectrics coupled with the selective deposition of metal from light induced plating allows for high-aspect-ratio metal contacts for front grid metallization. The minimization of defects generated in this process would serve to enhance the performance of the device and provides the motivation for our work. - Highlights: • Direct laser removal of silicon nitride (SiN{sub x}) damages textured silicon. • Direct laser removal of amorphous silicon (a-Si) does not damage textured silicon. • a-Si can be used as a laser patterned etch mask for SiN{sub x}. • Chemically patterned SiN{sub x} sites allow for Ni/Cu plating.

  13. Electrochemical properties of ion implanted silicon

    International Nuclear Information System (INIS)

    Pham minh Tan.

    1979-11-01

    The electrochemical behaviour of ion implanted silicon in contact with hydrofluoric acid solution was investigated. It was shown that the implanted layer on silicon changes profoundly its electrochemical properties (photopotential, interface impedance, rest potential, corrosion, current-potential behaviour, anodic dissolution of silicon, redox reaction). These changes depend strongly on the implantation parameters such as ion dose, ion energy, thermal treatment and ion mass and are weakly dependent on the chemical nature of the implantation ion. The experimental results were evaluated and interpreted in terms of the semiconductor electrochemical concepts taking into account the interaction of energetic ions with the solid surface. The observed effects are thus attributed to the implantation induced damage of silicon lattice and can be used for profiling of the implanted layer and the electrochemical treatment of the silicon surface. (author)

  14. Fabricating solar cells with silicon nanoparticles

    Science.gov (United States)

    Loscutoff, Paul; Molesa, Steve; Kim, Taeseok

    2014-09-02

    A laser contact process is employed to form contact holes to emitters of a solar cell. Doped silicon nanoparticles are formed over a substrate of the solar cell. The surface of individual or clusters of silicon nanoparticles is coated with a nanoparticle passivation film. Contact holes to emitters of the solar cell are formed by impinging a laser beam on the passivated silicon nanoparticles. For example, the laser contact process may be a laser ablation process. In that case, the emitters may be formed by diffusing dopants from the silicon nanoparticles prior to forming the contact holes to the emitters. As another example, the laser contact process may be a laser melting process whereby portions of the silicon nanoparticles are melted to form the emitters and contact holes to the emitters.

  15. Formation and properties of the buried isolating silicon-dioxide layer in double-layer “porous silicon-on-insulator” structures

    Energy Technology Data Exchange (ETDEWEB)

    Bolotov, V. V.; Knyazev, E. V.; Ponomareva, I. V.; Kan, V. E., E-mail: kan@obisp.oscsbras.ru; Davletkildeev, N. A.; Ivlev, K. E.; Roslikov, V. E. [Russian Academy of Sciences, Omsk Scientific Center, Siberian Branch (Russian Federation)

    2017-01-15

    The oxidation of mesoporous silicon in a double-layer “macroporous silicon–mesoporous silicon” structure is studied. The morphology and dielectric properties of the buried insulating layer are investigated using electron microscopy, ellipsometry, and electrical measurements. Specific defects (so-called spikes) are revealed between the oxidized macropore walls in macroporous silicon and the oxidation crossing fronts in mesoporous silicon. It is found that, at an initial porosity of mesoporous silicon of 60%, three-stage thermal oxidation leads to the formation of buried silicon-dioxide layers with an electric-field breakdown strength of E{sub br} ~ 10{sup 4}–10{sup 5} V/cm. Multilayered “porous silicon-on-insulator” structures are shown to be promising for integrated chemical micro- and nanosensors.

  16. Emerging heterogeneous integrated photonic platforms on silicon

    Directory of Open Access Journals (Sweden)

    Fathpour Sasan

    2015-05-01

    Full Text Available Silicon photonics has been established as a mature and promising technology for optoelectronic integrated circuits, mostly based on the silicon-on-insulator (SOI waveguide platform. However, not all optical functionalities can be satisfactorily achieved merely based on silicon, in general, and on the SOI platform, in particular. Long-known shortcomings of silicon-based integrated photonics are optical absorption (in the telecommunication wavelengths and feasibility of electrically-injected lasers (at least at room temperature. More recently, high two-photon and free-carrier absorptions required at high optical intensities for third-order optical nonlinear effects, inherent lack of second-order optical nonlinearity, low extinction ratio of modulators based on the free-carrier plasma effect, and the loss of the buried oxide layer of the SOI waveguides at mid-infrared wavelengths have been recognized as other shortcomings. Accordingly, several novel waveguide platforms have been developing to address these shortcomings of the SOI platform. Most of these emerging platforms are based on heterogeneous integration of other material systems on silicon substrates, and in some cases silicon is integrated on other substrates. Germanium and its binary alloys with silicon, III–V compound semiconductors, silicon nitride, tantalum pentoxide and other high-index dielectric or glass materials, as well as lithium niobate are some of the materials heterogeneously integrated on silicon substrates. The materials are typically integrated by a variety of epitaxial growth, bonding, ion implantation and slicing, etch back, spin-on-glass or other techniques. These wide range of efforts are reviewed here holistically to stress that there is no pure silicon or even group IV photonics per se. Rather, the future of the field of integrated photonics appears to be one of heterogenization, where a variety of different materials and waveguide platforms will be used for

  17. Vapor phase epitaxy of silicon on meso porous silicon for deposition on economical substrate and low cost photovoltaic application

    International Nuclear Information System (INIS)

    Quoizola, S.

    2003-01-01

    The silicon is more and more used in the industry. Meanwhile the production cost is a problem to solve to develop the photovoltaic cells production. This thesis presents a new technology based on the use of a meso-porous silicon upper layer,to grow the active silicon layer of 50 μm width. The photovoltaic cell is then realized, the device is removed and placed on a low cost substrate. The silicon substrate of beginning can be used again after cleaning. The first chapter presents the operating and the characteristics of the silicon photovoltaic cell. The second chapter is devoted to the growth technique, the vapor phase epitaxy, and the third chapter to the epitaxy layer. The chapter four deals with the porous silicon and the structure chosen in this study. The chapter five is devoted to the characterization of the epitaxy layer on porous silicon. The photovoltaic cells realized on these layers are presented in the last chapter. (A.L.B.)

  18. Next generation structural silicone glazing

    Directory of Open Access Journals (Sweden)

    Charles D. Clift

    2015-06-01

    Full Text Available This paper presents an advanced engineering evaluation, using nonlinear analysis of hyper elastic material that provides significant improvement to structural silicone glazing (SSG design in high performance curtain wall systems. Very high cladding wind pressures required in hurricane zones often result in bulky SSG profile dimensions. Architectural desire for aesthetically slender curtain wall framing sight-lines in combination with a desire to reduce aluminium usage led to optimization of silicone material geometry for better stress distribution.To accomplish accurate simulation of predicted behaviour under structural load, robust stress-strain curves of the silicone material are essential. The silicone manufacturer provided physical property testing via a specialized laboratory protocol. A series of rigorous curve fit techniques were then made to closely model test data in the finite element computer analysis that accounts for nonlinear strain of hyper elastic silicone.Comparison of this advanced design technique to traditional SSG design highlights differences in stress distribution contours in the silicone material. Simplified structural engineering per the traditional SSG design method does not provide accurate forecasting of material and stress optimization as shown in the advanced design.Full-scale specimens subject to structural load testing were performed to verify the design capacity, not only for high wind pressure values, but also for debris impact per ASTM E1886 and ASTM E1996. Also, construction of the test specimens allowed development of SSG installation techniques necessitated by the unique geometry of the silicone profile. Finally, correlation of physical test results with theoretical simulations is made, so evaluation of design confidence is possible. This design technique will introduce significant engineering advancement to the curtain wall industry.

  19. Chemical changes in DMP1-null murine bone & silica based pecvd coatings for titanium implant osseoapplications

    Science.gov (United States)

    Maginot, Megen

    In order to improve clinical outcomes in bone-implant systems, a thorough understanding of both local bone chemistry and implant surface chemistry is necessary. This study consists, therefore, of two main parts: one focused on determining the nature of the changes in bone chemistry in a DMP1-null transgenic disease model and the other on the development of amorphous silica-based coatings for potential use as titanium bone implant coatings. For the study of bone mineral in the DMP1 transgenic model, which is known to have low serum phosphate levels, transgenic DMP1-null and wild type mice were fed a high phosphate diet, sacrificed, and had their long bone harvested. This bone was characterized using SEM, FTIR, microCT and XANES and compared to DMP1-null and wild type control groups to assess the therapeutic effect of high Pi levels on the phenotype and the role of DMP1 in mineralization in vivo. Findings suggest that though the high phosphate diet results in restoring serum phosphate levels, it does not completely rescue the bone mineral phenotype at an ultrastructural level and implicates DMP1 in phosphate nucleation. Since plasma enhanced chemical vapor deposition (PECVD) silica like coatings have not previously been fabricated for use in oessoapplications, the second part of this study initially focused on the characterization of novel SiOx chemistries fabricated via a chemical vapor deposition process that were designed specifically to act as bioactive coatings with a loose, hydrogenated structure. These coatings were then investigated for their potential initial stage response to bone tissue through immersion in a simulated body fluid and through the culture of MC3T3 cells on the coating surfaces. Coating surfaces were characterized by SEM, FTIR, contact angle measurements, and XANES. Coating dissolution and ionic release were also investigated by ICP-OES. Findings suggest that some SiOx chemistries may form a bioactive coating while more highly substituted

  20. Stable configurations of graphene on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Javvaji, Brahmanandam; Shenoy, Bhamy Maithry [Department of Aerospace Engineering, Indian Institute of Science, Bangalore 560012 (India); Mahapatra, D. Roy, E-mail: droymahapatra@aero.iisc.ernet.in [Department of Aerospace Engineering, Indian Institute of Science, Bangalore 560012 (India); Ravikumar, Abhilash [Department of Metallurgical and Materials Engineering, National Institute of Technology Karnataka, Surathkal 575025 (India); Hegde, G.M. [Center for Nano Science and Engineering, Indian Institute of Science, Bangalore 560012 (India); Rizwan, M.R. [Department of Metallurgical and Materials Engineering, National Institute of Technology Karnataka, Surathkal 575025 (India)

    2017-08-31

    Highlights: • Simulations of epitaxial growth process for silicon–graphene system is performed. • Identified the most favourable orientation of graphene sheet on silicon substrate. • Atomic local strain due to the silicon–carbon bond formation is analyzed. - Abstract: Integration of graphene on silicon-based nanostructures is crucial in advancing graphene based nanoelectronic device technologies. The present paper provides a new insight on the combined effect of graphene structure and silicon (001) substrate on their two-dimensional anisotropic interface. Molecular dynamics simulations involving the sub-nanoscale interface reveal a most favourable set of temperature independent orientations of the monolayer graphene sheet with an angle of ∽15° between its armchair direction and [010] axis of the silicon substrate. While computing the favorable stable orientations, both the translation and the rotational vibrations of graphene are included. The possible interactions between the graphene atoms and the silicon atoms are identified from their coordination. Graphene sheet shows maximum bonding density with bond length 0.195 nm and minimum bond energy when interfaced with silicon substrate at 15° orientation. Local deformation analysis reveals probability distribution with maximum strain levels of 0.134, 0.047 and 0.029 for 900 K, 300 K and 100 K, respectively in silicon surface for 15° oriented graphene whereas the maximum probable strain in graphene is about 0.041 irrespective of temperature. Silicon–silicon dimer formation is changed due to silicon–carbon bonding. These results may help further in band structure engineering of silicon–graphene lattice.