WorldWideScience

Sample records for relaxed nano-epitaxy gan

  1. Thermal Annealing induced relaxation of compressive strain in porous GaN structures

    KAUST Repository

    Ben Slimane, Ahmed

    2012-01-01

    The effect of annealing on strain relaxation in porous GaN fabricated using electroless chemical etching is presented. The Raman shift of 1 cm-1 in phonon frequency of annealed porous GaN with respect to as-grown GaN corresponds to a relaxation of compressive strain by 0.41 ± 0.04 GPa. The strain relief promises a marked reduction in threading dislocation for subsequent epitaxial growth.

  2. Fabrication of p-type porous GaN on silicon and epitaxial GaN

    OpenAIRE

    Bilousov, Oleksandr V.; Geaney, Hugh; Carvajal, Joan J.; Zubialevich, Vitaly Z.; Parbrook, Peter J.; Giguere, A.; Drouin, D.; Diaz, Francesc; Aguilo, Magdalena; O'Dwyer, Colm

    2013-01-01

    Porous GaN layers are grown on silicon from gold or platinum catalyst seed layers, and self-catalyzed on epitaxial GaN films on sapphire. Using a Mg-based precursor, we demonstrate p-type doping of the porous GaN. Electrical measurements for p-type GaN on Si show Ohmic and Schottky behavior from gold and platinum seeded GaN, respectively. Ohmicity is attributed to the formation of a Ga2Au intermetallic. Porous p-type GaN was also achieved on epitaxial n-GaN on sapphire, and transport measurem...

  3. Lateral epitaxial overgrowth of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Yongjin; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here the lateral epitaxial overgrowth (LEO) of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy (MBE) growth with radio frequency nitrogen plasma as a gas source. Two kinds of GaN nanostructures are defined by electron beam lithography and realized on a GaN substrate by fast atom beam etching. The epitaxial growth of GaN by MBE is performed on the prepared GaN template, and the selective growth of GaN takes place with the assistance of GaN nanostructures. The LEO of GaN produces novel GaN epitaxial structures which are dependent on the shape and the size of the processed GaN nanostructures. Periodic GaN hexagonal pyramids are generated inside the air holes, and GaN epitaxial strips with triangular section are formed in the grating region. This work provides a promising way for producing novel GaN-based devices by the LEO of GaN using the MBE technique

  4. Spin injection in epitaxial MnGa(111)/GaN(0001) heterostructures

    Science.gov (United States)

    Zube, Christian; Malindretos, Joerg; Watschke, Lars; Zamani, Reza R.; Disterheft, David; Ulbrich, Rainer G.; Rizzi, Angela; Iza, Michael; Keller, Stacia; DenBaars, Steven P.

    2018-01-01

    Ferromagnetic MnGa(111) layers were grown on GaN(0001) by molecular beam epitaxy. MnGa/GaN Schottky diodes with a doping level of around n = 7 × 1018 cm-3 were fabricated to achieve single step tunneling across the metal/semiconductor junction. Below the GaN layer, a thin InGaN quantum well served as optical spin detector ("spin-LED"). For electron spin injection from MnGa into GaN and subsequent spin transport through a 45 nm (70 nm) thick GaN layer, we observe a circular polarization of 0.3% (0.2%) in the electroluminescence at 80 K. Interface mixing, spin polarization losses during electrical transport in the GaN layer, and spin relaxation in the InGaN quantum well are discussed in relation with the low value of the optically detected spin polarization.

  5. Lattice-Symmetry-Driven Epitaxy of Hierarchical GaN Nanotripods

    KAUST Repository

    Wang, Ping

    2017-01-18

    Lattice-symmetry-driven epitaxy of hierarchical GaN nanotripods is demonstrated. The nanotripods emerge on the top of hexagonal GaN nanowires, which are selectively grown on pillar-patterned GaN templates using molecular beam epitaxy. High-resolution transmission electron microscopy confirms that two kinds of lattice-symmetry, wurtzite (wz) and zinc-blende (zb), coexist in the GaN nanotripods. Periodical transformation between wz and zb drives the epitaxy of the hierarchical nanotripods with N-polarity. The zb-GaN is formed by the poor diffusion of adatoms, and it can be suppressed by improving the ability of the Ga adatoms to migrate as the growth temperature increased. This controllable epitaxy of hierarchical GaN nanotripods allows quantum dots to be located at the phase junctions of the nanotripods and nanowires, suggesting a new recipe for multichannel quantum devices.

  6. Impact of GaN transition layers in the growth of GaN epitaxial layer on silicon

    International Nuclear Information System (INIS)

    Zhao Danmei; Zhao Degang; Jiang Desheng; Liu Zongshun; Zhu Jianjun; Chen Ping; Liu Wei; Li Xiang; Shi Ming

    2015-01-01

    A method for growing GaN epitaxial layer on Si (111) substrate is investigated. Due to the large lattice mismatch between GaN and AlN, GaN grown directly above an AlN buffer layer on the Si substrate turns out to be of poor quality. In this study, a GaN transition layer is grown additionally on the AlN buffer before the GaN epitaxial growth. By changing the growth conditions of the GaN transition layer, we can control the growth and merging of islands and control the transfer time from 3D to 2D growth mode. With this method, the crystalline quality of the GaN epitaxial layer can be improved and the crack density is reduced. Here, we have investigated the impact of a transition layer on the crystalline quality and stress evolution of a GaN epitaxial layer with methods of X-ray diffraction, optical microscopy and in situ reflectivity trace. With the increasing thickness of transition layer, the crack decreases and the crystalline quality is improved. But when the transition layer exceeds a critical thickness, the crystalline quality of the epilayer becomes lower and the crack density increases. (paper)

  7. Interaction of GaN epitaxial layers with atomic hydrogen

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S

    2004-08-15

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H{sub 2} plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states.

  8. Interaction of GaN epitaxial layers with atomic hydrogen

    International Nuclear Information System (INIS)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S.

    2004-01-01

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H 2 plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states

  9. GaN epitaxial layers grown on multilayer graphene by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  10. Epitaxial GaN around ZnO nanopillars

    Energy Technology Data Exchange (ETDEWEB)

    Fikry, Mohamed; Scholz, Ferdinand [Institut fuer Optoelektronik, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany); Madel, Manfred; Tischer, Ingo; Thonke, Klaus [Institut fuer Quantenmaterie, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany)

    2011-07-01

    We report on an investigation of the epitaxial quality of GaN layers overgrown coaxially around ZnO nanopillars. In a first step, regularly arranged ZnO nanopillars were grown using pre-patterning by e-beam lithography or self-organized hexagonal polystyrene sphere masks. Alternatively, ZnO pillars were also successfully grown on top of GaN pyramids. In a second step, GaN layers were grown around the ZnO pillars by Metal Organic Vapor Phase Epitaxy. At growth temperatures above 800 C, the ZnO pillars are dissolved by the hydrogen carrier gas leaving hollow GaN nanotubes. Characterization involved photoluminescence (PL), scanning electron microscopy and cathodoluminescence. The fair quality of the deposited GaN layers is confirmed by a sharp low temperature PL peak at 3.48 eV attributed to the donor bound exciton emission. Further peaks at 3.42 eV and 3.29 eV show the possible existence of basal plane and prismatic stacking faults.

  11. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    Science.gov (United States)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  12. Stress relaxed nanoepitaxy GaN for growth of phosphor-free indium-rich nanostructures incorporated in apple-white LEDs

    Energy Technology Data Exchange (ETDEWEB)

    Soh, C.B.; Liu, W.; Ang, N.S.S.; Yong, A.M.; Lai, S.C.; Teng, J.H. [Institute of Materials Research and Engineering, Agency for Science, Technology and Research, 3 Research Link, Singapore 117602 (Singapore); Chua, S.J. [Institute of Materials Research and Engineering, Agency for Science, Technology and Research, 3 Research Link, Singapore 117602 (Singapore); Singapore-MIT Alliance, 4 Engineering Drive 3, Singapore 117576 (Singapore)

    2010-06-15

    Phosphor-free apple-white light emitting diodes (LEDs) have been fabricated using dual stacked InGaN/GaN multiple quantum wells (MQWs) comprising a lower set of long wavelength emitting indium rich nanostructures incorporated in MQWs with an upper set of cyan-green emitting MQWs. The LEDs were grown on nano-epitaxial lateral overgrown (ELO) GaN template formed by regrowth of GaN over SiO{sub 2} film patterned using an anodic alumina oxide mask with holes of {proportional_to}125 nm diameter and a period of 250 nm. The MQWs grown on the nano-ELO GaN templates show stronger photoluminescence intensity and a higher activation energy for their peak emission. A minimal shift in the electroluminescence (EL) spectra with higher injection current applied for LEDs grown on ELO-GaN compared to conventional GaN template, suggests a reduction in strain of the quantum well layers on the nano-ELO GaN template. An enhancement in the light extraction efficiency is also achieved with multiple scattering via the embedded SiO{sub 2} mask. (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  13. The influence of Fe doping on the surface topography of GaN epitaxial material

    International Nuclear Information System (INIS)

    Cui Lei; Yin Haibo; Jiang Lijuan; Wang Quan; Feng Chun; Xiao Hongling; Wang Cuimei; Wang Xiaoliang; Gong Jiamin; Zhang Bo; Li Baiquan; Wang Zhanguo

    2015-01-01

    Fe doping is an effective method to obtain high resistivity GaN epitaxial material. But in some cases, Fe doping could result in serious deterioration of the GaN material surface topography, which will affect the electrical properties of two dimensional electron gas (2DEG) in HEMT device. In this paper, the influence of Fe doping on the surface topography of GaN epitaxial material is studied. The results of experiments indicate that the surface topography of Fe-doped GaN epitaxial material can be effectively improved and the resistivity could be increased after increasing the growth rate of GaN materials. The GaN material with good surface topography can be manufactured when the Fe doping concentration is 9 × 10 19 cm −3 . High resistivity GaN epitaxial material which is 1 × 10 9 Ω·cm is achieved. (paper)

  14. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  15. Improvement of optical quality of semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire by in-situ epitaxial lateral overgrowth

    Science.gov (United States)

    Monavarian, Morteza; Izyumskaya, Natalia; Müller, Marcus; Metzner, Sebastian; Veit, Peter; Can, Nuri; Das, Saikat; Özgür, Ümit; Bertram, Frank; Christen, Jürgen; Morkoç, Hadis; Avrutin, Vitaliy

    2016-04-01

    Among the major obstacles for development of non-polar and semipolar GaN structures on foreign substrates are stacking faults which deteriorate the structural and optical quality of the material. In this work, an in-situ SiNx nano-network has been employed to achieve high quality heteroepitaxial semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire with reduced stacking fault density. This approach involves in-situ deposition of a porous SiNx interlayer on GaN that serves as a nano-mask for the subsequent growth, which starts in the nanometer-sized pores (window regions) and then progresses laterally as well, as in the case of conventional epitaxial lateral overgrowth (ELO). The inserted SiNx nano-mask effectively prevents the propagation of defects, such as dislocations and stacking faults, in the growth direction and thus reduces their density in the overgrown layers. The resulting semipolar (11 2 ¯ 2 ) GaN layers exhibit relatively smooth surface morphology and improved optical properties (PL intensity enhanced by a factor of 5 and carrier lifetimes by 35% to 85% compared to the reference semipolar (11 2 ¯ 2 ) GaN layer) which approach to those of the c-plane in-situ nano-ELO GaN reference and, therefore, holds promise for light emitting and detecting devices.

  16. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs.

    Science.gov (United States)

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-09-02

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This "compliant" buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 10(5) cm(-2). In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6" wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors.

  17. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-27

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  18. Atomic layer epitaxy of ZnO for applications in molecular beam epitaxy growth of GaN and InGaN

    International Nuclear Information System (INIS)

    Godlewski, M.; Szczerbakow, A.; Ivanov, V. Yu.; Barski, A.; Goldys, E.M.

    2000-01-01

    We report the successful atomic layer epitaxy growth of thin ZnO films and their use for GaN and InGaN epitaxy. The properties of ZnO epilayers, obtained by four different procedures, are analysed, as well as of GaN and InGaN films grown on ZnO-coated Si and GaAs by MBE. (author)

  19. Fabrication of GaN epitaxial thin film on InGaZnO4 single-crystalline buffer layer

    International Nuclear Information System (INIS)

    Shinozaki, Tomomasa; Nomura, Kenji; Katase, Takayoshi; Kamiya, Toshio; Hirano, Masahiro; Hosono, Hideo

    2010-01-01

    Epitaxial (0001) films of GaN were grown on (111) YSZ substrates using single-crystalline InGaZnO 4 (sc-IGZO) lattice-matched buffer layers by molecular beam epitaxy with a NH 3 source. The epitaxial relationships are (0001) GaN //(0001) IGZO //(111) YSZ in out-of-plane and [112-bar 0] GaN //[112-bar 0] IGZO //[11-bar 0] YSZ in in-plane. This is different from those reported for GaN on many oxide crystals; the in-plane orientation of GaN crystal lattice is rotated by 30 o with respect to those of oxide substrates except for ZnO. Although these GaN films showed relatively large tilting and twisting angles, which would be due to the reaction between GaN and IGZO, the GaN films grown on the sc-IGZO buffer layers exhibited stronger band-edge photoluminescence than GaN grown on a low-temperature GaN buffer layer.

  20. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    Science.gov (United States)

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  1. Large lattice relaxation deep levels in neutron-irradiated GaN

    International Nuclear Information System (INIS)

    Li, S.; Zhang, J.D.; Beling, C.D.; Wang, K.; Wang, R.X.; Gong, M.; Sarkar, C.K.

    2005-01-01

    Deep level transient spectroscopy (DLTS) and deep level optical spectroscopy (DLOS) measurements have been carried out in neutron-irradiated n-type hydride-vapor-phase-epitaxy-grown GaN. A defect center characterized by a DLTS line, labeled as N1, is observed at E C -E T =0.17 eV. Another line, labeled as N2, at E C -E T =0.23 eV, seems to be induced at the same rate as N1 under irradiation and may be identified with E1. Other defects native to wurtzite GaN such as the C and E2 lines appear to enhance under neutron irradiation. The DLOS results show that the defects N1 and N2 have large Frank-Condon shifts of 0.64 and 0.67 eV, respectively, and hence large lattice relaxations. The as-grown and neutron-irradiated samples all exhibit the persistent photoconductivity effect commonly seen in GaN that may be attributed to DX centers. The concentration of the DX centers increases significantly with neutron dosage and is helpful in sustaining sample conductivity at low temperatures, thus making possible DLTS measurements on N1 an N2 in the radiation-induced deep-donor defect compensated material which otherwise are prevented by carrier freeze-out

  2. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Chen, Yen-Liang; Lo, Ikai; Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi; Tsai, Jenn-Kai; Schuber, Ralf; Schaadt, Daniel

    2012-01-01

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69″ to 59.43″ for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 × 5 μm 2 with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: ► The samples were grown by plasma-assisted molecular beam epitaxy. ► The GaN epilayer was grown on sapphire substrate. ► The samples were characterized by X-ray diffraction and atomic force microscopy. ► The sample quality was improved by gradient buffer layer.

  3. GaN Bulk Growth and Epitaxy from Ca-Ga-N Solutions, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — This SBIR proposal addresses the liquid phase epitaxy (LPE) of gallium nitride (GaN) films using nitrogen-enriched metal solutions. Growth of GaN from solutions...

  4. Optical and Structural Characterizations of GaN Nano structures

    International Nuclear Information System (INIS)

    Shekari, L.; Abu Hassan, H.; Thahab, S.M.

    2011-01-01

    We have grown wurtzite GaN nano wires (NWs) on polished silicon (Si) either with or without Au as catalyst, using commercial GaN powder by thermal evaporation in an atmosphere of argon (Ar) gas. Structural and optical characterizations were performed using high resolution X-ray diffraction (HR-XRD), scanning electron microscopy (SEM), photoluminescence (PL) and energy-dispersive X-ray spectroscopy (EDX) spectroscopy. Results indicate that the nano wires are of single-crystal hexagonal GaN and the nano wires on Si with Au catalyst are more oriented than those without Au catalyst; and using catalyst make the NWs grow much faster and quite well-ordered. The compositional quality of the grown nano wires on the substrates are mostly same, however the nano wires on the Au coated silicon are of low density, while the nano wires on the Si are of high density. (author)

  5. Thermal Annealing induced relaxation of compressive strain in porous GaN structures

    KAUST Repository

    Ben Slimane, Ahmed; Najar, Adel; Ng, Tien Khee; Ooi, Boon S.

    2012-01-01

    The effect of annealing on strain relaxation in porous GaN fabricated using electroless chemical etching is presented. The Raman shift of 1 cm-1 in phonon frequency of annealed porous GaN with respect to as-grown GaN corresponds to a relaxation

  6. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yen-Liang [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Lo, Ikai, E-mail: ikailo@mail.phys.nsysu.edu.tw [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Tsai, Jenn-Kai [Department of Electronics Engineering, National Formosa University, Hu-Wei, Yun-Lin County 63208, Taiwan, ROC (China); Schuber, Ralf; Schaadt, Daniel [Institute of Applied Physics/DFG-Center for Functional Nanostructures (CFN), Karlsruhe Institute of Technology (KIT), Karlsruhe (Germany)

    2012-07-31

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69 Double-Prime to 59.43 Double-Prime for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 Multiplication-Sign 5 {mu}m{sup 2} with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: Black-Right-Pointing-Pointer The samples were grown by plasma-assisted molecular beam epitaxy. Black-Right-Pointing-Pointer The GaN epilayer was grown on sapphire substrate. Black-Right-Pointing-Pointer The samples were characterized by X-ray diffraction and atomic force microscopy. Black-Right-Pointing-Pointer The sample quality was improved by gradient buffer layer.

  7. Lattice-Symmetry-Driven Epitaxy of Hierarchical GaN Nanotripods

    KAUST Repository

    Wang, Ping; Wang, Xinqiang; Wang, Tao; Tan, Chih Shan; Sheng, Bowen; Sun, Xiaoxiao; Li, Mo; Rong, Xin; Zheng, Xiantong; Chen, Zhaoying; Yang, Xuelin; Xu, Fujun; Qin, Zhixin; Zhang, Jian; Zhang, Xixiang; Shen, Bo

    2017-01-01

    -resolution transmission electron microscopy confirms that two kinds of lattice-symmetry, wurtzite (wz) and zinc-blende (zb), coexist in the GaN nanotripods. Periodical transformation between wz and zb drives the epitaxy of the hierarchical nanotripods with N

  8. Characterization of GaN quantum discs embedded in AlxGa1-xN nanocolumns grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ristic, J.; Calleja, E.; Sanchez-Garcia, M.A.; Ulloa, J.M.; Sanchez-Paramo, J.; Calleja, J.M.; Jahn, U.; Trampert, A.; Ploog, K.H.

    2003-01-01

    GaN quantum discs embedded in AlGaN nanocolumns with outstanding crystal quality and very high luminescence efficiency were grown on Si(111) substrates by plasma-assisted molecular beam epitaxy under highly N-rich conditions. Nanocolumns with diameters in the range of 30-150 nm, with no traces of any extended defects, as confirmed by transmission electron microscopy, were obtained. GaN quantum discs, 2 and 4 nm thick, were grown embedded in AlGaN nanocolumns by switching on and off the Al flux during variable time spans. Strong optical emissions from GaN quantum discs, observed by photoluminescence and cathodoluminescence measurements, reveal quantum confinement effects. While Raman data indicate that the nanocolumns are fully relaxed, the quantum discs appear to be fully strained. These nanostructures have a high potential for application in efficient vertical cavity emitters

  9. Highly c-axis oriented growth of GaN film on sapphire (0001 by laser molecular beam epitaxy using HVPE grown GaN bulk target

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2013-09-01

    Full Text Available Growth temperature dependant surface morphology and crystalline properties of the epitaxial GaN layers grown on pre-nitridated sapphire (0001 substrates by laser molecular beam epitaxy (LMBE were investigated in the range of 500–750 °C. The grown GaN films were characterized using high resolution x-ray diffraction, atomic force microscopy (AFM, micro-Raman spectroscopy, and secondary ion mass spectroscopy (SIMS. The x-ray rocking curve full width at a half maximum (FWHM value for (0002 reflection dramatically decreased from 1582 arc sec to 153 arc sec when the growth temperature was increased from 500 °C to 600 °C and the value further decreased with increase of growth temperature up to 720 °C. A highly c-axis oriented GaN epitaxial film was obtained at 720 °C with a (0002 plane rocking curve FWHM value as low as 102 arc sec. From AFM studies, it is observed that the GaN grain size also increased with increasing growth temperature and flat, large lateral grains of size 200-300 nm was obtained for the film grown at 720 °C. The micro-Raman spectroscopy studies also exhibited the high-quality wurtzite nature of GaN film grown on sapphire at 720 °C. The SIMS measurements revealed a non-traceable amount of background oxygen impurity in the grown GaN films. The results show that the growth temperature strongly influences the surface morphology and crystalline quality of the epitaxial GaN films on sapphire grown by LMBE.

  10. Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.

    Science.gov (United States)

    Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena

    2017-09-15

    In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.

  11. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    Science.gov (United States)

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  12. Epitaxial condition and polarity in GaN grown on a HfN-buffered Si(111) wafer

    Science.gov (United States)

    Xu, X.; Armitage, R.; Shinkai, Satoko; Sasaki, Katsutaka; Kisielowski, C.; Weber, E. R.

    2005-05-01

    Single-crystal GaN thin films have been deposited epitaxially on a HfN-buffered Si(111) substrates by molecular-beam epitaxy. The microstructural and compositional characteristics of the films were studied in detail by transmission electron microscopy (TEMs). Cross-sectional TEM investigations have revealed the crystallographic orientation relationship in different GaN /HfN/Si layers. GaN film polarity is studied by conventional TEM and convergent beam electron diffraction simulations, and the results show that the GaN film has a Ga polarity with relatively high density of inversion domains. Based on our observations, growth mechanisms related to the structural properties are discussed.

  13. Epitaxial GaN films by hyperthermal ion-beam nitridation of Ga droplets

    Energy Technology Data Exchange (ETDEWEB)

    Gerlach, J. W.; Ivanov, T.; Neumann, L.; Hoeche, Th.; Hirsch, D.; Rauschenbach, B. [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), D-04318 Leipzig (Germany)

    2012-06-01

    Epitaxial GaN film formation on bare 6H-SiC(0001) substrates via the process of transformation of Ga droplets into a thin GaN film by applying hyperthermal nitrogen ions is investigated. Pre-deposited Ga atoms in well defined amounts form large droplets on the substrate surface which are subsequently nitridated at a substrate temperature of 630 Degree-Sign C by a low-energy nitrogen ion beam from a constricted glow-discharge ion source. The Ga deposition and ion-beam nitridation process steps are monitored in situ by reflection high-energy electron diffraction. Ex situ characterization by x-ray diffraction and reflectivity techniques, Rutherford backscattering spectrometry, and electron microscopy shows that the thickness of the resulting GaN films depends on the various amounts of pre-deposited gallium. The films are epitaxial to the substrate, exhibit a mosaic like, smooth surface topography and consist of coalesced large domains of low defect density. Possible transport mechanisms of reactive nitrogen species during hyperthermal nitridation are discussed and the formation of GaN films by an ion-beam assisted process is explained.

  14. Three-dimensionally structured silicon as a substrate for the MOVPE growth of GaN nanoLEDs

    Energy Technology Data Exchange (ETDEWEB)

    Fuendling, Soenke; Li, Shunfeng; Soekmen, Uensal; Merzsch, Stephan; Peiner, Erwin; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig, Braunschweig (Germany); Hinze, Peter; Weimann, Thomas [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany); Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2009-06-15

    Three-dimensionally patterned Si(111) substrates are used to grow GaN based heterostructures by metalorganic vapour phase epitaxy, with the goal of fabricating well controlled, defect reduced GaN-based nanoLEDs. In contrast to other approaches to achieve GaN nanorods, we employed silicon substrates with deep etched nanopillars to control the GaN nanorods growth by varying the size and distance of the Si pillars. The small footprint of GaN nanorods grown on Si pillars minimise the influence of the lattice mismatched substrate and improve the material quality. For the Si pillars an inductively coupled plasma dry-etching process at cryogenic temperature has been developed. An InGaN/GaN multi quantum well (MQW) structure has been incorporated into the GaN nanorods. We found GaN nanostructures grown on top of the silicon pillars with a pyramidal shape. This shape results from a competitive growth on different facets as well as from surface diffusion of the growth species. Spatially resolved optical properties of the structures are analysed by cathodoluminescence. Strongly spatial-dependent MQW emission spectra indicate the growth rate differences on top of the rods. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    International Nuclear Information System (INIS)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah; Patriarche, Gilles; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Heer, Walt A. de; Berger, Claire

    2016-01-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  16. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    Energy Technology Data Exchange (ETDEWEB)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Patriarche, Gilles [CNRS, Laboratoire de Photonique et de Nanostructures, Route de Nozay, 91460 Marcoussis (France); Sundaram, Suresh; El Gmili, Youssef [CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Salvestrini, Jean-Paul [Université de Lorraine, CentraleSupélec, LMOPS, EA4423, 57070 Metz (France); Heer, Walt A. de [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Berger, Claire [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS, Institut Néel, BP166, 38042 Grenoble Cedex 9 (France)

    2016-03-07

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  17. Real time ellipsometry for monitoring plasma-assisted epitaxial growth of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Losurdo, Maria [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy)]. E-mail: maria.losurdo@ba.imip.cnr.it; Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Capezzuto, Pio [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Brown, April S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Kim, Tong-Ho [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Choi, Soojeong [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States)

    2006-10-31

    GaN is grown on Si-face 4H-SiC(0 0 0 1) substrates using remote plasma-assisted methods including metalorganic chemical vapour deposition (RP-MOCVD) and molecular beam epitaxy (MBE). Real time spectroscopic ellipsometry is used for monitoring all the steps of substrate pre-treatments and the heteroepitaxial growth of GaN on SiC. Our characterization emphasis is on understanding the nucleation mechanism and the GaN growth mode, which depend on the SiC surface preparation.

  18. Fabrication of GaN with buried tungsten (W) structures using epitaxial lateral overgrowth (ELO) via LP-MOVPE

    International Nuclear Information System (INIS)

    Miyake, Hideto; Yamaguchi, Motoo; Haino, Masahiro

    2000-01-01

    A buried tungsten (W) mask structure with GaN is successfully obtained by epitaxial lateral overgrowth (ELO) technique via low-pressure metalorganic vapor phase epitaxy (LP-MOVPE). The selectivity of GaN growth on the window region vs. the mask region is good. An underlying GaN with a striped W metal mask is easily decomposed above 500 C by the W catalytic effect, by which radical hydrogen is reacted with GaN. It is difficult to bury the W mask because severe damage occurs in the GaN epilayer under the mask. It is found that an underlying AlGaN/GaN layer with a narrow W stripe mask width (mask/window - 2/2 microm) leads the ELO GaN layer to be free from damage, resulting in an excellent W-buried structure

  19. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    Science.gov (United States)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  20. Development of Epitaxial GaN Films for RF Communications, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — The primary objective of this SBIR is to develop epitaxial GaN films with threading dislocation density less than 10^6 cm^-2. We propose an innovative approach...

  1. N-polar GaN epitaxy and high electron mobility transistors

    International Nuclear Information System (INIS)

    Wong, Man Hoi; Keller, Stacia; Dasgupta, Nidhi Sansaptak; Denninghoff, Daniel J; Kolluri, Seshadri; Brown, David F; Lu, Jing; Fichtenbaum, Nicholas A; Ahmadi, Elaheh; DenBaars, Steven P; Speck, James S; Mishra, Umesh K; Singisetti, Uttam; Chini, Alessandro; Rajan, Siddharth

    2013-01-01

    This paper reviews the progress of N-polar (0001-bar) GaN high frequency electronics that aims at addressing the device scaling challenges faced by GaN high electron mobility transistors (HEMTs) for radio-frequency and mixed-signal applications. Device quality (Al, In, Ga)N materials for N-polar heterostructures are developed using molecular beam epitaxy and metalorganic chemical vapor deposition. The principles of polarization engineering for designing N-polar HEMT structures will be outlined. The performance, scaling behavior and challenges of microwave power devices as well as highly-scaled depletion- and enhancement-mode devices employing advanced technologies including self-aligned processes, n+ (In,Ga)N ohmic contact regrowth and high aspect ratio T-gates will be discussed. Recent research results on integrating N-polar GaN with Si for prospective novel applications will also be summarized. (invited review)

  2. Interface relaxation and band gap shift in epitaxial layers

    Directory of Open Access Journals (Sweden)

    Ziming Zhu

    2012-12-01

    Full Text Available Although it is well known that the interface relaxation plays the crucial role for the electronic properties in semiconductor epitaxial layers, there is lack of a clear definition of relationship between interfacial bond-energy variation and interface bond-nature-factor (IBNF in epitaxial layers before and after relaxation. Here we establish an analytical method to shed light on the relationship between the IBNF and the bond-energy change, as well as the relation with band offset in epitaxial layers from the perspective of atomic-bond-relaxation consideration and continuum mechanics. The theoretical predictions are consistent with the available evidences, which provide an atomistic understanding on underlying mechanism of interface effect in epitaxial nanostructures. Thus, it will be helpful for opening up to tailor physical-chemical properties of the epitaxial nanostructures to the desired specifications.

  3. Epitaxial growth of M-plane GaN on ZnO micro-rods by plasma-assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Shuo-Ting You

    2015-12-01

    Full Text Available We have studied the GaN grown on ZnO micro-rods by plasma-assisted molecular beam epitaxy. From the analyses of GaN microstructure grown on non-polar M-plane ZnO surface ( 10 1 ̄ 0 by scanning transmission electron microscope, we found that the ZnGa2O4 compound was formed at the M-plane hetero-interface, which was confirmed by polarization-dependent photoluminescence. We demonstrated that the M-plane ZnO micro-rod surface can be used as an alternative substrate to grow high quality M-plane GaN epi-layers.

  4. GaN based nanorods for solid state lighting

    Energy Technology Data Exchange (ETDEWEB)

    Li Shunfeng; Waag, Andreas [Institute of Semiconductor Technology, Braunschweig University of Technology, 38106 Braunschweig (Germany)

    2012-04-01

    In recent years, GaN nanorods are emerging as a very promising novel route toward devices for nano-optoelectronics and nano-photonics. In particular, core-shell light emitting devices are thought to be a breakthrough development in solid state lighting, nanorod based LEDs have many potential advantages as compared to their 2 D thin film counterparts. In this paper, we review the recent developments of GaN nanorod growth, characterization, and related device applications based on GaN nanorods. The initial work on GaN nanorod growth focused on catalyst-assisted and catalyst-free statistical growth. The growth condition and growth mechanisms were extensively investigated and discussed. Doping of GaN nanorods, especially p-doping, was found to significantly influence the morphology of GaN nanorods. The large surface of 3 D GaN nanorods induces new optical and electrical properties, which normally can be neglected in layered structures. Recently, more controlled selective area growth of GaN nanorods was realized using patterned substrates both by metalorganic chemical vapor deposition (MOCVD) and by molecular beam epitaxy (MBE). Advanced structures, for example, photonic crystals and DBRs are meanwhile integrated in GaN nanorod structures. Based on the work of growth and characterization of GaN nanorods, GaN nanoLEDs were reported by several groups with different growth and processing methods. Core/shell nanoLED structures were also demonstrated, which could be potentially useful for future high efficient LED structures. In this paper, we will discuss recent developments in GaN nanorod technology, focusing on the potential advantages, but also discussing problems and open questions, which may impose obstacles during the future development of a GaN nanorod based LED technology.

  5. Preparation of freestanding GaN wafer by hydride vapor phase epitaxy on porous silicon

    Science.gov (United States)

    Wu, Xian; Li, Peng; Liang, Renrong; Xiao, Lei; Xu, Jun; Wang, Jing

    2018-05-01

    A freestanding GaN wafer was prepared on porous Si (111) substrate using hydride vapor phase epitaxy (HVPE). To avoid undesirable effects of the porous surface on the crystallinity of the GaN, a GaN seed layer was first grown on the Si (111) bare wafer. A pattern with many apertures was fabricated in the GaN seed layer using lithography and etching processes. A porous layer was formed in the Si substrate immediately adjacent to the GaN seed layer by an anodic etching process. A 500-μm-thick GaN film was then grown on the patterned GaN seed layer using HVPE. The GaN film was separated from the Si substrate through the formation of cracks in the porous layer caused by thermal mismatch stress during the cooling stage of the HVPE. Finally, the GaN film was polished to obtain a freestanding GaN wafer.

  6. GaN epilayers on nanopatterned GaN/Si(1 1 1) templates: Structural and optical characterization

    International Nuclear Information System (INIS)

    Wang, L.S.; Tripathy, S.; Wang, B.Z.; Chua, S.J.

    2006-01-01

    Template-based nanoscale epitaxy has been explored to realize high-quality GaN on Si(1 1 1) substrates. We have employed polystyrene-based nanosphere lithography to form the nano-hole array patterns on GaN/Si(1 1 1) template and then, subsequent regrowth of GaN is carried out by metalorganic chemical vapor deposition (MOCVD). During the initial growth stage of GaN on such nanopatterned substrates, we have observed formation of nanoislands with hexagonal pyramid shape due to selective area epitaxy. With further epitaxial regrowth, these nanoislands coalesce and form continuous GaN film. The overgrown GaN on patterned and non-patterned regions is characterized by high-resolution X-ray diffraction (HRXRD) and high-spatial resolution optical spectroscopic methods. Micro-photoluminescence (PL), micro-Raman scattering and scanning electron microscopy (SEM) have been used to assess the microstructural and optical properties of GaN. Combined PL and Raman data analyses show improved optical quality when compared to GaN simultaneously grown on non-patterned bulk Si(1 1 1). Such thicker GaN templates would be useful to achieve III-nitride-based opto- and electronic devices integrated on Si substrates

  7. Real time spectroscopic ellipsometry investigation of homoepitaxial GaN grown by plasma assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Tong-Ho; Choi, Soojeong; Wu, Pae; Brown, April [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Moto, Akihiro [Innovation Core SEI, Inc., 3235 Kifer Road, Santa Clara, CA 95051 (United States)

    2006-06-15

    The growth of GaN by plasma assisted molecular beam epitaxy on GaN template substrates (GaN on sapphire) is investigated with in-situ multi-channel spectroscopic ellipsometry. Growth is performed under various Ga/N flux ratios at growth temperatures in the range 710-780 C. The thermal roughening of the GaN template caused by decomposition of the surface is investigated through the temporal variation of the GaN pseudodielectric function over the temperature range of 650 C to 850 C. The structural, morphological, and optical properties are also discussed. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Ion Beam Assisted Deposition of Thin Epitaxial GaN Films.

    Science.gov (United States)

    Rauschenbach, Bernd; Lotnyk, Andriy; Neumann, Lena; Poppitz, David; Gerlach, Jürgen W

    2017-06-23

    The assistance of thin film deposition with low-energy ion bombardment influences their final properties significantly. Especially, the application of so-called hyperthermal ions (energy GaN thin films on (0001)-oriented 6H-SiC substrates at 700 °C. The films are studied in situ by reflection high energy electron diffraction, ex situ by X-ray diffraction, scanning tunnelling microscopy, and high-resolution transmission electron microscopy. It is demonstrated that the film growth mode can be controlled by varying the ion to atom ratio, where 2D films are characterized by a smooth topography, a high crystalline quality, low biaxial stress, and low defect density. Typical structural defects in the GaN thin films were identified as basal plane stacking faults, low-angle grain boundaries forming between w-GaN and z-GaN and twin boundaries. The misfit strain between the GaN thin films and substrates is relieved by the generation of edge dislocations in the first and second monolayers of GaN thin films and of misfit interfacial dislocations. It can be demonstrated that the low-energy nitrogen ion assisted molecular beam epitaxy is a technique to produce thin GaN films of high crystalline quality.

  9. In-situ measurement of the strain relaxation of GaN nanograins during X-ray irradiation

    International Nuclear Information System (INIS)

    Choe, Hyeokmin; Lee, Sanghwa; Sohn, Yuri; Kim, Chinkyo

    2008-01-01

    GaN nanograins were grown on a c-plane sapphire substrate and their strain relaxation due to X-ray irradiation was investigated in-situ by utilizing synchrotron xray scattering. The GaN nanograins were constantly exposed to the synchrotron X-ray and θ-2θ scans through the (002) Bragg peak of GaN were repeatedly carried out during the irradiation. The Bragg peak of the compressively strained GaN nanograins gradually shifted toward higher angle, which implies that the GaN nanograins in compressive strain experienced strain relaxation during X-ray irradiation. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Si Complies with GaN to Overcome Thermal Mismatches for the Heteroepitaxy of Thick GaN on Si.

    Science.gov (United States)

    Tanaka, Atsunori; Choi, Woojin; Chen, Renjie; Dayeh, Shadi A

    2017-10-01

    Heteroepitaxial growth of lattice mismatched materials has advanced through the epitaxy of thin coherently strained layers, the strain sharing in virtual and nanoscale substrates, and the growth of thick films with intermediate strain-relaxed buffer layers. However, the thermal mismatch is not completely resolved in highly mismatched systems such as in GaN-on-Si. Here, geometrical effects and surface faceting to dilate thermal stresses at the surface of selectively grown epitaxial GaN layers on Si are exploited. The growth of thick (19 µm), crack-free, and pure GaN layers on Si with the lowest threading dislocation density of 1.1 × 10 7 cm -2 achieved to date in GaN-on-Si is demonstrated. With these advances, the first vertical GaN metal-insulator-semiconductor field-effect transistors on Si substrates with low leakage currents and high on/off ratios paving the way for a cost-effective high power device paradigm on an Si CMOS platform are demonstrated. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    Energy Technology Data Exchange (ETDEWEB)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.; Dixit, V. K.; Oak, S. M.; Sharma, T. K., E-mail: tarun@rrcat.gov.in [Semiconductor Physics and Devices Laboratory, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India)

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  12. Integration and electrical properties of epitaxial LiNbO{sub 3} ferroelectric film on n-type GaN semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Hao Lanzhong, E-mail: hao_lanzhong@live.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Faculty of Science, China University of Petroleum, Tsingtao, Shandong 266555 (China); Zhu Jun, E-mail: junzhu@uestc.edu.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Liu Yunjie [Faculty of Science, China University of Petroleum, Tsingtao, Shandong 266555 (China); Wang Shuili; Zeng Huizhong; Liao Xiuwei; Liu Yingying; Lei Huawei; Zhang Ying; Zhang Wanli; Li Yanrong [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China)

    2012-01-31

    LiNbO{sub 3} (LNO) films were epitaxially grown on n-type GaN templates using pulsed laser deposition technique. The microstructures and electrical properties of the LNO/GaN heterostructure were characterized by x-ray diffraction, transmission electron microscope, and capacitance-voltage (C-V) measurements. The LNO films had two variants of grains rotated 60 Degree-Sign in-plane to each other. The epitaxial relationship of the respective variants could be built as [10-10]LNO//[1-210]GaN and [1-100]LNO//[11-20]GaN via 30 Degree-Sign in-plane rotation of the LNO film relative to the GaN layer. Interface analysis of the heterostructure demonstrated that two different epitaxial growth mechanisms vertical heteroepitaxy and lateral homoepitaxy, should happen at the interface of LNO/GaN. Counterclockwise C-V windows induced by the ferroelectric polarizations of LNO film could be observed clearly. The size of the window increased with increasing the sweep bias and a large window of 5.8 V was achieved at {+-} 15 V. By solving Poisson and drift-diffusion equations, the physical mechanisms of the C-V characteristics were demonstrated.

  13. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    Science.gov (United States)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  14. Polarization Raman spectroscopy of GaN nanorod bundles

    International Nuclear Information System (INIS)

    Tite, T.; Lee, C. J.; Chang, Y.-M.

    2010-01-01

    We performed polarization Raman spectroscopy on single wurtzite GaN nanorod bundles grown by plasma-assisted molecular beam epitaxy. The obtained Raman spectra were compared with those of GaN epilayer. The spectral difference between the GaN nanorod bundles and epilayer reveals the relaxation of Raman selection rules in these GaN nanorod bundles. The deviation of polarization-dependent Raman spectroscopy from the prediction of Raman selection rules is attributed to both the orientation of the crystal axis with respect to the polarization vectors of incident and scattered light and the structural defects in the merging boundary of GaN nanorods. The presence of high defect density induced by local strain at the merging boundary was further confirmed by transmission electron microscopy. The averaged defect interspacing was estimated to be around 3 nm based on the spatial correlation model.

  15. ''Cube-on-hexagon'' orientation relationship for Fe on GaN(0001): The missing link in bcc/hcp epitaxy

    International Nuclear Information System (INIS)

    Gao Cunxu; Brandt, Oliver; Laehnemann, Jonas; Jahn, Uwe; Jenichen, Bernd; Schoenherr, Hans-Peter; Erwin, Steven C.

    2010-01-01

    We investigate, experimentally and theoretically, the epitaxy of body-centered-cubic Fe on hexagonal GaN. For growth on the Ga-polar GaN(0001) surface we find the well-known Pitsch-Schrader orientation relationship between Fe and GaN. On the N-polar GaN(0001) surface we observe coexistence between the familiar Burgers orientation and a new orientation in which the Fe(001) plane is parallel to GaN(0001). This 'cube-on-hexagon' orientation constitutes the high-symmetry link required for constructing a symmetry diagram for bcc/hcp systems in which all orientation relationships are connected by simple rotations.

  16. Mg doping of GaN by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lieten, R R; Buchowicz, G; Dubon, O; Motsnyi, V; Zhang, L; Cheng, K; Leys, M; Degroote, S; Borghs, G

    2011-01-01

    We present a systematic study on the influence of growth conditions on the incorporation and activation of Mg in GaN layers grown by plasma-assisted molecular beam epitaxy. We show that high quality p-type GaN layers can be obtained on GaN-on-silicon templates. The Mg incorporation and the electrical properties have been investigated as a function of growth temperature, Ga : N flux ratio and Mg : Ga flux ratio. It was found that the incorporation of Mg and the electrical properties are highly sensitive to the Ga : N flux ratio. The highest hole mobility and lowest resistivity were achieved for slightly Ga-rich conditions. In addition to an optimal Ga : N ratio, an optimum Mg : Ga flux ratio was also observed at around 1%. We observed a clear Mg flux window for p-type doping of GaN : 0.31% 17 cm -3 and a mobility of 15 cm 2 V -1 s -1 . Temperature-dependent Hall effect measurements indicate an acceptor depth in these samples of 100 meV for a hole concentration of 5.5 x 10 17 cm -3 . The corresponding Mg concentration is 5 x 10 19 cm -3 , indicating approximately 1% activation at room temperature. In addition to continuous growth of Mg-doped GaN layers we also investigated different modulated growth procedures. We show that a modulated growth procedure has only limited influence on Mg doping at a growth temperature of 800 deg. or higher. This result is thus in contrast to previously reported GaN : Mg doping at much lower growth temperatures of 500 deg. C.

  17. Epitaxy of GaN on silicon-impact of symmetry and surface reconstruction

    International Nuclear Information System (INIS)

    Dadgar, A; Schulze, F; Wienecke, M; Gadanecz, A; Blaesing, J; Veit, P; Hempel, T; Diez, A; Christen, J; Krost, A

    2007-01-01

    GaN-on-silicon is a low-cost alternative to growth on sapphire or SiC. Today epitaxial growth is usually performed on Si(111), which has a threefold symmetry. The growth of single crystalline GaN on Si(001), the material of the complementary metal oxide semiconductor (CMOS) industry, is more difficult due to the fourfold symmetry of this Si surface leading to two differently aligned domains. We show that breaking the symmetry to achieve single crystalline growth can be performed, e.g. by off-oriented substrates to achieve single crystalline device quality GaN layers. Furthermore, an exotic Si orientation for GaN growth is Si(110), which we show is even better suited as compared to Si(111) for the growth of high quality GaN-on-silicon with a nearly threefold reduction in the full width at half maximum (FWHM) of the (1 1-bar 0 0)ω-scan. It is found that a twofold surface symmetry is in principal suitable for the growth of single crystalline GaN on Si

  18. Buffer optimization for crack-free GaN epitaxial layers grown on Si(1 1 1) substrate by MOCVD

    International Nuclear Information System (INIS)

    Arslan, Engin; Ozbay, Ekmel; Ozturk, Mustafa K; Ozcelik, Suleyman; Teke, Ali

    2008-01-01

    We report the growth of GaN films on the Si(1 1 1) substrate by metalorganic chemical vapour phase deposition (MOCVD). Different buffer layers were used to investigate their effects on the structural and optical properties of GaN layers. A series of GaN layers were grown on Si(1 1 1) with different buffer layers and buffer thicknesses and were characterized by Nomarski microscopy, atomic force microscopy, high-resolution x-ray diffraction (XRD) and photoluminescence (PL) measurements. We first discuss the optimization of the LT-AlN/HT-AlN/Si(1 1 1) templates and then the optimization of the graded AlGaN intermediate layers. In order to prevent stress relaxation, step-graded AlGaN layers were introduced along with a crack-free GaN layer of thickness exceeding 2.6 μm. The XRD and PL measurements results confirmed that a wurtzite GaN was successfully grown. The resulting GaN film surfaces were flat, mirror-like and crack-free. The mosaic structure in the GaN layers was investigated. With a combination of Williamson-Hall measurements and the fitting of twist angles, it was found that the buffer thickness determines the lateral coherence length, vertical coherence length, as well as the tilt and twist of the mosaic blocks in GaN films. The PL spectra at 8 K show that a strong band edge photoluminescence of GaN on Si (1 1 1) emits light at an energy of 3.449 eV with a full width at half maximum (FWHM) of approximately 16 meV. At room temperature, the peak position and FWHM of this emission become 3.390 eV and 58 meV, respectively. The origin of this peak was attributed to the neutral donor bound exciton. It was found that the optimized total thickness of the AlN and graded AlGaN layers played a very important role in the improvement of quality and in turn reduced the cracks during the growth of GaN/Si(1 1 1) epitaxial layers

  19. Reproducible increased Mg incorporation and large hole concentration in GaN using metal modulated epitaxy

    International Nuclear Information System (INIS)

    Burnham, Shawn D.; Doolittle, W. Alan; Namkoong, Gon; Look, David C.; Clafin, Bruce

    2008-01-01

    The metal modulated epitaxy (MME) growth technique is reported as a reliable approach to obtain reproducible large hole concentrations in Mg-doped GaN grown by plasma-assisted molecular-beam epitaxy on c-plane sapphire substrates. An extremely Ga-rich flux was used, and modulated with the Mg source according to the MME growth technique. The shutter modulation approach of the MME technique allows optimal Mg surface coverage to build between MME cycles and Mg to incorporate at efficient levels in GaN films. The maximum sustained concentration of Mg obtained in GaN films using the MME technique was above 7x10 20 cm -3 , leading to a hole concentration as high as 4.5x10 18 cm -3 at room temperature, with a mobility of 1.1 cm 2 V -1 s -1 and a resistivity of 1.3 Ω cm. At 580 K, the corresponding values were 2.6x10 19 cm -3 , 1.2 cm 2 V -1 s -1 , and 0.21 Ω cm, respectively. Even under strong white light, the sample remained p-type with little change in the electrical parameters

  20. Reproducible increased Mg incorporation and large hole concentration in GaN using metal modulated epitaxy

    Science.gov (United States)

    Burnham, Shawn D.; Namkoong, Gon; Look, David C.; Clafin, Bruce; Doolittle, W. Alan

    2008-07-01

    The metal modulated epitaxy (MME) growth technique is reported as a reliable approach to obtain reproducible large hole concentrations in Mg-doped GaN grown by plasma-assisted molecular-beam epitaxy on c-plane sapphire substrates. An extremely Ga-rich flux was used, and modulated with the Mg source according to the MME growth technique. The shutter modulation approach of the MME technique allows optimal Mg surface coverage to build between MME cycles and Mg to incorporate at efficient levels in GaN films. The maximum sustained concentration of Mg obtained in GaN films using the MME technique was above 7×1020cm-3, leading to a hole concentration as high as 4.5×1018cm-3 at room temperature, with a mobility of 1.1cm2V-1s-1 and a resistivity of 1.3Ωcm. At 580K, the corresponding values were 2.6×1019cm-3, 1.2cm2V-1s-1, and 0.21Ωcm, respectively. Even under strong white light, the sample remained p-type with little change in the electrical parameters.

  1. Thermodynamic analysis of trimethylgallium decomposition during GaN metal organic vapor phase epitaxy

    Science.gov (United States)

    Sekiguchi, Kazuki; Shirakawa, Hiroki; Chokawa, Kenta; Araidai, Masaaki; Kangawa, Yoshihiro; Kakimoto, Koichi; Shiraishi, Kenji

    2018-04-01

    We analyzed the decomposition of Ga(CH3)3 (TMG) during the metal organic vapor phase epitaxy (MOVPE) of GaN on the basis of first-principles calculations and thermodynamic analysis. We performed activation energy calculations of TMG decomposition and determined the main reaction processes of TMG during GaN MOVPE. We found that TMG reacts with the H2 carrier gas and that (CH3)2GaH is generated after the desorption of the methyl group. Next, (CH3)2GaH decomposes into (CH3)GaH2 and this decomposes into GaH3. Finally, GaH3 becomes GaH. In the MOVPE growth of GaN, TMG decomposes into GaH by the successive desorption of its methyl groups. The results presented here concur with recent high-resolution mass spectroscopy results.

  2. Successful Fabrication of GaN Epitaxial Layer on Non-Catalytically grown Graphene

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Sung Won [Konkuk University, Chungju (Korea, Republic of); Choi, Suk-Ho [Kyung Hee University, Yongin (Korea, Republic of)

    2016-07-15

    Sapphire is widely used as a substrate for the growth of GaN epitaxial layer (EPI), but has several drawbacks such as high cost, large lattice mismatch, non-flexibility, and so on. Here, we first employ graphene directly grown on Si or sapphire substrate as a platform for the growth and lift-off of GaN-light-emitting diode (LED) EPI, useful for not only recycling the substrate but also transferring the GaN-LED EPI to other flexible substrates. Sequential standard processes of nucleation/recrystallization of GaN seeds and deposition of undoped (u-) GaN/AlN buffer layer were done on graphene/substrate before the growth of GaN-LED EPI, accompanied by taping and lift-off of u-GaN/AlN or GaN-LED EPI. This approach can overcome the limitations by the catalytic growth and transfer of graphene, and make the oxygen-plasma treatment of graphene for the growth of GaN EPI unnecessary.

  3. Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.

    Science.gov (United States)

    Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E

    2017-12-01

    Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.

  4. High-electron-mobility GaN grown on free-standing GaN templates by ammonia-based molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kyle, Erin C. H., E-mail: erinkyle@umail.ucsb.edu; Kaun, Stephen W.; Burke, Peter G.; Wu, Feng; Speck, James S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); Wu, Yuh-Renn [Institute of Photonics and Optoelectronics, and Department of Electrical Engineering, National Taiwan University, Taipei City 10617, Taiwan (China)

    2014-05-21

    The dependence of electron mobility on growth conditions and threading dislocation density (TDD) was studied for n{sup −}-GaN layers grown by ammonia-based molecular beam epitaxy. Electron mobility was found to strongly depend on TDD, growth temperature, and Si-doping concentration. Temperature-dependent Hall data were fit to established transport and charge-balance equations. Dislocation scattering was analyzed over a wide range of TDDs (∼2 × 10{sup 6} cm{sup −2} to ∼2 × 10{sup 10} cm{sup −2}) on GaN films grown under similar conditions. A correlation between TDD and fitted acceptor states was observed, corresponding to an acceptor state for almost every c lattice translation along each threading dislocation. Optimized GaN growth on free-standing GaN templates with a low TDD (∼2 × 10{sup 6} cm{sup −2}) resulted in electron mobilities of 1265 cm{sup 2}/Vs at 296 K and 3327 cm{sup 2}/Vs at 113 K.

  5. Metal modulation epitaxy growth for extremely high hole concentrations above 1019 cm-3 in GaN

    Science.gov (United States)

    Namkoong, Gon; Trybus, Elaissa; Lee, Kyung Keun; Moseley, Michael; Doolittle, W. Alan; Look, David C.

    2008-10-01

    The free hole carriers in GaN have been limited to concentrations in the low 1018cm-3 range due to the deep activation energy, lower solubility, and compensation from defects, therefore, limiting doping efficiency to about 1%. Herein, we report an enhanced doping efficiency up to ˜10% in GaN by a periodic doping, metal modulation epitaxy growth technique. The hole concentrations grown by periodically modulating Ga atoms and Mg dopants were over ˜1.5×1019cm-3.

  6. Effect of the Ti-Nanolayer Thickness on the Self-Lift-off of Thick GaN Epitaxial Layers

    International Nuclear Information System (INIS)

    Yugov, A. A.; Malahov, S. S.; Donskov, A. A.; Duhnovskii, M. P.; Knyazev, S. N.; Kozlova, Yu. P.; Yugova, T. G.; Belogorokhov, I. A.

    2016-01-01

    The effect of the type of substrate, sapphire substrate (c- and r-orientation) or GaN/Al_2O_3 template (c- and r-orientations), on the nitridation of an amorphous titanium nanolayer is shown. The effect of the titanium-nanolayer thickness on thick GaN epitaxial layer self-separation from the substrate is revealed. The titanium-nanolayer thickness at which thick GaN layer is reproducibly self-separated is within 20–40 nm.

  7. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    Science.gov (United States)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  8. Alignment control and atomically-scaled heteroepitaxial interface study of GaN nanowires.

    Science.gov (United States)

    Liu, Qingyun; Liu, Baodan; Yang, Wenjin; Yang, Bing; Zhang, Xinglai; Labbé, Christophe; Portier, Xavier; An, Vladimir; Jiang, Xin

    2017-04-20

    Well-aligned GaN nanowires are promising candidates for building high-performance optoelectronic nanodevices. In this work, we demonstrate the epitaxial growth of well-aligned GaN nanowires on a [0001]-oriented sapphire substrate in a simple catalyst-assisted chemical vapor deposition process and their alignment control. It is found that the ammonia flux plays a key role in dominating the initial nucleation of GaN nanocrystals and their orientation. Typically, significant improvement of the GaN nanowire alignment can be realized at a low NH 3 flow rate. X-ray diffraction and cross-sectional scanning electron microscopy studies further verified the preferential orientation of GaN nanowires along the [0001] direction. The growth mechanism of GaN nanowire arrays is also well studied based on cross-sectional high-resolution transmission electron microscopy (HRTEM) characterization and it is observed that GaN nanowires have good epitaxial growth on the sapphire substrate following the crystallographic relationship between (0001) GaN ∥(0001) sapphire and (101[combining macron]0) GaN ∥(112[combining macron]0) sapphire . Most importantly, periodic misfit dislocations are also experimentally observed in the interface region due to the large lattice mismatch between the GaN nanowire and the sapphire substrate, and the formation of such dislocations will favor the release of structural strain in GaN nanowires. HRTEM analysis also finds the existence of "type I" stacking faults and voids inside the GaN nanowires. Optical investigation suggests that the GaN nanowire arrays have strong emission in the UV range, suggesting their crystalline nature and chemical purity. The achievement of aligned GaN nanowires will further promote the wide applications of GaN nanostructures toward diverse high-performance optoelectronic nanodevices including nano-LEDs, photovoltaic cells, photodetectors etc.

  9. Photoluminescence investigation of thick GaN films grown on Si substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Yang, M.; Ahn, H. S.; Chang, J. H.; Yi, S. N.; Kim, K. H.; Kim, H.; Kim, S. W.

    2003-01-01

    The optical properties of thick GaN films grown by hydried vapor phase epitaxy (HVPE) using a low-temperature intermediate GaN buffer layer grown on a (111) Si substrate with a ZnO thin film were investigated by using photoluminescence (PL) measurement at 300 K and 77 K. The strong donor bound exciton (DBE) at 357 nm with a full width at half maximum (FWHM) of 15 meV was observed at 77 K. The value of 15 meV is extremely narrow for GaN grown on Si substrate by HVPE. An impurity-related peak was also observed at 367 nm. The origin of impurity was investigated using Auger spectroscopy.

  10. Suppression of concentration quenching of Er-related luminescence in Er-doped GaN

    International Nuclear Information System (INIS)

    Chen Shaoqiang; Tomita, Shigeo; Kudo, Hiroshi; Akimoto, Katsuhiro; Dierre, Benjamin; Lee, Woong; Sekiguchi, Takashi

    2010-01-01

    Erbium-doped GaN with different doping concentrations were grown by ammonia-source molecular beam epitaxy. The intra-4f-shell transitions related green luminescence were observed by both photoluminescence (PL) and cathodoluminescence (CL) measurements. It was found that concentration quenching of Er-related luminescence was observed in PL measurements while not in CL measurements. The different excitation and relaxation processes are suggested as the cause of the concentration quenching characteristics between PL and CL. The strong Er-related CL intensity in highly doped GaN demonstrates that high energy excitation is a promising approach to suppress the concentration quenching in Er-doped GaN.

  11. Metal modulation epitaxy growth for extremely high hole concentrations above 1019 cm-3 in GaN

    International Nuclear Information System (INIS)

    Namkoong, Gon; Trybus, Elaissa; Lee, Kyung Keun; Moseley, Michael; Doolittle, W. Alan; Look, David C.

    2008-01-01

    The free hole carriers in GaN have been limited to concentrations in the low 10 18 cm -3 range due to the deep activation energy, lower solubility, and compensation from defects, therefore, limiting doping efficiency to about 1%. Herein, we report an enhanced doping efficiency up to ∼10% in GaN by a periodic doping, metal modulation epitaxy growth technique. The hole concentrations grown by periodically modulating Ga atoms and Mg dopants were over ∼1.5x10 19 cm -3

  12. Backward diodes using heavily Mg-doped GaN growth by ammonia molecular-beam epitaxy

    Science.gov (United States)

    Okumura, Hironori; Martin, Denis; Malinverni, Marco; Grandjean, Nicolas

    2016-02-01

    We grew heavily Mg-doped GaN using ammonia molecular-beam epitaxy. The use of low growth temperature (740 °C) allows decreasing the incorporation of donor-like defects (p-type doping compensation. As a result, a net acceptor concentration of 7 × 1019 cm-3 was achieved, and the hole concentration measured by Hall effect was as high as 2 × 1019 cm-3 at room temperature. Using such a high Mg doping level, we fabricated GaN backward diodes without polarization-assisted tunneling. The backward diodes exhibited a tunneling-current density of 225 A/cm2 at a reverse bias of -1 V at room temperature.

  13. Highly resistive C-doped hydride vapor phase epitaxy-GaN grown on ammonothermally crystallized GaN seeds

    Science.gov (United States)

    Iwinska, Malgorzata; Piotrzkowski, Ryszard; Litwin-Staszewska, Elzbieta; Sochacki, Tomasz; Amilusik, Mikolaj; Fijalkowski, Michal; Lucznik, Boleslaw; Bockowski, Michal

    2017-01-01

    GaN crystals were grown by hydride vapor phase epitaxy (HVPE) and doped with C. The seeds were high-structural-quality ammonothermally crystallized GaN. The grown crystals were highly resistive at 296 K and of high structural quality. High-temperature Hall effect measurements revealed p-type conductivity and a deep acceptor level in the material with an activation energy of 1 eV. This is in good agreement with density functional theory calculations based on hybrid functionals as presented by the Van de Walle group. They obtained an ionization energy of 0.9 eV when C was substituted for N in GaN and acted as a deep acceptor.

  14. Formation of definite GaN p-n junction by Mg-ion implantation to n--GaN epitaxial layers grown on a high-quality free-standing GaN substrate

    Science.gov (United States)

    Oikawa, Takuya; Saijo, Yusuke; Kato, Shigeki; Mishima, Tomoyoshi; Nakamura, Tohru

    2015-12-01

    P-type conversion of n--GaN by Mg-ion implantation was successfully performed using high quality GaN epitaxial layers grown on free-standing low-dislocation-density GaN substrates. These samples showed low-temperature PL spectra quite similar to those observed from Mg-doped MOVPE-grown p-type GaN, consisting of Mg related donor-acceptor pair (DAP) and acceptor bound exciton (ABE) emission. P-n diodes fabricated by the Mg-ion implantation showed clear rectifying I-V characteristics and UV and blue light emissions were observed at forward biased conditions for the first time.

  15. Hydride vapor phase epitaxy growth of GaN, InGaN, ScN, and ScAIN

    NARCIS (Netherlands)

    Bohnen, T.

    2010-01-01

    Chemical vapor deposition (CVD); hydride vapor phase epitaxy (HVPE); gallium nitride (GaN); indium gallium nitride (InGaN); scandium nitride (ScN); scandium aluminum nitride (ScAlN); semiconductors; thin films; nanowires; III nitrides; crystal growth - We studied the HVPE growth of different III

  16. Growth kinetics and mass transport mechanisms of GaN columns by selective area metal organic vapor phase epitaxy

    Science.gov (United States)

    Wang, Xue; Hartmann, Jana; Mandl, Martin; Sadat Mohajerani, Matin; Wehmann, Hergo-H.; Strassburg, Martin; Waag, Andreas

    2014-04-01

    Three-dimensional GaN columns recently have attracted a lot of attention as the potential basis for core-shell light emitting diodes for future solid state lighting. In this study, the fundamental insights into growth kinetics and mass transport mechanisms of N-polar GaN columns during selective area metal organic vapor phase epitaxy on patterned SiOx/sapphire templates are systematically investigated using various pitch of apertures, growth time, and silane flow. Species impingement fluxes on the top surface of columns Jtop and on their sidewall Jsw, as well as, the diffusion flux from the substrate Jsub contribute to the growth of the GaN columns. The vertical and lateral growth rates devoted by Jtop, Jsw and Jsub are estimated quantitatively. The diffusion length of species on the SiOx mask surface λsub as well as on the sidewall surfaces of the 3D columns λsw are determined. The influences of silane on the growth kinetics are discussed. A growth model is developed for this selective area metal organic vapor phase epitaxy processing.

  17. Epitaxial Ni films, e-beam nano-patterning and BMR

    Science.gov (United States)

    Lukaszew, R. Alejandra; Zhang, Zhengdong; Pearson, Dave; Zambano, Antonio

    2004-05-01

    We have attempted to clarify possible domain-wall processes present in the recently reported large ballistic magnetoresistance effects in nano-contacts. To that effect we have used e-beam lithography applied to epitaxial Ni films to fabricate nano-bridges in more controlled geometry than electrochemical deposition. Our preliminary results indicate that magnetic domains do play a role in the magneto-resistance of these nano-bridges but the order of magnitude of the observed effect is considerably smaller than the reported observations in electrochemically prepared nano-contacts.

  18. Epitaxial Ni films, e-beam nano-patterning and BMR

    International Nuclear Information System (INIS)

    Lukaszew, R.A.; Zhang Zhengdong; Pearson, Dave; Zambano, Antonio

    2004-01-01

    We have attempted to clarify possible domain-wall processes present in the recently reported large ballistic magnetoresistance effects in nano-contacts. To that effect we have used e-beam lithography applied to epitaxial Ni films to fabricate nano-bridges in more controlled geometry than electrochemical deposition. Our preliminary results indicate that magnetic domains do play a role in the magneto-resistance of these nano-bridges but the order of magnitude of the observed effect is considerably smaller than the reported observations in electrochemically prepared nano-contacts

  19. GaN and ZnO nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Fuendling, Soenke; Soekmen, Uensal; Behrends, Arne; Al-Suleiman, Mohamed Aid Mansur; Merzsch, Stephan; Li, Shunfeng; Bakin, Andrey; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, Technische Universitaet Braunschweig, Braunschweig (Germany); Laehnemann, Jonas; Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2010-10-15

    GaN and ZnO are both wide band gap semiconductors with interesting properties concerning optoelectronic and sensor device applications. Due to the lack or the high costs of native substrates, alternatives like sapphire, silicon, or silicon carbide are taken, but the resulting lattice and thermal mismatches lead to increased defect densities which reduce the material quality. In contrast, nanostructures with high aspect ratio have lower defect densities as compared to layers. In this work, we give an overview on our results achieved on both ZnO as well as GaN based nanorods. ZnO nanostructures were grown by a wet chemical approach as well as by VPT on different substrates - even on flexible polymers. To compare the growth results we analyzed the structures by XRD and PL and show possible device applications. The GaN nano- and microstructures were grown by metal organic vapor phase epitaxy either in a self-organized process or by selective area growth for a better control of shape and material composition. Finally we take a look onto possible device applications, presenting our attempts, e.g., to build LEDs based on GaN nanostructures. (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  20. Self-assembled GaN nano-column grown on Si(111) substrate using Au+Ga alloy seeding method by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Shim, Byung-Young; Ko, Eun-A; Song, Jae-Chul; Kang, Dong-Hun; Kim, Dong-Wook; Lee, In-Hwan; Kannappan, Santhakumar; Lee, Cheul-Ro

    2007-01-01

    Single-crystal GaN nano-column arrays were grown on Au-coated silicon (111) substrate by Au-Ga alloy seeding method using metalorganic chemical vapor deposition (MOCVD). The nano-column arrays were studied as a function of growth parameters and Au thin film thickness. The diameter and length of the as-grown nano-column vary from 100 to 500 nm and 4 to 6 μm, respectively. The surface morphology and optical properties of the nano-columns were investigated using scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDX), cathodoluminescence (CL) and photoluminescence (PL). The Au+Ga alloy droplets were found to be uniformly distributed on silicon surface. Further, SEM image reveals a vertical growth and cylindrical in shape GaN nano-column. The chemical composition of the nano-column, which composed of gallium and nitrogen ions, was estimated by EDX. CL reveals a strong band edge emission from the GaN nano-column. PL spectra show a peak at 365.7 nm with a full-width half maximum (FWHM) of 65 meV which indicates good optical quality GaN nano-column with low dislocation density. Our results suggest that single crystal GaN nano-column can be grown on Au+Ga alloy on silicon substrate with a low dislocation density for better device performances. (author)

  1. Characteristics of threading dislocations in ZnO grown on facet-controlled epitaxial overgrown GaN templates

    International Nuclear Information System (INIS)

    Zhou, H L; Chua, S J; Chow, S Y; Pan, H; Zhu, Y W; Feng, Y P; Wang, L S; Zang, K Y; Liu, W; Tripathy, S

    2007-01-01

    Using transmission electron microscopy (TEM), the authors have investigated the behavior of threading dislocations in ZnO selectively grown on a facet-controlled epitaxial overgrown GaN template. In this case, the ZnO is grown by a vapor transport method. The TEM study in the overgrown regions shows that all the pure-edge type dislocations in ZnO are parallel toward the mask area and vertical propagation of dislocation to the ZnO surface is minimized. Using such a selective growth technique on a faceted semi-polar GaN surface, a reduction of threading dislocation density in ZnO could be achieved

  2. Optical properties of C-doped bulk GaN wafers grown by halide vapor phase epitaxy

    International Nuclear Information System (INIS)

    Khromov, S.; Hemmingsson, C.; Monemar, B.; Hultman, L.; Pozina, G.

    2014-01-01

    Freestanding bulk C-doped GaN wafers grown by halide vapor phase epitaxy are studied by optical spectroscopy and electron microscopy. Significant changes of the near band gap (NBG) emission as well as an enhancement of yellow luminescence have been found with increasing C doping from 5 × 10 16 cm −3 to 6 × 10 17 cm −3 . Cathodoluminescence mapping reveals hexagonal domain structures (pits) with high oxygen concentrations formed during the growth. NBG emission within the pits even at high C concentration is dominated by a rather broad line at ∼3.47 eV typical for n-type GaN. In the area without pits, quenching of the donor bound exciton (DBE) spectrum at moderate C doping levels of 1–2 × 10 17 cm −3 is observed along with the appearance of two acceptor bound exciton lines typical for Mg-doped GaN. The DBE ionization due to local electric fields in compensated GaN may explain the transformation of the NBG emission

  3. Void Shapes Controlled by Using Interruption-Free Epitaxial Lateral Overgrowth of GaN Films on Patterned SiO2 AlN/Sapphire Template

    Directory of Open Access Journals (Sweden)

    Yu-An Chen

    2014-01-01

    Full Text Available GaN epitaxial layers with embedded air voids grown on patterned SiO2 AlN/sapphire templates were proposed. Using interruption-free epitaxial lateral overgrowth technology, we realized uninterrupted growth and controlled the shape of embedded air voids. These layers showed improved crystal quality using X-ray diffraction and measurement of etching pits density. Compared with conventional undoped-GaN film, the full width at half-maximum of the GaN (0 0 2 and (1 0 2 peaks decreased from 485 arcsec to 376 arcsec and from 600 arcsec to 322 arcsec, respectively. Transmission electron microscopy results showed that the coalesced GaN growth led to bending threading dislocation. We also proposed a growth model based on results of scanning electron microscopy.

  4. The role of alternating current in photo-assisted electrochemical porosification of GaN

    International Nuclear Information System (INIS)

    Ainorkhilah, Mahmood; Naser, M. Ahmed; Yushamdan, Yusof; Kwong, Yam Fong; Zainuriah, Hassan; Tiginyanu, Ion; Siang, Chuah Lee

    2013-01-01

    In this paper, we report the formation of porous GaN films under a novel alternating current (sine-wave a.c. (50 Hz)) photo-assisted electrochemical (ACPEC) etching conditions. The ACPEC formed porous GaN with excellent structural and surface morphology. Field emission scanning electron microscope (FESEM), atomic force microscopy (AFM) and high resolution X-ray diffraction (HR-XRD) phi-scan and rocking curves measurements evidenced important features of the pore morphology and nanostructures. According to the FESEM micrographs, the spatial nano architecture of the porous structures exhibits pores with perfect hexagonal shape. The AFM measurements revealed an increase in the surface roughness induced by porosification. X-ray diffraction phi-scan showed that porous GaN sample maintained the epitaxial. (authors)

  5. Growth of cubic GaN on a nitrided AlGaAs (001) substrate by using hydried vapor phase epitaxy

    International Nuclear Information System (INIS)

    Lee, H. J.; Yang, M.; Ahn, H. S.; Kim, K. H.; Yi, J. Y.; Jang, K. S.; Chang, J. H.; Kim, H. S.; Cho, C. R.; Kim, S. W.

    2006-01-01

    GaN layers were grown on AlGaAs (001) substrates by using hydride vapor phase epitaxy (HVPE). Growth parameters such as the nitridation temperature of the AlGaAs substrate and the growth rate of the GaN layer were found to be critical determinants for the growth of cubic GaN layer. Nitridation of the AlGaAs surface was performed in a NH 3 atmosphere at a temperature range of 550 - 700 .deg. C. GaN layers were grown at different growth rates on the nitrided AlGaAs substrates. The surface morphologies and the chemical constituents of the nitrided AlGaAs layers were characterized with scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS). For the optical and the crystalline characterization of the GaN films, cathodoluminescence (CL) and X-ray diffraction (XRD) were carried out.

  6. Spin relaxation in InGaN quantum disks in GaN nanowires

    KAUST Repository

    Banerjee, Animesh; Dog,; Heo, Junseok; Manchon, Aurelien; Guo, Wei; Bhattacharya, Pallab K.

    2011-01-01

    The spin relaxation time of photoinduced conduction electrons has been measured in InGaN quantum disks in GaN nanowires as a function of temperature and In composition in the disks. The relaxation times are of the order of ∼100 ps at 300 K and are weakly dependent on temperature. Theoretical considerations show that the Elliott-Yafet scattering mechanism is essentially absent in these materials and the results are interpreted in terms of the D'yakonov-Perel' relaxation mechanism in the presence of Rashba spin-orbit coupling of the wurtzite structure. The calculated spin relaxation times are in good agreement with the measured values. © 2011 American Chemical Society.

  7. Spin relaxation in InGaN quantum disks in GaN nanowires

    KAUST Repository

    Banerjee, Animesh

    2011-12-14

    The spin relaxation time of photoinduced conduction electrons has been measured in InGaN quantum disks in GaN nanowires as a function of temperature and In composition in the disks. The relaxation times are of the order of ∼100 ps at 300 K and are weakly dependent on temperature. Theoretical considerations show that the Elliott-Yafet scattering mechanism is essentially absent in these materials and the results are interpreted in terms of the D\\'yakonov-Perel\\' relaxation mechanism in the presence of Rashba spin-orbit coupling of the wurtzite structure. The calculated spin relaxation times are in good agreement with the measured values. © 2011 American Chemical Society.

  8. Characterization of GaN P-N Junction Grown on Si (111) Substrate by Plasma-assisted Molecular Beam Epitaxy

    International Nuclear Information System (INIS)

    Rosfariza Radzali; Rosfariza Radzali; Mohd Anas Ahmad; Zainuriah Hassan; Norzaini Zainal; Kwong, Y.F.; Woei, C.C.; Mohd Zaki Mohd Yusoff; Mohd Zaki Mohd Yusoff

    2011-01-01

    In this report, the growth of GaN pn junction on Si (111) substrate by plasma assisted molecular beam epitaxy (PAMBE) is presented. Doping of GaN p-n junction has been carried out using Si and Mg as n-type dopant and p-type dopants, respectively. The sample had been characterized by PL, Raman spectroscopy, HR-XRD and SEM. PL spectrum showed strong band edge emission of GaN at ∼364 nm, indicating good quality of the sample. The image of SEM cross section of the sample showed sharp interfaces. The presence of peak ∼657 cm -1 in Raman measurement exhibited successful doping of Mg in the sample. (author)

  9. P-type doping of semipolar GaN(11 anti 22) by plasma-assisted molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Das, A.; Lahourcade, L. [Equipe Mixte CEA-CNRS, Nanophysique et Semiconducteurs, CEA-Grenoble, INAC/SP2M, Grenoble (France); Pernot, J. [Institut Neel, CNRS et Universite Joseph Fourier, Grenoble (France); Valdueza-Felip, S. [Equipe Mixte CEA-CNRS, Nanophysique et Semiconducteurs, CEA-Grenoble, INAC/SP2M, Grenoble (France); Dept. Electronica, Escuela Politecnica, Universidad de Alcala, Alcala de Henares, Madrid (Spain); Ruterana, P. [CIMAP, UMR6252, CNRS-ENSICAEN-CEA-UCBN, Caen (France); Laufer, A.; Eickhoff, M. [I. Physikalisches Institut, Justus-Liebig-Universitaet Giessen (Germany); Monroy, E.

    2010-07-15

    We report the effect of Mg doping on the growth kinetics of semipolar GaN(11-22) synthesized by plasma-assisted molecular-beam epitaxy. Mg tends to segregate on the surface, inhibiting the formation of the self-regulated Ga film which is used as a surfactant for the growth of undoped and Si-doped GaN(11-22). As a result, the growth widow is reduced for Mg doped layers, and we observe a certain deterioration of the surface morphology. In spite of this difficulties, homogenous Mg incorporation is achieved and layers display p -type conductivity for Mg atomic concentration higher than 7 x 10{sup 18} cm{sup -3}. Microscopy studies show no evidence of the pyramidal defects or polarity inversion domains found in Mg-doped GaN(0001). (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Growth of (20 anti 21)AlGaN, GaN and InGaN by metal organic vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Ploch, S.; Wernicke, T.; Rass, J.; Pristovsek, M. [TU Berlin, Institut fuer Festkoerperphysik, Hardenbergstr. 36, 10623 Berlin (Germany); Weyers, M. [Ferdinand-Braun-Institut, Leibniz Institut fuer Hoechstfrequenztechnik, Gustav-Kirchhoff-Str. 4, 12489 Berlin (Germany); Kneissl, M. [TU Berlin, Institut fuer Festkoerperphysik, Hardenbergstr. 36, 10623 Berlin (Germany); Ferdinand-Braun-Institut, Leibniz Institut fuer Hoechstfrequenztechnik, Gustav-Kirchhoff-Str. 4, 12489 Berlin (Germany)

    2012-07-01

    Green InGaN-based laser diodes on (20 anti 21)GaN substrates have recently demonstrated performances exceeding those of conventional (0001) oriented devices. However little is known regarding the growth parameters. We have investigated growth of AlGaN, GaN and InGaN on (20 anti 21)GaN substrates by MOVPE. Smooth GaN layers with a rms roughness <0.5 nm were obtained by low growth temperatures and reactor pressures. The layers exhibit undulations along [10 anti 14] similar to the GaN substrate. AlGaN and InGaN layers exhibit an increased surface roughness. Undulation bunching was observed and attributed to reduced adatom surface mobility due to the binding energy of Al and the low growth temperature for InGaN respectively or strain relaxation. AlGaN and InGaN heterostructures on (20 anti 21)GaN relax by layer tilt accompanied by formation of misfit dislocations, due to shear strain of the unit cell. This relaxation mechanism leads to a reduced critical layer thickness of (20 anti 21)AlGaN layers and InGaN multi quantum wells (MQW) in comparison to (0001). PL spectral broadening of 230 meV of (20 anti 21)InGaN single QWs emitting at 415 nm can be reduced by increased growth temperature or increased number of QWs with reduced thickness.

  11. Initial stages of the ion-beam assisted epitaxial GaN film growth on 6H-SiC(0001)

    International Nuclear Information System (INIS)

    Neumann, L.; Gerlach, J.W.; Rauschenbach, B.

    2012-01-01

    Ultra-thin gallium nitride (GaN) films were deposited using the ion-beam assisted molecular-beam epitaxy technique. The influence of the nitrogen ion to gallium atom flux ratio (I/A ratio) during the early stages of GaN nucleation and thin film growth directly, without a buffer layer on super-polished 6H-SiC(0001) substrates was studied. The deposition process was performed at a constant substrate temperature of 700 °C by evaporation of Ga and irradiation with hyperthermal nitrogen ions from a constricted glow-discharge ion source. The hyperthermal nitrogen ion flux was kept constant and the kinetic energy of the ions did not exceed 25 eV. The selection of different I/A ratios in the range from 0.8 to 3.2 was done by varying the Ga deposition rate between 5 × 10 13 and 2 × 10 14 at. cm −2 s −1 . The crystalline surface structure during the GaN growth was monitored in situ by reflection high-energy electron diffraction. The surface topography of the films as well as the morphology of separated GaN islands on the substrate surface was examined after film growth using a scanning tunneling microscope without interruption of ultra-high vacuum. The results show, that the I/A ratio has a major impact on the properties of the resulting ultra-thin GaN films. The growth mode, the surface roughness, the degree of GaN coverage of the substrate and the polytype mixture depend notably on the I/A ratio. - Highlights: ► Ultra-thin epitaxial GaN films prepared by hyperthermal ion-beam assisted deposition. ► Surface structure and topography studied during and after initial growth stages. ► Growth mode dependent on nitrogen ion to gallium atom flux ratio. ► Change from three-dimensional to two-dimensional growth for Ga-rich growth conditions.

  12. Macrodefect-free, large, and thick GaN bulk crystals for high-quality 2–6 in. GaN substrates by hydride vapor phase epitaxy with hardness control

    Science.gov (United States)

    Fujikura, Hajime; Konno, Taichiro; Suzuki, Takayuki; Kitamura, Toshio; Fujimoto, Tetsuji; Yoshida, Takehiro

    2018-06-01

    On the basis of a novel crystal hardness control, we successfully realized macrodefect-free, large (2–6 in.) and thick +c-oriented GaN bulk crystals by hydride vapor phase epitaxy. Without the hardness control, the introduction of macrodefects including inversion domains and/or basal-plane dislocations seemed to be indispensable to avoid crystal fracture in GaN growth with millimeter thickness. However, the presence of these macrodefects tended to limit the applicability of the GaN substrate to practical devices. The present technology markedly increased the GaN crystal hardness from below 20 to 22 GPa, thus increasing the available growth thickness from below 1 mm to over 6 mm even without macrodefect introduction. The 2 and 4 in. GaN wafers fabricated from these crystals had extremely low dislocation densities in the low- to mid-105 cm‑2 range and low off-angle variations (2 in.: <0.1° 4 in.: ∼0.2°). The realization of such high-quality 6 in. wafers is also expected.

  13. Nucleation of single GaN nanorods with diameters smaller than 35 nm by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chen, Yen-Ting; Araki, Tsutomu; Palisaitis, Justinas; Persson, Per O. Å.; Olof Holtz, Per; Birch, Jens; Chen, Li-Chyong; Chen, Kuei-Hsien; Nanishi, Yasushi

    2013-01-01

    Nucleation mechanism of catalyst-free GaN nanorod grown on Si(111) is investigated by the fabrication of uniform and narrow (<35 nm) nanorods without a pre-defined mask by molecular beam epitaxy. Direct evidences show that the nucleation of GaN nanorods stems from the sidewall of the underlying islands down to the Si(111) substrate, different from commonly reported ones on top of the island directly. Accordingly, the growth and density control of the nanorods is exploited by a “narrow-pass” approach that only narrow nanorod can be grown. The optimal size of surrounding non-nucleation area around single nanorod is estimated as 88 nm

  14. Nucleation of single GaN nanorods with diameters smaller than 35 nm by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yen-Ting [Institute of Atomic and Molecular Sciences, Academia Sinica, 10617 Taipei, Taiwan (China); Department of Physics, Chemistry and Biology (IFM), Linköping University, S-58183 Linköping (Sweden); Araki, Tsutomu [Department of Electrical and Electronic Engineering, Ritsumeikan University, 525-8577 Shiga (Japan); Palisaitis, Justinas; Persson, Per O. Å.; Olof Holtz, Per; Birch, Jens [Department of Physics, Chemistry and Biology (IFM), Linköping University, S-58183 Linköping (Sweden); Chen, Li-Chyong [Center for Condensed Matter Sciences, National Taiwan University, 10617 Taipei, Taiwan (China); Chen, Kuei-Hsien [Institute of Atomic and Molecular Sciences, Academia Sinica, 10617 Taipei, Taiwan (China); Center for Condensed Matter Sciences, National Taiwan University, 10617 Taipei, Taiwan (China); Nanishi, Yasushi [Global Innovation Research Organization, Ritsumeikan University, 525-8577 Shiga (Japan)

    2013-11-11

    Nucleation mechanism of catalyst-free GaN nanorod grown on Si(111) is investigated by the fabrication of uniform and narrow (<35 nm) nanorods without a pre-defined mask by molecular beam epitaxy. Direct evidences show that the nucleation of GaN nanorods stems from the sidewall of the underlying islands down to the Si(111) substrate, different from commonly reported ones on top of the island directly. Accordingly, the growth and density control of the nanorods is exploited by a “narrow-pass” approach that only narrow nanorod can be grown. The optimal size of surrounding non-nucleation area around single nanorod is estimated as 88 nm.

  15. Spin diffusion in bulk GaN measured with MnAs spin injector

    KAUST Repository

    Jahangir, Shafat; Dogan, Fatih; Kum, Hyun; Manchon, Aurelien; Bhattacharya, Pallab

    2012-01-01

    Spin injection and precession in bulk wurtzite n-GaN with different doping densities are demonstrated with a ferromagnetic MnAs contact using the three-terminal Hanle measurement technique. Theoretical analysis using minimum fitting parameters indicates that the spin accumulation is primarily in the n-GaN channel rather than at the ferromagnet (FM)/semiconductor (SC) interface states. Spin relaxation in GaN is interpreted in terms of the D’yakonov-Perel mechanism, yielding a maximum spin lifetime of 44 ps and a spin diffusion length of 175 nm at room temperature. Our results indicate that epitaxial ferromagnetic MnAs is a suitable high-temperature spin injector for GaN.

  16. Spin diffusion in bulk GaN measured with MnAs spin injector

    KAUST Repository

    Jahangir, Shafat

    2012-07-16

    Spin injection and precession in bulk wurtzite n-GaN with different doping densities are demonstrated with a ferromagnetic MnAs contact using the three-terminal Hanle measurement technique. Theoretical analysis using minimum fitting parameters indicates that the spin accumulation is primarily in the n-GaN channel rather than at the ferromagnet (FM)/semiconductor (SC) interface states. Spin relaxation in GaN is interpreted in terms of the D’yakonov-Perel mechanism, yielding a maximum spin lifetime of 44 ps and a spin diffusion length of 175 nm at room temperature. Our results indicate that epitaxial ferromagnetic MnAs is a suitable high-temperature spin injector for GaN.

  17. Epitaxial growth of GaSb on V-grooved Si (001) substrates with an ultrathin GaAs stress relaxing layer

    Science.gov (United States)

    Li, Qiang; Lai, Billy; Lau, Kei May

    2017-10-01

    We report epitaxial growth of GaSb nano-ridge structures and planar thin films on V-groove patterned Si (001) substrates by leveraging the aspect ratio trapping technique. GaSb was deposited on {111} Si facets of the V-shaped trenches using metal-organic chemical vapor deposition with a 7 nm GaAs growth initiation layer. Transmission electron microscopy analysis reveals the critical role of the GaAs layer in providing a U-shaped surface for subsequent GaSb epitaxy. A network of misfit dislocations was uncovered at the GaSb/GaAs hetero-interface. We studied the evolution of the lattice relaxation as the growth progresses from closely pitched GaSb ridges to coalesced thin films using x-ray diffraction. The omega rocking curve full-width-at-half-maximum of the resultant GaSb thin film is among the lowest values reported by molecular beam epitaxy, substantiating the effectiveness of the defect necking mechanism. These results thus present promising opportunities for the heterogeneous integration of devices based on 6.1 Å family compound semiconductors.

  18. Site controlled Red-Yellow-Green light emitting InGaN Quantum Discs on nano-tipped GaN rods

    KAUST Repository

    Conroy, Michele Ann; Li, Haoning; Kusch, Gunnar; Zhao, Chao; Ooi, Boon S.; Paul, Edwards; Martin, Robert; Holmes, Justin D.; Parbrook, Peter

    2016-01-01

    We report a method of growing site controlled InGaN multiple quantum discs (QDs) at uniform wafer scale on coalescence free ultra-high density (>80%) nanorod templates by metal organic chemical vapour deposition (MOCVD). The dislocation and coalescence free nature of the GaN space filling nanorod arrays eliminates the well-known emission problems seen in InGaN based visible light sources that these types of crystallographic defects cause. Correlative scanning transmission electron microscopy (STEM), energy-dispersive x-ray (EDX) mapping and cathodoluminescence (CL) hyperspectral imaging illustrates the controlled site selection of the red, yellow and green (RYG) emission at these nano tips. This article reveals that the nanorod tips’ broad emission in the RYG visible range is in fact achieved by manipulating the InGaN QD’s confinement dimensions, rather than significantly increasing the In%. This article details the easily controlled method of manipulating the QDs dimensions producing high crystal quality InGaN without complicated growth conditions needed for strain relaxation and alloy compositional changes seen for bulk planar GaN templates.

  19. Site controlled Red-Yellow-Green light emitting InGaN Quantum Discs on nano-tipped GaN rods

    KAUST Repository

    Conroy, Michele Ann

    2016-03-10

    We report a method of growing site controlled InGaN multiple quantum discs (QDs) at uniform wafer scale on coalescence free ultra-high density (>80%) nanorod templates by metal organic chemical vapour deposition (MOCVD). The dislocation and coalescence free nature of the GaN space filling nanorod arrays eliminates the well-known emission problems seen in InGaN based visible light sources that these types of crystallographic defects cause. Correlative scanning transmission electron microscopy (STEM), energy-dispersive x-ray (EDX) mapping and cathodoluminescence (CL) hyperspectral imaging illustrates the controlled site selection of the red, yellow and green (RYG) emission at these nano tips. This article reveals that the nanorod tips’ broad emission in the RYG visible range is in fact achieved by manipulating the InGaN QD’s confinement dimensions, rather than significantly increasing the In%. This article details the easily controlled method of manipulating the QDs dimensions producing high crystal quality InGaN without complicated growth conditions needed for strain relaxation and alloy compositional changes seen for bulk planar GaN templates.

  20. Improvement of electrical property of Si-doped GaN grown on r-plane sapphire by metalorganic vapor-phase epitaxy

    International Nuclear Information System (INIS)

    Kusakabe, K.; Furuzuki, T.; Ohkawa, K.

    2006-01-01

    Electrical property of Si-doped GaN layers grown on r-plane sapphire substrates by atmospheric metalorganic vapor-phase epitaxy was investigated. The electron mobility was drastically improved when GaN was grown by means of optimized combinations of growth temperature and low-temperature GaN buffer thickness. The highest room-temperature mobility of 220cm 2 /Vs was recorded at the carrier density of 1.1x10 18 cm -3 . Temperature dependence of electrical property revealed that the peak mobility of 234cm 2 /Vs was obtained at 249K. From the slope of carrier density as a function of inverse temperature, the activation energy of Si-donors was evaluated to be 11meV

  1. The investigation of stress in freestanding GaN crystals grown from Si substrates by HVPE.

    Science.gov (United States)

    Lee, Moonsang; Mikulik, Dmitry; Yang, Mino; Park, Sungsoo

    2017-08-17

    We investigate the stress evolution of 400 µm-thick freestanding GaN crystals grown from Si substrates by hydride vapour phase epitaxy (HVPE) and the in situ removal of Si substrates. The stress generated in growing GaN can be tuned by varying the thickness of the MOCVD AlGaN/AlN buffer layers. Micro Raman analysis shows the presence of slight tensile stress in the freestanding GaN crystals and no stress accumulation in HVPE GaN layers during the growth. Additionally, it is demonstrated that the residual tensile stress in HVPE GaN is caused only by elastic stress arising from the crystal quality difference between Ga- and N-face GaN. TEM analysis revealed that the dislocations in freestanding GaN crystals have high inclination angles that are attributed to the stress relaxation of the crystals. We believe that the understanding and characterization on the structural properties of the freestanding GaN crystals will help us to use these crystals for high-performance opto-electronic devices.

  2. Band offsets and growth mode of molecular beam epitaxy grown MgO (111) on GaN (0002) by x-ray photoelectron spectroscopy

    Science.gov (United States)

    Craft, H. S.; Collazo, R.; Losego, M. D.; Mita, S.; Sitar, Z.; Maria, J.-P.

    2007-10-01

    MgO is a proposed dielectric for use as a tunneling barrier in devices integrating GaN and ferroelectric oxides. In this study, we present data regarding the growth mode and band offsets of MgO grown epitaxially on GaN (0002) surfaces using molecular beam epitaxy. Using in situ x-ray photoelectron spectroscopy (XPS) and molecular beam epitaxy, we determine, from sequential growth experiments, that the growth of MgO proceeds via the Volmer-Weber (three-dimensional) mode, and full coalescence of the film does not occur until approximately 12nm of MgO has been deposited. The observation of a three-dimensional growth mode is in agreement with previously published data. For the valence band offset, we find a value of 1.2±0.2eV, which corresponds to a 3.2eV conduction band offset. XPS measurements suggest a chemically abrupt interface and no effect on band lineup due to the slow coalescence behavior.

  3. Band offsets and growth mode of molecular beam epitaxy grown MgO (111) on GaN (0002) by x-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Craft, H. S.; Collazo, R.; Losego, M. D.; Mita, S.; Sitar, Z.; Maria, J.-P.

    2007-01-01

    MgO is a proposed dielectric for use as a tunneling barrier in devices integrating GaN and ferroelectric oxides. In this study, we present data regarding the growth mode and band offsets of MgO grown epitaxially on GaN (0002) surfaces using molecular beam epitaxy. Using in situ x-ray photoelectron spectroscopy (XPS) and molecular beam epitaxy, we determine, from sequential growth experiments, that the growth of MgO proceeds via the Volmer-Weber (three-dimensional) mode, and full coalescence of the film does not occur until approximately 12 nm of MgO has been deposited. The observation of a three-dimensional growth mode is in agreement with previously published data. For the valence band offset, we find a value of 1.2±0.2 eV, which corresponds to a 3.2 eV conduction band offset. XPS measurements suggest a chemically abrupt interface and no effect on band lineup due to the slow coalescence behavior

  4. High growth rate GaN on 200 mm silicon by metal-organic vapor phase epitaxy for high electron mobility transistors

    Science.gov (United States)

    Charles, M.; Baines, Y.; Bavard, A.; Bouveyron, R.

    2018-02-01

    It is increasingly important to reduce the cycle time of epitaxial growth, in order to reduce the costs of device fabrication, especially for GaN based structures which typically have growth cycles of several hours. We have performed a comprehensive study using metal-organic vapor phase epitaxy (MOVPE) investigating the effects of changing GaN growth rates from 0.9 to 14.5 μm/h. Although there is no significant effect on the strain incorporated in the layers, we have seen changes in the surface morphology which can be related to the change in dislocation behaviour and surface diffusion effects. At the small scale, as seen by AFM, increased dislocation density for higher growth rates leads to increased pinning of growth terraces, resulting in more closely spaced terraces. At a larger scale of hundreds of μm observed by optical profiling, we have related the formation of grains to the rate of surface diffusion of adatoms using a random walk model, implying diffusion distances from 30 μm for the highest growth rates up to 100 μm for the lowest. The increased growth rate also increases the intrinsic carbon incorporation which can increase the breakdown voltage of GaN films. Despite an increased threading dislocation density, these very high growth rates of 14.5 μm/hr by MOVPE have been shown to be appealing for reducing epitaxial growth cycle times and therefore costs in High Electron Mobility Transistor (HEMT) structures.

  5. Broadband nanophotonic waveguides and resonators based on epitaxial GaN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bruch, Alexander W.; Xiong, Chi; Leung, Benjamin; Poot, Menno; Han, Jung; Tang, Hong X., E-mail: hong.tang@yale.edu [Department of Electrical Engineering, Yale University, New Haven, Connecticut 06511 (United States)

    2015-10-05

    We demonstrate broadband, low loss optical waveguiding in single crystalline GaN grown epitaxially on c-plane sapphire wafers through a buffered metal-organic chemical vapor phase deposition process. High Q optical microring resonators are realized in near infrared, infrared, and near visible regimes with intrinsic quality factors exceeding 50 000 at all the wavelengths we studied. TEM analysis of etched waveguide reveals growth and etch-induced defects. Reduction of these defects through improved material and device processing could lead to even lower optical losses and enable a wideband photonic platform based on GaN-on-sapphire material system.

  6. Addition of Sb as a surfactant for the growth of nonpolar a-plane GaN by using mixed-source hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Ok, Jin Eun; Jo, Dong Wan; Yun, Wy Il; Han, Young Hun; Jeon, Hun Soo; Lee, Gang Suok; Jung, Se Gyo; Bae, Seon Min; Ahn, Hyung Soo; Yang, Min

    2011-01-01

    The influence of Sb as a surfactant on the morphology and on the structural and the optical characteristics of a-plane GaN grown on r-plane sapphire by using mixed-source hydride vapor phase epitaxy was investigated. The a-plane GaN:Sb layers were grown at various temperatures ranging from 1000 .deg. C to 1100 .deg. C, and the reactor pressure was maintained at 1 atm. The atomic force microscope (AFM), scanning electron microscope (SEM), X-ray diffraction (XRD) and photoluminescence(PL) results indicated that the surface morphologies and the structural and the optical characteristics of a-plane GaN were markedly improved, compared to the a-plane GaN layers grown without Sb, by using Sb as a surfactant. The addition of Sb was found to alter epitaxial lateral overgrowth (ELO) facet formation. The Sb was not detected from the a-plane-GaN epilayers within the detection limit of the energy dispersive spectroscopy (EDS) and x-ray photoelectron spectroscopy (XPS) measurements, suggesting that Sb act as a surfactant during the growth of a-plane GaN by using mixed-source HVPE method.

  7. MOCVD growth of GaN layer on InN interlayer and relaxation of residual strain

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Keon-Hun; Park, Sung Hyun; Kim, Jong Hack; Kim, Nam Hyuk; Kim, Min Hwa [Department of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Na, Hyunseok [Department of Advanced Materials Science and Engineering, Daejin University, Pocheon, 487-711 (Korea, Republic of); Yoon, Euijoon, E-mail: eyoon@snu.ac.k [Department of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Department of Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Suwon 433-270 (Korea, Republic of)

    2010-09-01

    100 nm InN layer was grown on sapphire c-plane using a metal-organic chemical vapor deposition (MOCVD) system. Low temperature (LT) GaN layer was grown on InN layer to protect InN layer from direct exposure to hydrogen flow during high temperature (HT) GaN growth and/or abrupt decomposition. Subsequently, thick HT GaN layer (2.5 {mu}m thick) was grown at 1000 {sup o}C on LT GaN/InN/sapphire template. Microstructure of epilayer-substrate interface was investigated by transmission electron microscopy (TEM). From the high angle annular dark field TEM image, the growth of columnar structured LT GaN and HT GaN with good crystallinity was observed. Though thickness of InN interlayer is assumed to be about 100 nm based on growth rate, it was not clearly shown in TEM image due to the InN decomposition. The lattice parameters of GaN layers were measured by XRD measurement, which shows that InN interlayer reduces the compressive strain in GaN layer. The relaxation of compressive strain in GaN layer was also confirmed by photoluminescence (PL) measurement. As shown in the PL spectra, red shift of GaN band edge peak was observed, which indicates the reduction of compressive strain in GaN epilayer.

  8. Design and fabrication of single-crystal GaN nano-bridge on homogeneous substrate for nanoindentation

    Science.gov (United States)

    Hung, Shang-Chao

    2014-12-01

    This study reports a simple method to design and fabricate a freestanding GaN nano-bridge over a homogeneous short column as supporting leg. Test samples were fabricated from MOCVD-grown single-crystal GaN films over sapphire substrate using a FIB milling to leave freestanding short spans. We also investigated the nanoindentation characteristics and the corresponding nanoscopic mechanism of the GaN nano-bridge and its short column with a conical indenter inside transmission electron microscopy. The stress-strain mechanical properties and Young's modulus have also been examined and calculated as 108 GPa ± 4.8 % by the strain energy method. The significant slope switch of the L- D curve corresponds to the transition from the single-point bending indentation to the surface stretching indentation and has been interpreted with the evolution of TEM images. This freestanding fabrication and test have key advantages to characterize nanoscale behavior of one-dimensional bridge structure and greater ease of sample preparation over other micro-fabrication techniques.

  9. Epitaxial Single-Layer MoS2 on GaN with Enhanced Valley Helicity

    KAUST Repository

    Wan, Yi

    2017-12-19

    Engineering the substrate of 2D transition metal dichalcogenides can couple the quasiparticle interaction between the 2D material and substrate, providing an additional route to realize conceptual quantum phenomena and novel device functionalities, such as realization of a 12-time increased valley spitting in single-layer WSe2 through the interfacial magnetic exchange field from a ferromagnetic EuS substrate, and band-to-band tunnel field-effect transistors with a subthreshold swing below 60 mV dec−1 at room temperature based on bilayer n-MoS2 and heavily doped p-germanium, etc. Here, it is demonstrated that epitaxially grown single-layer MoS2 on a lattice-matched GaN substrate, possessing a type-I band alignment, exhibits strong substrate-induced interactions. The phonons in GaN quickly dissipate the energy of photogenerated carriers through electron–phonon interaction, resulting in a short exciton lifetime in the MoS2/GaN heterostructure. This interaction enables an enhanced valley helicity at room temperature (0.33 ± 0.05) observed in both steady-state and time-resolved circularly polarized photoluminescence measurements. The findings highlight the importance of substrate engineering for modulating the intrinsic valley carriers in ultrathin 2D materials and potentially open new paths for valleytronics and valley-optoelectronic device applications.

  10. Epitaxial Single-Layer MoS2 on GaN with Enhanced Valley Helicity

    KAUST Repository

    Wan, Yi; Xiao, Jun; Li, Jingzhen; Fang, Xin; Zhang, Kun; Fu, Lei; Li, Pan; Song, Zhigang; Zhang, Hui; Wang, Yilun; Zhao, Mervin; Lu, Jing; Tang, Ning; Ran, Guangzhao; Zhang, Xiang; Ye, Yu; Dai, Lun

    2017-01-01

    Engineering the substrate of 2D transition metal dichalcogenides can couple the quasiparticle interaction between the 2D material and substrate, providing an additional route to realize conceptual quantum phenomena and novel device functionalities, such as realization of a 12-time increased valley spitting in single-layer WSe2 through the interfacial magnetic exchange field from a ferromagnetic EuS substrate, and band-to-band tunnel field-effect transistors with a subthreshold swing below 60 mV dec−1 at room temperature based on bilayer n-MoS2 and heavily doped p-germanium, etc. Here, it is demonstrated that epitaxially grown single-layer MoS2 on a lattice-matched GaN substrate, possessing a type-I band alignment, exhibits strong substrate-induced interactions. The phonons in GaN quickly dissipate the energy of photogenerated carriers through electron–phonon interaction, resulting in a short exciton lifetime in the MoS2/GaN heterostructure. This interaction enables an enhanced valley helicity at room temperature (0.33 ± 0.05) observed in both steady-state and time-resolved circularly polarized photoluminescence measurements. The findings highlight the importance of substrate engineering for modulating the intrinsic valley carriers in ultrathin 2D materials and potentially open new paths for valleytronics and valley-optoelectronic device applications.

  11. Polarized infrared reflectance study of free standing cubic GaN grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lee, S.C.; Ng, S.S.; Hassan, H. Abu; Hassan, Z.; Zainal, N.; Novikov, S.V.; Foxon, C.T.; Kent, A.J.

    2014-01-01

    Optical properties of free standing cubic gallium nitride grown by molecular beam epitaxy system are investigated by a polarized infrared (IR) reflectance technique. A strong reststrahlen band, which reveals the bulk-like optical phonon frequencies, is observed. Meanwhile, continuous oscillation fringes, which indicate the sample consists of two homogeneous layers with different dielectric constants, are observed in the non-reststrahlen region. By obtaining the first derivative of polarized IR reflectance spectra measured at higher angles of incidence, extra phonon resonances are identified at the edges of the reststrahlen band. The observations are verified with the theoretical results simulated based on a multi-oscillator model. - Highlights: • First time experimental studies of IR optical phonons in bulk like, cubic GaN layer. • Detection of extra phonon modes of cubic GaN by polarized IR reflectance technique. • Revelation of IR multiphonon modes of cubic GaN by first derivative numerical method. • Observation of multiphonon modes requires very high angle of incidence. • Resonance splitting effect induced by third phonon mode is a qualitative indicator

  12. Structure Shift of GaN Among Nanowall Network, Nanocolumn, and Compact Film Grown on Si (111) by MBE.

    Science.gov (United States)

    Zhong, Aihua; Fan, Ping; Zhong, Yuanting; Zhang, Dongping; Li, Fu; Luo, Jingting; Xie, Yizhu; Hane, Kazuhiro

    2018-02-13

    Structure shift of GaN nanowall network, nanocolumn, and compact film were successfully obtained on Si (111) by plasma-assisted molecular beam epitaxy (MBE). As is expected, growth of the GaN nanocolumns was observed in N-rich condition on bare Si, and the growth shifted to compact film when the Ga flux was improved. Interestingly, if an aluminum (Al) pre-deposition for 40 s was carried out prior to the GaN growth, GaN grows in the form of the nanowall network. Results show that the pre-deposited Al exits in the form of droplets with typical diameter and height of ~ 80 and ~ 6.7 nm, respectively. A growth model for the nanowall network is proposed and the growth mechanism is discussed. GaN grows in the area without Al droplets while the growth above Al droplets is hindered, resulting in the formation of continuous GaN nanowall network that removes the obstacles of nano-device fabrication.

  13. Site-selective spectroscopy of Er in GaN

    International Nuclear Information System (INIS)

    Dierolf, V.; Sandmann, C.; Zavada, J.; Chow, P.; Hertog, B.

    2004-01-01

    We investigated different Er 3+ defect sites found in Er-doped GaN layers by site-selective combined excitation-emission spectroscopy and studied the role of these sites in different direct and multistep excitation schemes. The layers were grown by molecular beam epitaxy and were 200 nm thick. Two majority sites were found along with several minority sites. The sites strongly differ in excitation and energy transfer efficiencies as well as branching ratios during relaxation. For this reason, relative emission intensities from these sites depend strongly on emission and excitation. The sites were identified for several transitions and a comprehensive list of energy levels has been compiled. One of the minority sites appears strongly under ultraviolet excitation above the GaN band gap suggesting that this site is an excellent trap for excitation energy of electron-hole pairs

  14. Strain and crystalline defects in epitaxial GaN layers studied by high-resolution X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Chierchia, Rosa

    2007-07-01

    This thesis treats strain and dislocations in MOVPE GaN layers. The mosaic structure of metalorganic vapour phase epitaxy (MOVPE)-grown GaN layers was studied in dependence on the grain diameter utilizing high-resolution XRD. Different models for the defect structure were analyzed, the edge type TD densities were calculated under the assumption that the dislocations are not randomly distributed but localized at the grain boundaries. Moreover, in situ measurements have shown that the layers are under tension in the c-plane when a nucleation layer is used. The second part of this thesis treats a particular approach to reduce dislocations in MOVPE GaN layers, i.e. maskless pendeo epitaxial growth of MOVPE GAN layers. FE simulations assuming the strain to be completely induced during cooling of the structures after growth agree only partly with experimental data. The strain state of single layers and stripes of GaN grown on SiC was studied to exploit the evolution of the strain in the different phases of the PE growth. The biaxial compressive stress, due to the lattice mismatch between the GaN layer and the AlN nucleation layer is plastically relieved before overgrowth. Temperature dependent measurements show a linear reduction of the wing tilt with increasing temperature varying from sample to sample. Bent TDs have been observed in TEM images of maskless PE samples. Stress induced from the mismatch between the AlN buffer layer and the GaN also contributes to the remaining part of the wing tilt not relieved thermally. It has to be noted that the rest tilt value varies from sample to sample at the growth temperature. In fact some of the data indicate that the wing tilt decreases with increasing V/III ratio. In the last Chapter the application of X-ray techniques for the analysis of strain and composition in layers of inhomogeneous composition is explored. In the first part of the Chapter the strain state and the Al content of AlGaN buffer layers grown directly on (0001

  15. Growth and structural investigations of epitaxial hexagonal YMnO3 thin films deposited on wurtzite GaN(001) substrates

    International Nuclear Information System (INIS)

    Balasubramanian, K.R.; Chang, Kai-Chieh; Mohammad, Feroz A.; Porter, Lisa M.; Salvador, Paul A.; DiMaio, Jeffrey; Davis, Robert F.

    2006-01-01

    Epitaxial hexagonal YMnO 3 (h-YMnO 3 ) films having sharp (00l) X-ray diffraction peaks were grown above 700 deg. C in 5 mTorr O 2 via pulsed laser deposition both on as-received wurtzite GaN/AlN/6H-SiC(001) (w-GaN) substrates as well as on w-GaN surfaces that were etched in 50% HF solution. High-resolution transmission electron microscopy revealed an interfacial layer between film and the unetched substrate; this layer was absent in those samples wherein an etched substrate was used. However, the substrate treatment did not affect the epitaxial arrangement between the h-YMnO 3 film and w-GaN substrate. The epitaxial relationships of the h-YMnO 3 films with the w-GaN(001) substrate was determined via X-ray diffraction to be (001) YMnO 3 -parallel (001) GaN : [11-bar0] YMnO 3 -parallel [110] GaN ; in other words, the basal planes of the film and the substrate are aligned parallel to one another, as are the most densely packed directions in planes of the film and the substrate. Interestingly, this arrangement has a larger lattice mismatch than if the principal axes of the unit cells were aligned

  16. Growth of c-plane ZnO on γ-LiAlO2 (1 0 0) substrate with a GaN buffer layer by plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yan, T.; Lu, C.-Y.J.; Schuber, R.; Chang, L.; Schaadt, D.M.; Chou, M.M.C.; Ploog, K.H.; Chiang, C.-M.

    2015-01-01

    Highlights: • ZnO epilayers were grown on LiAlO 2 (1 0 0) substrate with a GaN buffer layer by MBE. • A high Zn/O flux ratio is beneficial for reducing the density of screw dislocations. • Reciprocal space maps demonstrate that the misfit strain in ZnO has been relaxed. • No interfacial layer is formed at ZnO/GaN interface using a Zn pre-exposure strategy. - Abstract: C-plane ZnO epilayers were grown on LiAlO 2 (1 0 0) substrate with a GaN buffer layer by plasma assisted molecular beam epitaxy. Both the X-ray rocking curves and the transmission electron microscopy analyses indicate that the ZnO epilayers exhibit a lower threading dislocation density (∼1 × 10 10 cm −2 ) as compared to those grown on LiAlO 2 substrate without the buffer layer. A high Zn/O flux ratio is beneficial for reducing the density of screw-type dislocations. Reciprocal space maps demonstrate that the misfit strain has been relaxed. No interfacial layer is formed at the ZnO/GaN interface by using a Zn pre-exposure strategy. The ZnO epilayers exhibit a strong near band edge emission at 3.28 eV at room temperature with a negligible green band emission

  17. Fabrication and Characterization of Micro-membrane GaN Light Emitting Diodes

    KAUST Repository

    Liao, Hsien-Yu

    2015-05-01

    Developing etching of GaN material system is the key to device fabrications. In this thesis, we report on the fabrication of high throughput lift-off of InGaN/GaN based micro-membrane light emitting diode (LED) from sapphire substrate using UV-assisted photoelectroless chemical (PEsC) etching. Unlike existing bandgap selective etching based on unconventional sacrificial layer, the current hydrofluoric acid based wet etching process enables the selective etching of undoped GaN layer already incorporated in standard commercial LED structures, thus attaining the leverage on high performance device design, and facile wet process technology. The lift-off micro-membrane LED showed 16% alleviated quantum efficiency droop under 200 mA/cm2 current injection, demonstrating the advantage of LED epitaxy exfoliation from the lattice-mismatched sapphire substrate. The origin of the performance improvement was investigated based on non-destructive characterization methods. Photoluminescence (PL) characterization showed a 7nm peak emission wavelength shift in the micro-membrane LED compared to the GaN-on-Sapphire LED. The Raman spectroscopy measurements correlate well with the PL observation that a 0.86 GPa relaxed compressive biaxial strain was achieved after the lift-off process. The micro-membrane LED technology enables further heterogeneous integration for forming pixelated red, green, blue (RGB) display on flexible and transparent substrate. The development of discrete and membrane LEDs using nano-fiber paper as the current spreading layer was also explored for such integration.

  18. Selective area growth of GaN rod structures by MOVPE: Dependence on growth conditions

    Energy Technology Data Exchange (ETDEWEB)

    Li, Shunfeng; Fuendling, Soenke; Wang, Xue; Erenburg, Milena; Al-Suleiman, Mohamed Aid Mansur; Wei, Jiandong; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig, Hans-Sommer-Strasse 66, 38106 Braunschweig (Germany); Bergbauer, Werner [Institut fuer Halbleitertechnik, TU Braunschweig, Hans-Sommer-Strasse 66, 38106 Braunschweig (Germany); Osram Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg (Germany); Strassburg, Martin [Osram Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg (Germany)

    2011-07-15

    Selective area growth of GaN nanorods by metalorganic vapor phase epitaxy is highly demanding for novel applications in nano-optoelectronic and nanophotonics. Recently, we report the successful selective area growth of GaN nanorods in a continuous-flow mode. In this work, as examples, we show the morphology dependence of GaN rods with {mu}m or sub-{mu}m in diameters on growth conditions. Firstly, we found that the nitridation time is critical for the growth, with an optimum from 90 to 180 seconds. This leads to more homogeneous N-polar GaN rods growth. A higher temperature during GaN rod growth tends to increase the aspect ratio of the GaN rods. This is due to the enhanced surface diffusion of growth species. The V/III ratio is also an important parameter for the GaN rod growth. Its increase causes reduction of the aspect ratio of GaN rods, which could be explained by the relatively lower growth rate on (000-1) N-polar top surface than it on {l_brace}1-100{r_brace} m-planes by supplying more NH{sub 3} (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Investigation of AlN films grown by molecular beam epitaxy on vicinal Si(111) as templates for GaN quantum dots

    International Nuclear Information System (INIS)

    Benaissa, M.; Vennegues, P.; Tottereau, O.; Nguyen, L.; Semond, F.

    2006-01-01

    The use of AlN epitaxial films deposited on vicinal Si(111) as templates for the growth of GaN quantum dots is investigated by transmission electron microscopy and atomic force microscopy. It is found that the substrate vicinality induces both a slight tilt of the AlN (0001) direction with respect to the [111] direction and a step bunching mechanism. As a consequence, a dislocation dragging behavior is observed giving rise to dislocation-free areas well suited for the nucleation of GaN quantum dots

  20. GaN Schottky diodes with single-crystal aluminum barriers grown by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Tseng, H. Y.; Yang, W. C.; Lee, P. Y.; Lin, C. W.; Cheng, Kai-Yuan; Hsieh, K. C.; Cheng, K. Y., E-mail: kycheng@ee.nthu.edu.tw [Department of Electrical Engineering, National Tsing Hua University, Hsinchu 30013, Taiwan (China); Hsu, C.-H. [Division of Scientific Research, National Synchrotron Radiation Research Center, Hsinchu 30076, Taiwan (China)

    2016-08-22

    GaN-based Schottky barrier diodes (SBDs) with single-crystal Al barriers grown by plasma-assisted molecular beam epitaxy are fabricated. Examined using in-situ reflection high-energy electron diffractions, ex-situ high-resolution x-ray diffractions, and high-resolution transmission electron microscopy, it is determined that epitaxial Al grows with its [111] axis coincident with the [0001] axis of the GaN substrate without rotation. In fabricated SBDs, a 0.2 V barrier height enhancement and 2 orders of magnitude reduction in leakage current are observed in single crystal Al/GaN SBDs compared to conventional thermal deposited Al/GaN SBDs. The strain induced piezoelectric field is determined to be the major source of the observed device performance enhancements.

  1. Facile synthesis and photoluminescence spectroscopy of 3D-triangular GaN nano prism islands.

    Science.gov (United States)

    Kumar, Mukesh; Pasha, S K; Shibin Krishna, T C; Singh, Avanish Pratap; Kumar, Pawan; Gupta, Bipin Kumar; Gupta, Govind

    2014-08-21

    We report a strategy for fabrication of 3D triangular GaN nano prism islands (TGNPI) grown on Ga/Si(553) substrate at low temperature by N2(+) ions implantation using a sputtering gun technique. The annealing of Ga/Si(553) (600 °C) followed by nitridation (2 keV) shows the formation of high quality GaN TGNPI cross-section. TGNPI morphology has been confirmed by atomic force microscopy. Furthermore, these nano prism islands exhibit prominent ultra-violet luminescence peaking at 366 nm upon 325 nm excitation wavelength along with a low intensity yellow luminescence broad peak at 545 nm which characterizes low defects density TGNPI. Furthermore, the time-resolved spectroscopy of luminescent TGNPI in nanoseconds holds promise for its futuristic application in next generation UV-based sensors as well as many portable optoelectronic devices.

  2. Formation of helical dislocations in ammonothermal GaN substrate by heat treatment

    International Nuclear Information System (INIS)

    Horibuchi, Kayo; Yamaguchi, Satoshi; Kimoto, Yasuji; Nishikawa, Koichi; Kachi, Tetsu

    2016-01-01

    GaN substrate produced by the basic ammonothermal method and an epitaxial layer on the substrate was evaluated using synchrotron radiation x-ray topography and transmission electron microscopy. We revealed that the threading dislocations present in the GaN substrate are deformed into helical dislocations and the generation of the voids by heat treatment in the substrate for the first observation in the GaN crystal. These phenomena are formed by the interactions between the dislocations and vacancies. The helical dislocation was formed in the substrate region, and not in the epitaxial layer region. Furthermore, the evaluation of the influence of the dislocations on the leakage current of Schottky barrier diodes fabricated on the epitaxial layer is discussed. The dislocations did not affect the leakage current characteristics of the epitaxial layer. Our results suggest that the deformation of dislocations in the GaN substrate does not adversely affect the epitaxial layer. (paper)

  3. Effect of growth temperature on the epitaxial growth of ZnO on GaN by ALD

    Science.gov (United States)

    Särkijärvi, Suvi; Sintonen, Sakari; Tuomisto, Filip; Bosund, Markus; Suihkonen, Sami; Lipsanen, Harri

    2014-07-01

    We report on the epitaxial growth of ZnO on GaN template by atomic layer deposition (ALD). Diethylzinc (DEZn) and water vapour (H2O) were used as precursors. The structure and the quality of the grown ZnO layers were studied with scanning electron microscope (SEM), X-ray diffraction (XRD), photoluminescence (PL) measurements and positron annihilation spectroscopy. The ZnO films were confirmed epitaxial, and the film quality was found to improve with increasing deposition temperature in the vicinity of the threshold temperature of two dimensional growth. We conclude that high quality ZnO thin films can be grown by ALD. Interestingly only separate Zn-vacancies were observed in the films, although ZnO thin films typically contain fairly high density of surface pits and vacancy clusters.

  4. GaN microrod sidewall epitaxial lateral overgrowth on a close-packed microrod template

    Science.gov (United States)

    Duan, Xiaoling; Zhang, Jincheng; Xiao, Ming; Zhang, Jinfeng; Hao, Yue

    2018-05-01

    We demonstrate a GaN growth method using microrod sidewall epitaxial lateral overgrowth (MSELO) on a close-packed microrod template by a nonlithographic technique. The density and distribution of threading dislocations were determined by the density and distribution of microrods and the nucleation model. MSELO exhibited two different nucleation models determined by the direction and degree of substrate misorientation and the sidewall curvature: one-sidewall and three-sidewall nucleation, predicting the dislocation density values. As a result, the threading dislocation density was markedly decreased from 2 × 109 to 5 × 107 cm‑2 with a small coalescence thickness of ∼2 µm for the close-packed 3000 nm microrod sample.

  5. Growing GaN LEDs on amorphous SiC buffer with variable C/Si compositions

    Science.gov (United States)

    Cheng, Chih-Hsien; Tzou, An-Jye; Chang, Jung-Hung; Chi, Yu-Chieh; Lin, Yung-Hsiang; Shih, Min-Hsiung; Lee, Chao-Kuei; Wu, Chih-I; Kuo, Hao-Chung; Chang, Chun-Yen; Lin, Gong-Ru

    2016-01-01

    The epitaxy of high-power gallium nitride (GaN) light-emitting diode (LED) on amorphous silicon carbide (a-SixC1−x) buffer is demonstrated. The a-SixC1−x buffers with different nonstoichiometric C/Si composition ratios are synthesized on SiO2/Si substrate by using a low-temperature plasma enhanced chemical vapor deposition. The GaN LEDs on different SixC1−x buffers exhibit different EL and C-V characteristics because of the extended strain induced interfacial defects. The EL power decays when increasing the Si content of SixC1−x buffer. The C-rich SixC1−x favors the GaN epitaxy and enables the strain relaxation to suppress the probability of Auger recombination. When the SixC1−x buffer changes from Si-rich to C-rich condition, the EL peak wavelengh shifts from 446 nm to 450 nm. Moreover, the uniform distribution contour of EL intensity spreads between the anode and the cathode because the traping density of the interfacial defect gradually reduces. In comparison with the GaN LED grown on Si-rich SixC1−x buffer, the device deposited on C-rich SixC1−x buffer shows a lower turn-on voltage, a higher output power, an external quantum efficiency, and an efficiency droop of 2.48 V, 106 mW, 42.3%, and 7%, respectively. PMID:26794268

  6. Epitaxial growth of SrTiO3 (001) films on multilayer buffered GaN (0002) by pulsed laser deposition

    International Nuclear Information System (INIS)

    Luo, W B; Jing, J; Shuai, Y; Zhu, J; Zhang, W L; Zhou, S; Gemming, S; Du, N; Schmidt, H

    2013-01-01

    SrTiO 3 films were grown on CeO 2 /YSZ/TiO 2 multilayer buffered GaN/Al 2 O 3 (0001) substrates with and without the YBa 2 Cu 3 O 7-x (YBCO) bridge layer by pulsed laser deposition (PLD). The deposition process of the buffer layers was in situ monitored by reflection high-energy electron diffraction. The crystallographical orientation of the heterostructure was studied by x-ray diffraction (XRD). With the introduction of the YBCO (001) layer, the STO (001) film was epitaxially grown on the GaN substrate. There were three sets of inplane domains separated from each other by 30° in both STO and YBCO buffer layers. The epitaxial relationship was STO (002)[110]∥YBCO(001)[110]∥CeO 2 (002)[010]∥YSZ (002)[010]∥GaN(0001)[1 1 -2 0] according to XRD results. By comparing the orientation of STO grown on GaN with and without the YBCO top buffer layer, the surface chemical bonding was found to be a very important factor in determining the orientation relationship of STO.

  7. Electrical, optical, and structural properties of GaN films prepared by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Polyakov, A.Y.; Smirnov, N.B.; Yakimov, E.B.; Usikov, A.S.; Helava, H.; Shcherbachev, K.D.; Govorkov, A.V.; Makarov, Yu N.; Lee, In-Hwan

    2014-01-01

    Highlights: • GaN films are prepared by hydride vapor phase epitaxy (HVPE). • Residual donors and deep traps show a minimum density versus growth temperature. • This minimum is located close to the HVPE growth temperature of 950 °C. • Good crystalline GaN with residual donor density < 10 16 cm −3 can be grown at 950 °C. - Abstract: Two sets of undoped GaN films with the thickness of 10–20 μm were prepared by hydride vapor phase epitaxy (HVPE) and characterized by capacitance–voltage (C–V) profiling, microcathodoluminescence (MCL) spectra measurements, MCL imaging, electron beam induced current (EBIC) imaging, EBIC dependence on accelerating voltage, deep levels transient spectroscopy, high resolution X-ray diffraction measurements. The difference in growth conditions was mainly related to the lower (850 °C, group 1) or higher (950 °C, group 2) growth temperature. Both groups of samples showed similar crystalline quality with the dislocation density close to 10 8 cm −2 , but very different electrical and optical properties. In group 1 samples the residual donors concentration was ∼10 17 cm −3 or higher, the MCL spectra were dominated by the band-edge luminescence, and the diffusion length of charge carriers was close to 0.1 μm. Group 2 samples had a 2–4.5 μm thick highly resistive layer on top, for which MCL spectra were determined by green, yellow and red defect bands, and the diffusion length was 1.5 times higher than in group 1. We also present brief results of growth at the “standard” HVPE growth temperature of 1050 °C that show the presence of a minimum in the net donor concentration and deep traps density as a function of the growth temperature. Possible reasons for the observed results are discussed in terms of the electrical compensation of residual donors by deep traps

  8. Structural characteristics of single crystalline GaN films grown on (111) diamond with AlN buffer

    DEFF Research Database (Denmark)

    Pécz, Béla; Tóth, Lajos; Barna, Árpád

    2013-01-01

    Hexagonal GaN films with the [0001] direction parallel to the surface normal were grown on (111) oriented single crystalline diamond substrates by plasma-assisted molecular beam epitaxy. Pre-treatments of the diamond surface with the nitrogen plasma beam, prior the nucleation of a thin AlN layer......, eliminated the inversion domains and reduced the density of threading dislocations in the GaN epilayers. The films have an in-plane epitaxial relationship [1010]GaN//[110]diamond. Thus GaN (0001) thin films of single epitaxial relationship and of single polarity were realised on diamond with AlN buffer....

  9. High-Quality GaN Epilayers Achieved by Facet-Controlled Epitaxial Lateral Overgrowth on Sputtered AlN/PSS Templates.

    Science.gov (United States)

    He, Chenguang; Zhao, Wei; Zhang, Kang; He, Longfei; Wu, Hualong; Liu, Ningyang; Zhang, Shan; Liu, Xiaoyan; Chen, Zhitao

    2017-12-13

    It is widely believed that the lack of high-quality GaN wafers severely hinders the progress in GaN-based devices, especially for defect-sensitive devices. Here, low-cost AlN buffer layers were sputtered on cone-shaped patterned sapphire substrates (PSSs) to obtain high-quality GaN epilayers. Without any mask or regrowth, facet-controlled epitaxial lateral overgrowth was realized by metal-organic chemical vapor deposition. The uniform coating of the sputtered AlN buffer layer and the optimized multiple modulation guaranteed high growth selectivity and uniformity of the GaN epilayer. As a result, an extremely smooth surface was achieved with an average roughness of 0.17 nm over 3 × 3 μm 2 . It was found that the sputtered AlN buffer layer could significantly suppress dislocations on the cones. Moreover, the optimized three-dimensional growth process could effectively promote dislocation bending. Therefore, the threading dislocation density (TDD) of the GaN epilayer was reduced to 4.6 × 10 7 cm -2 , which is about an order of magnitude lower than the case of two-step GaN on the PSS. In addition, contamination and crack in the light-emitting diode fabricated on the obtained GaN were also effectively suppressed by using the sputtered AlN buffer layer. All of these advantages led to a high output power of 116 mW at 500 mA with an emission wavelength of 375 nm. This simple, yet effective growth technique is believed to have great application prospects in high-performance TDD-sensitive optoelectronic and electronic devices.

  10. Effect of different electrolytes on porous GaN using photo-electrochemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Al-Heuseen, K., E-mail: kalhussen@yahoo.com [Nano-Optoelectronics Research Laboratory, School of Physics, Universiti Sains Malaysia, 11800 Penang (Malaysia); Hashim, M.R. [Nano-Optoelectronics Research Laboratory, School of Physics, Universiti Sains Malaysia, 11800 Penang (Malaysia); Ali, N.K. [Material Innovations and Nanoelectronics Research Group, Faculty of Electrical Engineering, Department of Electronic Engineering, Universiti Teknologi Malaysia, 81310 Skudai, Johor (Malaysia)

    2011-05-01

    This article reports the properties and the behavior of GaN during the photoelectrochemical etching process using four different electrolytes. The measurements show that the porosity strongly depends on the electrolyte and highly affects the surface morphology of etched samples, which has been revealed by scanning electron microscopy (SEM) images. Peak intensity of the photoluminescence (PL) spectra of the porous GaN samples was observed to be enhanced and strongly depend on the electrolytes. Among the samples, there is a little difference in the peak position indicating that the change of porosity has little influence on the PL peak shift, while it highly affecting the peak intensity. Raman spectra of porous GaN under four different solution exhibit phonon mode E{sub 2} (high), A{sub 1} (LO), A{sub 1} (TO) and E{sub 2} (low). There was a red shift in E{sub 2} (high) in all samples, indicating a relaxation of stress in the porous GaN surface with respect to the underlying single crystalline epitaxial GaN. Raman and PL intensities were high for samples etched in H{sub 2}SO{sub 4}:H{sub 2}O{sub 2} and KOH followed by the samples etched in HF:HNO{sub 3} and in HF:C{sub 2}H{sub 5}OH.

  11. Effect of different electrolytes on porous GaN using photo-electrochemical etching

    International Nuclear Information System (INIS)

    Al-Heuseen, K.; Hashim, M.R.; Ali, N.K.

    2011-01-01

    This article reports the properties and the behavior of GaN during the photoelectrochemical etching process using four different electrolytes. The measurements show that the porosity strongly depends on the electrolyte and highly affects the surface morphology of etched samples, which has been revealed by scanning electron microscopy (SEM) images. Peak intensity of the photoluminescence (PL) spectra of the porous GaN samples was observed to be enhanced and strongly depend on the electrolytes. Among the samples, there is a little difference in the peak position indicating that the change of porosity has little influence on the PL peak shift, while it highly affecting the peak intensity. Raman spectra of porous GaN under four different solution exhibit phonon mode E 2 (high), A 1 (LO), A 1 (TO) and E 2 (low). There was a red shift in E 2 (high) in all samples, indicating a relaxation of stress in the porous GaN surface with respect to the underlying single crystalline epitaxial GaN. Raman and PL intensities were high for samples etched in H 2 SO 4 :H 2 O 2 and KOH followed by the samples etched in HF:HNO 3 and in HF:C 2 H 5 OH.

  12. Effect of different electrolytes on porous GaN using photo-electrochemical etching

    Science.gov (United States)

    Al-Heuseen, K.; Hashim, M. R.; Ali, N. K.

    2011-05-01

    This article reports the properties and the behavior of GaN during the photoelectrochemical etching process using four different electrolytes. The measurements show that the porosity strongly depends on the electrolyte and highly affects the surface morphology of etched samples, which has been revealed by scanning electron microscopy (SEM) images. Peak intensity of the photoluminescence (PL) spectra of the porous GaN samples was observed to be enhanced and strongly depend on the electrolytes. Among the samples, there is a little difference in the peak position indicating that the change of porosity has little influence on the PL peak shift, while it highly affecting the peak intensity. Raman spectra of porous GaN under four different solution exhibit phonon mode E 2 (high), A 1 (LO), A 1 (TO) and E 2 (low). There was a red shift in E 2 (high) in all samples, indicating a relaxation of stress in the porous GaN surface with respect to the underlying single crystalline epitaxial GaN. Raman and PL intensities were high for samples etched in H 2SO 4:H 2O 2 and KOH followed by the samples etched in HF:HNO 3 and in HF:C 2H 5OH.

  13. m-plane GaN layers grown by rf-plasma assisted molecular beam epitaxy with varying Ga/N flux ratios on m-plane 4H-SiC substrates

    International Nuclear Information System (INIS)

    Armitage, R.; Horita, M.; Suda, J.; Kimoto, T.

    2007-01-01

    A series of m-plane GaN layers with the Ga beam-equivalent pressure (BEP) as the only varied parameter was grown by rf-plasma assisted molecular beam epitaxy on m-plane 4H-SiC substrates using AlN buffer layers. The smoothest growth surfaces and most complete film coalescence were found for the highest Ga BEP corresponding to the Ga droplet accumulation regime. However, better structural quality as assessed by x-ray rocking curves was observed for growth at a lower Ga BEP value below the droplet limit. The variation of rocking curve widths for planes inclined with respect to the epilayer c axis followed a different trend with Ga BEP than those of reflections parallel to the c axis. The GaN layers were found to exhibit a large residual compressive strain along the a axis

  14. Characterization of GaN/AlGaN epitaxial layers grown

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical vapour deposition (MOCVD) system. The crystalline quality of these epitaxially grown layers is studied by different characterization techniques. PL measurements indicate band edge emission peak at 363.8 nm and 312 nm for GaN and AlGaN layers ...

  15. Critical thickness and strain relaxation in molecular beam epitaxy-grown SrTiO3 films

    International Nuclear Information System (INIS)

    Wang, Tianqi; Ganguly, Koustav; Marshall, Patrick; Xu, Peng; Jalan, Bharat

    2013-01-01

    We report on the study of the critical thickness and the strain relaxation in epitaxial SrTiO 3 film grown on (La 0.3 Sr 0.7 )(Al 0.65 Ta 0.35 )O 3 (001) (LSAT) substrate using the hybrid molecular beam epitaxy approach. No change in the film's lattice parameter (both the in-plane and the out-of-plane) was observed up to a film thickness of 180 nm, which is in sharp contrast to the theoretical critical thickness of ∼12 nm calculated using the equilibrium theory of strain relaxation. For film thicknesses greater than 180 nm, the out-of-plane lattice parameter was found to decrease hyperbolically in an excellent agreement with the relaxation via forming misfit dislocations. Possible mechanisms are discussed by which the elastic strain energy can be accommodated prior to forming misfit dislocations leading to such anomalously large critical thickness

  16. Growth kinetics and structural perfection of (InN)_1/(GaN)_1_–_2_0 short-period superlattices on +c-GaN template in dynamic atomic layer epitaxy

    International Nuclear Information System (INIS)

    Kusakabe, Kazuhide; Hashimoto, Naoki; Wang, Ke; Imai, Daichi; Itoi, Takaomi; Yoshikawa, Akihiko

    2016-01-01

    The growth kinetics and structural perfection of (InN)_1/(GaN)_1_–_2_0 short-period superlattices (SPSs) were investigated with their application to ordered alloys in mind. The SPSs were grown on +c-GaN template at 650 °C by dynamic atomic layer epitaxy in conventional plasma-assisted molecular beam epitaxy. It was found that coherent structured InN/GaN SPSs could be fabricated when the thickness of the GaN barrier was 4 ML or above. Below 3 ML, the formation of SPSs was quite difficult owing to the increased strain in the SPS structure caused by the use of GaN as a template. The effective or average In composition of the (InN)_1/(GaN)_4 SPSs was around 10%, and the corresponding InN coverage in the ∼1 ML-thick InN wells was 50%. It was found that the effective InN coverage in ∼1 ML-thick InN wells could be varied with the growth conditions. In fact, the effective In composition could be increased up to 13.5%, i.e., the corresponding effective InN coverage was about 68%, by improving the capping/freezing speed by increasing the growth rate of the GaN barrier layer.

  17. Growth and characterization of Ge nano-structures on Si(113) by adsorbate-mediated epitaxy; Wachstum und Charakterisierung von Ge-Nanostrukturen auf Si(113) durch Adsorbat-modifizierte Epitaxie

    Energy Technology Data Exchange (ETDEWEB)

    Clausen, T.

    2006-11-15

    In the work presented here Ge nano-structures on Si(113) substrates have been grown by adsorbate-mediated epitaxy at sample temperatures between 400 C and 700 C. The Ge nano-islands and nano-layers have been investigated regarding their atomic reconstruction, morphology, strain state, chemical composition and defect structure. Various in-situ and ex-situ experimental techniques have been used, as there are low-energy electron diffraction, low-energy electron microscopy, X-ray photoemission electron microscopy, spot profile analysis low-energy electron diffraction, grazing incidence X-ray diffraction, scanning tunneling microscopy, atomic force microscopy, scanning electron microscopy and transmission electron microscopy. On a clean Si(113) surface Ge preferentially nucleates at surface step edges and forms a wetting layer exhibiting a Ge-(2 x 2) surface reconstruction. With increasing growth temperature the Ge islands are elongated in the [33 anti 2] direction. Simultaneously, the average island size increases with decreasing island density. From the Arrhenius-like behaviour of the island density, a Ge adatom diffusion barrier height of about 0.53 eV is deduced. At 600 C the Si concentration of the islands amounts to about 41% and the residual lattice strain of the islands is found to about 23 %. The adsorption of Gallium on a clean Si(113) substrate leads to the formation of well ordered surface facets in the [1 anti 10] direction with a periodicity of about 43 nm in the [33 anti 2] direction. From reciprocal space maps in different ({kappa} {sub perpendicular} {sub to} -{kappa} {sub parallel}) planes both facet angles are determined to be about 9.8 with respect to the [113] direction. Thus the facet orientations are identified to be (112) and (115), showing (6 x 1) and (4 x 1) surface reconstructions, respectively. Ge deposition on the faceted Si(113) leads to a high density of ordered 3D Ge nano-islands beaded at the surface facets. The size of these islands is

  18. High electron mobility through the edge states in random networks of c-axis oriented wedge-shaped GaN nanowalls grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Bhasker, H. P.; Dhar, S.; Sain, A.; Kesaria, Manoj; Shivaprasad, S. M.

    2012-01-01

    Transport and optical properties of random networks of c-axis oriented wedge-shaped GaN nanowalls grown spontaneously on c-plane sapphire substrates through molecular beam epitaxy are investigated. Our study suggests a one dimensional confinement of carriers at the top edges of these connected nanowalls, which results in a blue shift of the band edge luminescence, a reduction of the exciton-phonon coupling, and an enhancement of the exciton binding energy. Not only that, the yellow luminescence in these samples is found to be completely suppressed even at room temperature. All these changes are highly desirable for the enhancement of the luminescence efficiency of the material. More interestingly, the electron mobility through the network is found to be significantly higher than that is typically observed for GaN epitaxial films. This dramatic improvement is attributed to the transport of electrons through the edge states formed at the top edges of the nanowalls.

  19. High-Temperature Growth of GaN and Al x Ga1- x N via Ammonia-Based Metalorganic Molecular-Beam Epitaxy

    Science.gov (United States)

    Billingsley, Daniel; Henderson, Walter; Doolittle, W. Alan

    2010-05-01

    The effect of high-temperature growth on the crystalline quality and surface morphology of GaN and Al x Ga1- x N grown by ammonia-based metalorganic molecular-beam epitaxy (NH3-MOMBE) has been investigated as a means of producing atomically smooth films suitable for device structures. The effects of V/III ratio on the growth rate and surface morphology are described herein. The crystalline quality of both GaN and AlGaN was found to mimic that of the GaN templates, with (002) x-ray diffraction (XRD) full-widths at half- maximum (FWHMs) of ~350 arcsec. Nitrogen-rich growth conditions have been found to provide optimal surface morphologies with a root-mean-square (RMS) roughness of ~0.8 nm, yet excessive N-rich environments have been found to reduce the growth rate and result in the formation of faceted surface pitting. AlGaN exhibits a decreased growth rate, as compared with GaN, due to increased N recombination as a result of the increased pyrolysis of NH3 in the presence of Al. AlGaN films grown directly on GaN templates exhibited Pendellösung x-ray fringes, indicating an abrupt interface and a planar AlGaN film. AlGaN films grown for this study resulted in an optimal RMS roughness of ~0.85 nm with visible atomic steps.

  20. Epitaxial Growth of MgxCa1-xO on GaN by Atomic Layer Deposition.

    Science.gov (United States)

    Lou, Xiabing; Zhou, Hong; Kim, Sang Bok; Alghamdi, Sami; Gong, Xian; Feng, Jun; Wang, Xinwei; Ye, Peide D; Gordon, Roy G

    2016-12-14

    We demonstrate for the first time that a single-crystalline epitaxial Mg x Ca 1-x O film can be deposited on gallium nitride (GaN) by atomic layer deposition (ALD). By adjusting the ratio between the amounts of Mg and Ca in the film, a lattice matched Mg x Ca 1-x O/GaN(0001) interface can be achieved with low interfacial defect density. High-resolution X-ray diffraction (XRD) shows that the lattice parameter of this ternary oxide nearly obeys Vegard's law. An atomically sharp interface from cross-sectional transmission electron microscopy (TEM) confirmed the high quality of the epitaxy. High-temperature capacitance-voltage characterization showed that the film with composition Mg 0.25 Ca 0.75 O has the lowest interfacial defect density. With this optimal oxide composition, a Mg 0.25 Ca 0.75 O/AlGaN/GaN metal-oxide-semiconductor high-electron-mobility (MOS-HEMT) device was fabricated. An ultrahigh on/off ratio of 10 12 and a near ideal SS of 62 mV/dec were achieved with this device.

  1. Characterization of low-frequency noise in molecular beam epitaxy-grown GaN epilayers deposited on double buffer layers

    International Nuclear Information System (INIS)

    Fong, W.K.; Ng, S.W.; Leung, B.H.; Surya, Charles

    2003-01-01

    We report the growth of high-mobility Si-doped GaN epilayers utilizing unique double buffer layer (DBL) structures, which consist of a thin buffer layer and a thick GaN intermediate-temperature buffer layer (ITBL). In this study, three types of DBL were investigated: (i) thin GaN low-temperature buffer layer/GaN ITBL (type I); (ii) nitridated Ga metal film/GaN ITBL (type II); and (iii) thin AlN high-temperature buffer layer/GaN ITBL (type III). Systematic measurements were conducted on the electron mobilities and the low-frequency noise over a wide range of temperatures. It is found that the electron mobilities of the GaN films are substantially improved with the use of DBLs, with the sample using type III DBL which exhibits the highest low-temperature mobility. Furthermore, the same sample also demonstrates the elimination of deep levels at 91 and 255 meV below the conduction band. This is believed to result from the relaxation of tensile stress during growth with the use of type III DBLs

  2. Chemical lift-off of (11-22) semipolar GaN using periodic triangular cavities

    Science.gov (United States)

    Jeon, Dae-Woo; Lee, Seung-Jae; Jeong, Tak; Baek, Jong Hyeob; Park, Jae-Woo; Jang, Lee-Woon; Kim, Myoung; Lee, In-Hwan; Ju, Jin-Woo

    2012-01-01

    Chemical lift-off of (11-22) semipolar GaN using triangular cavities was investigated. The (11-22) semipolar GaN was grown using epitaxial lateral overgrowth by metal-organic chemical vapor deposition on m-plane sapphire, in such a way as to keep N terminated surface of c-plane GaN exposed in the cavities. After regrowing 300 μm thick (11-22) semipolar GaN by hydride vapor phase epitaxy for a free-standing (11-22) semipolar GaN substrate, the triangular cavities of the templates were chemically etched in molten KOH. The (000-2) plane in the triangular cavities can be etched in the [0002] direction with the high lateral etching rate of 196 μm/min. The resulting free-standing (11-22) semipolar GaN substrate was confirmed to be strain-free by the Raman analysis.

  3. Transient atomic behavior and surface kinetics of GaN

    International Nuclear Information System (INIS)

    Moseley, Michael; Billingsley, Daniel; Henderson, Walter; Trybus, Elaissa; Doolittle, W. Alan

    2009-01-01

    An in-depth model for the transient behavior of metal atoms adsorbed on the surface of GaN is developed. This model is developed by qualitatively analyzing transient reflection high energy electron diffraction (RHEED) signals, which were recorded for a variety of growth conditions of GaN grown by molecular-beam epitaxy (MBE) using metal-modulated epitaxy (MME). Details such as the initial desorption of a nitrogen adlayer and the formation of the Ga monolayer, bilayer, and droplets are monitored using RHEED and related to Ga flux and shutter cycles. The suggested model increases the understanding of the surface kinetics of GaN, provides an indirect method of monitoring the kinetic evolution of these surfaces, and introduces a novel method of in situ growth rate determination.

  4. Transient atomic behavior and surface kinetics of GaN

    Science.gov (United States)

    Moseley, Michael; Billingsley, Daniel; Henderson, Walter; Trybus, Elaissa; Doolittle, W. Alan

    2009-07-01

    An in-depth model for the transient behavior of metal atoms adsorbed on the surface of GaN is developed. This model is developed by qualitatively analyzing transient reflection high energy electron diffraction (RHEED) signals, which were recorded for a variety of growth conditions of GaN grown by molecular-beam epitaxy (MBE) using metal-modulated epitaxy (MME). Details such as the initial desorption of a nitrogen adlayer and the formation of the Ga monolayer, bilayer, and droplets are monitored using RHEED and related to Ga flux and shutter cycles. The suggested model increases the understanding of the surface kinetics of GaN, provides an indirect method of monitoring the kinetic evolution of these surfaces, and introduces a novel method of in situ growth rate determination.

  5. Molecular beam epitaxy of InN layers on Sapphire, GaN and indium tin oxide

    Energy Technology Data Exchange (ETDEWEB)

    Denker, Christian; Landgraf, Boris; Schuhmann, Henning; Malindretos, Joerg; Seibt, Michael; Rizzi, Angela [IV. Physikalisches Institut, Georg-August-Universitaet Goettingen (Germany); Segura-Ruiz, Jaime; Gomez-Gomez, Maribel; Cantarero, Andres [Materials Science Institute, University of Valencia, Paterna (Spain)

    2009-07-01

    Among the group-III nitrides semiconductors, InN is the one with the narrowest gap (0.67 eV), lowest effective electron mass and highest peak drift velocity. It is therefore a very interesting material for several applications, in particular semiconductor solar cells. Furthermore, the high electron affinity makes it suitable also as electrode material for organic solar cells. InN layers were grown by molecular beam epitaxy on MOCVD GaN templates, on bare c-plane sapphire and on polycrystalline indium tin oxide. On all substrates the III-V ratio as well as the substrate temperature was varied. A RHEED analysis of InN growth on GaN showed a relatively sharp transition from N-rich and columnar growth to In-rich growth with droplet formation by increasing the In flux impinging on the surface. The InN layers on single crystalline substrates were characterized by SEM, AFM, XRD, PL and Raman. The InN layers on ITO were mainly analyzed with respect to the surface morphology with SEM. HRTEM in cross section gives insight into the structure of the interface to the ITO substrate.

  6. Step-flow anisotropy of the m-plane GaN (1100) grown under nitrogen-rich conditions by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Sawicka, Marta; Siekacz, Marcin; Skierbiszewski, Czeslaw; Turski, Henryk; Krysko, Marcin; DziePcielewski, Igor; Grzegory, Izabella; Smalc-Koziorowska, Julita

    2011-01-01

    The homoepitaxial growth of m-plane (1100) GaN was investigated by plasma-assisted molecular beam epitaxy under nitrogen-rich conditions. The surface morphologies as a function of sample miscut were studied, providing evidence for a strong growth anisotropy that is a consequence of the anisotropy of Ga adatom diffusion barriers on the m-plane surface recently calculated ab initio[Lymperakis and Neugebauer, Phys. Rev. B 79, 241308(R) (2009)]. We found that substrate miscut toward [0001] implies a step flow toward while substrate miscut toward [0001] causes formation of atomic steps either perpendicular or parallel to the [0001] direction, under N-rich conditions at 730 deg C. We describe the growth conditions for achieving atomically flat m-plane GaN layers with parallel atomic steps.

  7. Improved InGaN/GaN quantum wells on treated GaN template with a Ga-rich GaN interlayer

    International Nuclear Information System (INIS)

    Fang, Zhilai; Shen, Xiyang; Wu, Zhengyuan; Zhang, Tong-Yi

    2015-01-01

    Treated GaN template was achieved by in situ droplet epitaxy of a Ga-rich GaN interlayer on the conventional GaN template. InGaN/GaN quantum wells (QWs) were grown on the conventional and treated GaN templates under the same growth conditions and then comprehensively characterized. The indium homogeneity in the InGaN layers and the interface sharpness between InGaN and GaN layers of the InGaN/GaN QWs on the treated GaN template were significantly improved. The emission intensity from the InGaN/GaN QWs on the treated GaN template was enhanced by 20% than that on the conventional GaN template, which was attributed to the strain reduction and the improvement in crystalline quality. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  8. Cathodoluminescence of GaN diped with Zn in the process of epitaxial growth and by the method of ionic implantation

    International Nuclear Information System (INIS)

    Khasanov, I.Sh.; Gippius, A.A.; Kuznetsov, A.V.; Petrov, M.N.; Sletov, M.M.

    1984-01-01

    The cathodoluminescence (CL) method was used to investigate the epitaxial GaN layers doped with Zn both in the process of growth and by the method of ionic implantation for the purpose of clarifying the effect of doping technique on impurity luminescence. It was shown that (2-3)x10 18 cm -3 concentration is optimal with respect to intensity of impurity ''blue'' luminescence for gallium nitride doped with Zn. The intensity of GaN ''blue'' luminescence during Zn ionic implantation is several times lower as compared with doping in the process of growth. This is related to incomplete optical activation of impurity atoms in the process of postimplantation thermal annealing. Increase of zinc concentration above optimal during ionic implantation intensifies crystal lattice disordering, which is supported by intensification of 1.7 eV cathodoluminescence band in spectra conditioned by defects

  9. Plasmas for the low-temperature growth of high-quality GaN films by molecular beam epitaxy and remote plasma MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Capezzuto, P.; Bruno, G. [Plasmachemistry Research Center, CNR, Bari (Italy); Namkoong, G.; Doolittle, W.A.; Brown, A.S. [Georgia Inst. of Tech., Atlanta (United States). School of Electrical and Computer Engineering, Microelectronic Research Center

    2002-03-16

    GaN heteroepitaxial growth on sapphire (0001) substrates was carried out by both radio-frequency (rf) remote plasma metalorganic chemical vapor deposition (RP-MOCVD) and molecular beam epitaxy (MBE). A multistep growth process including substrate plasma cleaning and nitridation, buffer growth, its subsequent annealing and epilayer growth was used. In order to achieve a better understanding of the GaN growth, in-situ real time investigation of the surface chemistry is performed for all the steps using the conventional reflection high-energy electron spectroscopy (RHEED) during the MBE process, while laser reflectance interferometry (LRI) and spectroscopic ellipsometry (SE), which do not require UHV conditions, are used for the monitoring of the RP-MOCVD process. The chemistry of the rf N{sub 2} plasma sapphire nitridation and its effect on the epilayer growth and quality are discussed in both MBE and RP-MOCVD. (orig.)

  10. Impact of substrate off-angle on the m-plane GaN Schottky diodes

    Science.gov (United States)

    Yamada, Hisashi; Chonan, Hiroshi; Takahashi, Tokio; Shimizu, Mitsuaki

    2018-04-01

    We investigated the effects of the substrate off-angle on the m-plane GaN Schottky diodes. GaN epitaxial layers were grown by metal-organic chemical vapor deposition on m-plane GaN substrates having an off-angle of 0.1, 1.1, 1.7, or 5.1° toward [000\\bar{1}]. The surface of the GaN epitaxial layers on the 0.1°-off substrate consisted of pyramidal hillocks and contained oxygen (>1017 cm-3) and carbon (>1016 cm-3) impurities. The residual carbon and oxygen impurities decreased to current of the 0.1°-off m-plane GaN Schottky diodes originated from the +c facet of the pyramidal hillocks. The leakage current was efficiently suppressed through the use of an off-angle that was observed to be greater than 1.1°. The off-angle of the m-plane GaN substrate is critical in obtaining high-performance Schottky diodes.

  11. The nanorod approach: GaN NanoLEDs for solid state lighting

    Energy Technology Data Exchange (ETDEWEB)

    Waag, Andreas; Wang, Xue; Fuendling, Soenke; Ledig, Johannes; Erenburg, Milena; Neumann, Richard; Al Suleiman, Mohamed; Merzsch, Stephan; Wei, Jiandong; Li, Shunfeng; Wehmann, Hergo H. [Institut fuer Halbleitertechnik, TU Braunschweig, Hans-Sommer-Strasse 66, 38106 Braunschweig (Germany); Bergbauer, Werner; Strassburg, Martin [OSRAM Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg (Germany); Trampert, Achim; Jahn, Uwe; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2011-07-15

    Vertically aligned GaN nanorods have recently obtained substantial interest as a new approach to solid state lighting. In comparison to conventional planar LEDs, 3D NanoLEDs are expected to offer substantial advantageous: very low defect density, quasi free-standing, no strain due to mismatch of thermal expansion coefficients, no substrate bending even when grown on large area silicon. Core-shell strategies are another very interesting aspect. The active LED surface per wafer could be increased by more than one order of magnitude. However, most of these advantages have not yet been proven in real devices, which would include a quantitative comparison of light emission. Related to the 3D character, there are also technological risks. In the following we will discuss the main developments which have paved the way up to this point, including a detailed discussion of possible benefits and risks connected with the NanoLED approach (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE

    Energy Technology Data Exchange (ETDEWEB)

    Choudhary, B. S. [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India); Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Singh, A.; Tyagi, P. K. [Department of Applied Physics, Delhi Technological University, Delhi 110042 (India); Tanwar, S. [Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Kumar, M. Senthil; Kushvaha, S. S., E-mail: kushvahas@nplindia.org [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India)

    2016-04-13

    We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surface with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.

  13. Morphology of self-catalyzed GaN nanowires and chronology of their formation by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Galopin, E; Largeau, L; Patriarche, G; Travers, L; Glas, F; Harmand, J C

    2011-01-01

    GaN nanowires are synthesized by plasma-assisted molecular beam epitaxy on Si(111) substrates. The strong impact of the cell orientation relative to the substrate on the nanowire morphology is shown. To study the kinetics of growth, thin AlN markers are introduced periodically during NW growth. These markers are observed in single nanowires by transmission electron microscopy, giving access to the chronology of the nanowire formation and to the time evolution of the nanowire morphology. A long delay precedes the beginning of nanowire formation. Then, their elongation proceeds at a constant rate. Later, shells develop on the side-wall facets by ascending growth of layer bunches which first agglomerate at the nanowire foot.

  14. Epitaxial growth and characterization of approximately 300-nm-thick AlInN films nearly lattice-matched to c-plane GaN grown on sapphire

    Science.gov (United States)

    Miyoshi, Makoto; Yamanaka, Mizuki; Egawa, Takashi; Takeuchi, Tetsuya

    2018-05-01

    AlInN epitaxial films with film thicknesses up to approximately 300 nm were grown nearly lattice-matched to a c-plane GaN-on-sapphire template by metalorganic chemical vapor deposition. The AlInN films showed relative good crystal qualities and flat surfaces, despite the existence of surface pits connected to dislocations in the underlying GaN film. The refractive index derived in this study agreed well with a previously reported result obtained over the whole visible wavelength region. The extinction coefficient spectrum exhibited a clear absorption edge, and the bandgap energy for AlInN nearly lattice-matched to GaN was determined to be approximately 4.0 eV.

  15. Experiments and Modeling of Si-Ge Interdiffusion with Partial Strain Relaxation in Epitaxial SiGe Heterostructures

    KAUST Repository

    Dong, Y.

    2014-07-26

    Si-Ge interdiffusion and strain relaxation were studied in a metastable SiGe epitaxial structure. With Ge concentration profiling and ex-situ strain analysis, it was shown that during thermal anneals, both Si-Ge interdiffusion and strain relaxation occurred. Furthermore, the time evolutions of both strain relaxation and interdiffusion were characterized. It showed that during the ramp-up stage of thermal anneals at higher temperatures (800°C and 840°C), the degree of relaxation, R, reached a “plateau”, while interdiffusion was negligible. With the approximation that the R value is constant after the ramp-up stage, a quantitative interdiffusivity model was built to account for both the effect of strain relaxation and the impact of the relaxation induced dislocations, which gave good agreement with the experiment data.

  16. Dynamical X-ray scattering from the relaxed structures

    International Nuclear Information System (INIS)

    Benediktovitch, A.; Feranchuk, I.; Ulyanenkov, A.

    2009-01-01

    High-resolution X-ray diffraction is now widely used analytical tool for investigation of nano scale multilayered structures in semiconductor and optical technologies. The HRXRD method delivers unique information on the crystallographic lattice of the samples, concentration of solid solutions, lattice mismatches, layer thicknesses, defect distribution, and relaxation degree of the epitaxial layers. The evaluation of the experimental results, however, requires a robust and precise theory due to complex dynamical scattering of X-rays from near perfect crystallographic structure of the samples. Usually, the Takagi-Taupin approach [1] or the recurrent matrix methods [2] are used for the simulation of the X-ray diffraction profiles from the epitaxial multilayered structures. The use of these theories, however, becomes essentially difficult, when the lateral lattice mismatches are present in multilayers, for example, in the case of partially or fully relaxed epitaxially grown samples. In the present work, the general solution of this problem is found analytically. The angular divergence of the incident beam is also considered and the algorithm for the diffracted profile mapping in the reciprocal space is developed. The experimental reciprocal space mapping of typical AlGaN/GaN/AlN samples with partially relaxed layers is compared to the simulated maps, which describe well the location and character of the diffraction spots caused by different layers. (author)

  17. Optical investigation of strain in Si-doped GaN films

    International Nuclear Information System (INIS)

    Sanchez-Paramo, J.; Calleja, J. M.; Sanchez-Garcia, M. A.; Calleja, E.

    2001-01-01

    The effects of Si doping on the growth mode and residual strain of GaN layers grown on Si(111) substrates by plasma-assisted molecular beam epitaxy are studied by Raman scattering and photoluminescence. As the Si concentration increases a progressive decrease of the high-energy E 2 mode frequency is observed, together with a redshift of the excitonic emission. Both effects indicate an enhancement of the biaxial tensile strain of thermal origin for increasing doping level, which is confirmed by x-ray diffraction measurements. Beyond Si concentrations of 5x10 18 cm -3 both the phonon frequency and the exciton emission energy increase again. This change indicates a partial strain relaxation due to a change in the growth mode. [copyright] 2001 American Institute of Physics

  18. TEM characterization of catalyst- and mask-free grown GaN nanorods

    International Nuclear Information System (INIS)

    Schowalter, M; Aschenbrenner, T; Kruse, C; Hommel, D; Rosenauer, A

    2010-01-01

    Catalyst- and mask-free grown GaN nanorods have been investigated using transmission electron microscopy (TEM), scanning transmission electron microscopy (STEM) and energy filtered transmission electron microscopy (EFTEM). The nanorods were grown on nitridated r-plane sapphire substrates in a molecular beam epitaxy reactor. We investigated samples directly after the nitridation and after the overgrowth of the structure with GaN. High resolution transmission electron microscopy (HRTEM) and EFTEM revealed that AlN islands have formed due to nitridation. After overgrowth, the AlN islands could not be observed any more, neither by EFTEM nor by Z-contrast imaging. Instead, a smooth layer consisting of AlGaN was found. The investigation of the overgrown sample revealed that an a-plane GaN layer and GaN nanorods on top of the a-plane GaN have formed. The nanorods reduced from top of the a-plane GaN towards the a-plane GaN/sapphire interface suggesting that the nanorods originate at the AlN islands found after nitridation. However, this could not be shown unambiguously. The number of threading dislocations in the nanorods was very low. The analysis of the epitaxial relationship to the a-plane GaN showed that the nanorods grew along the [000-1] direction, and the [1-100] direction of the rods was parallel to the [0001] direction of the a-plane GaN.

  19. Semi-polar GaN heteroepitaxy an high index Si-surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Ravash, Roghaiyeh; Blaesing, Juergen; Hempel, Thomas; Dadgar, Armin; Christen, Juergen; Krost, Alois [Otto-von-Guericke-University Magdeburg, FNW/IEP/AHE, Magdeburg (Germany)

    2011-07-01

    Due to the lack of GaN homosubstrates, the growth of GaN-based devices is usually performed on heterosubstrates as sapphire or SiC. These substrates are either insulating or expensive, and both unavailable in large diameters. Meanwhile, silicon can meet the requirements for a low price and thermally well conducting substrate and also enabling the integration of optoelectronic devices with Si-based electronics. Up to now, the good matching of hexagonal GaN with the three-fold symmetry of Si(111) greatly promotes the c-axis orientated growth of GaN on this surface plane. A large spontaneous and piezoelectric polarization oriented along the c-axis exists in such hexagonal structure leading to low efficiencies for thick quantum wells. The attention to the growth of non-polar or semi-polar GaN based epitaxial structures has been increased recently because of reducing the effect of the polarization fields in these growth directions. Therefore we studied semi-polar GaN epilayers grown by metalorganic vapor phase epitaxy on silicon substrates with different orientations from Si(211) to Si(711). We observed that AlN seeding layer growth time play a significant role in obtaining the different GaN texture.

  20. Influence of anisotropic strain relaxation on the magnetoresistance properties of epitaxial Fe3O4 (110) films

    Science.gov (United States)

    Sofin, R. G. S.; Wu, Han-Chun; Ramos, R.; Arora, S. K.; Shvets, I. V.

    2015-11-01

    We studied Fe3O4 (110) films grown epitaxially on MgO (110) substrates using oxygen plasma assisted molecular beam epitaxy. The films with thickness of 30-200 nm showed anisotropic in-plane partial strain relaxation. Magneto resistance (MR) measurements with current and magnetic field along ⟨001⟩ direction showed higher MR compared to ⟨1 ¯ 10 ⟩ direction. Maximum value of MR was measured at Verwey transition temperature for both directions. We explain the observed anisotropy in the MR on the basis of the effects of anisotropic misfit strain, and the difference between the density of antiferromagnetically coupled antiphase boundaries formed along ⟨001⟩ and ⟨1 ¯ 10 ⟩ crystallographic directions, suggesting the dependence of spin polarisation on the anisotropic strain relaxation along the said crystallographic directions.

  1. Optimum Er concentration for in situ doped GaN visible and infrared luminescence

    International Nuclear Information System (INIS)

    Lee, D. S.; Heikenfeld, J.; Steckl, A. J.; Hommerich, U.; Seo, J. T.; Braud, A.; Zavada, J.

    2001-01-01

    GaN thin films have been doped with varying Er concentrations (0.01--10 at.%) during molecular-beam-epitaxy growth. As expected, the visible and infrared (IR) emissions, from photoluminescence (PL) and electroluminescence (EL), are a strong function of Er concentration. We report on the determination of an optimum Er doping level for PL and EL intensity. Secondary ion mass spectroscopy and Rutherford backscattering measurements showed that the Er concentration in GaN increased exponentially with Er cell temperature. PL and EL intensity of green emission at 537 and 558 nm, due to Er 4f--4f inner shell transitions, exhibited a maximum at ∼1 at.% Er. IR PL intensity at 1.54 μm, due to another Er transition, revealed the same maximum for ∼1 at.% Er concentration. PL lifetime measurements at 537 nm showed that samples with Er concentration <1 at.% had a lifetime of ∼5 μs. For Er concentration ≥1 at.%, the lifetime decreased rapidly to values below 1 μs. This concentration quenching is believed to be due to a combination of Er cross relaxation and energy transfer to GaN defects, eventually followed by precipitation. This conclusion is supported by x-ray diffraction measurements. As a result, we have determined that the optimum Er doping concentration into GaN is ∼1 at.%. Copyright 2001 American Institute of Physics

  2. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    Science.gov (United States)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  3. Polarity analysis of GaN nanorods by photo-assisted Kelvin probe force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Jiandong; Neumann, Richard; Wang, Xue; Li, Shunfeng; Fuendling, Soenke; Merzsch, Stephan; Al-Suleiman, Mohamed A.M.; Soekmen, Uensal; Wehmann, Hergo-H.; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig (Germany)

    2011-07-15

    Polarity dependence (N-polar (000-1) and Ga-polar (0001)) of surface photovoltage of epitaxially grown, vertically aligned GaN nanorods has been investigated by photo-assisted Kelvin probe force microscopy (KPFM). Commercial GaN substrates with known polarities are taken as reference samples. The polarity of GaN substrates can be well distinguished by the change in surface photovoltage upon UV illumination in air ambient. These different behaviors of Ga- and N-polar surfaces are attributed to the polarity-related surface-bound charges and photochemical reactivity. GaN nanorods were grown on patterned SiO{sub 2}/sapphire templates by metal-organic vapor phase epitaxy (MOVPE). In order to analyze the bottom surface of the grown GaN nanorods, a technique known from high power electronics and joining techniques is applied to remove the substrate. The top and bottom surfaces of the GaN nanorods are identified to be N-polar and Ga-polar according to the KPFM results, respectively. Our experiments demonstrate that KPFM is a simple and suitable method capable to identify the polarity of GaN nanorods. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Photoconduction efficiencies and dynamics in GaN nanowires grown by chemical vapor deposition and molecular beam epitaxy: A comparison study

    International Nuclear Information System (INIS)

    Chen, R. S.; Tsai, H. Y.; Huang, Y. S.; Chen, Y. T.; Chen, L. C.; Chen, K. H.

    2012-01-01

    The normalized gains, which determines the intrinsic photoconduction (PC) efficiencies, have been defined and compared for the gallium nitride (GaN) nanowires (NWs) grown by chemical vapor deposition (CVD) and molecular beam epitaxy (MBE). By excluding the contributions of experimental parameters and under the same light intensity, the CVD-grown GaN NWs exhibit the normalized gain which is near two orders of magnitude higher than that of the MBE-ones. The temperature-dependent time-resolved photocurrent measurement further indicates that the higher photoconduction efficiency in the CVD-GaN NWs is originated from the longer carrier lifetime induced by the higher barrier height (φ B = 160 ± 30 mV) of surface band bending. In addition, the experimentally estimated barrier height at 20 ± 2 mV for the MBE-GaN NWs, which is much lower than the theoretical value, is inferred to be resulted from the lower density of charged surface states on the non-polar side walls.

  5. Strain-free GaN thick films grown on single crystalline ZnO buffer layer with in situ lift-off technique

    International Nuclear Information System (INIS)

    Lee, S. W.; Minegishi, T.; Lee, W. H.; Goto, H.; Lee, H. J.; Lee, S. H.; Lee, Hyo-Jong; Ha, J. S.; Goto, T.; Hanada, T.; Cho, M. W.; Yao, T.

    2007-01-01

    Strain-free freestanding GaN layers were prepared by in situ lift-off process using a ZnO buffer as a sacrificing layer. Thin Zn-polar ZnO layers were deposited on c-plane sapphire substrates, which was followed by the growth of Ga-polar GaN layers both by molecular beam epitaxy (MBE). The MBE-grown GaN layer acted as a protecting layer against decomposition of the ZnO layer and as a seeding layer for GaN growth. The ZnO layer was completely in situ etched off during growth of thick GaN layers at low temperature by hydride vapor phase epitaxy. Hence freestanding GaN layers were obtained for the consecutive growth of high-temperature GaN thick layers. The lattice constants of freestanding GaN agree with those of strain-free GaN bulk. Extensive microphotoluminescence study indicates that strain-free states extend throughout the high-temperature grown GaN layers

  6. Elimination of macrostep-induced current flow nonuniformity in vertical GaN PN diode using carbon-free drift layer grown by hydride vapor phase epitaxy

    Science.gov (United States)

    Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi

    2018-04-01

    In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.

  7. Impact ionization of excitons in electric field of GaN and quantum wells of GaN/AlGaN

    International Nuclear Information System (INIS)

    Nel'son, D.K.; Yakobson, M.A.; Kagan, V.D.; Gil, B.; Grandjean, N.; Beaumont, B.; Massier, J.; Gibart, P.

    2001-01-01

    The effect of the exciton states impact ionization in the GaN exploit films and in the GaN/AlGaN structures with quantum wells is studied. The study was carried out through the optical method, based on the exciton photoluminescence quenching by applying the electric field. It is established that in the process of the electrons relaxation by energy and pulse the scattering on the admixtures prevails over the scattering on the acoustic phonons. The average length of the hot electrons free run is evaluated. The average length of the hot electrons free run in the GaN/AlGaN wells proved to be by the value order higher than in the GaN epitaxial films, which is conditioned by decrease in the probability of the electrons scattering in the two-dimensional case [ru

  8. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    Science.gov (United States)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Takahashi, Tokio; Shimizu, Mitsuaki; Suda, Jun

    2017-03-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 130 to 450 K. For the lightly doped p-GaN, the acceptor concentration of 7.0 × 1016 cm-3 and the donor concentration of 3.2 × 1016 cm-3 were obtained, where the compensation ratio was 46%. We also obtained the depth of the Mg acceptor level to be 220 meV. The hole mobilities of 86, 31, 14 cm2 V-1 s-1 at 200, 300, 400 K, respectively, were observed in the lightly doped p-GaN.

  9. Effect of annealing on metastable shallow acceptors in Mg-doped GaN layers grown on GaN substrates

    OpenAIRE

    Pozina, Galia; Hemmingsson, Carl; Paskov, Plamen P.; Bergman, Peder; Monemar, Bo; Kawashima, T.; Amano, H.; Akasaki, I.; Usui, A.

    2008-01-01

    Mg-doped GaN layers grown by metal-organic vapor phase epitaxy on GaN substrates produced by the halide vapor phase technique demonstrate metastability of the near-band-gap photoluminescence (PL). The acceptor bound exciton (ABE) line possibly related to the C acceptor vanishes in as-grown samples within a few minutes under UV laser illumination. Annealing activates the more stable Mg acceptors and passivates C acceptors. Consequently, only the ABE line related to Mg is dominant in PL spectra...

  10. Optical investigation of strain in Si-doped GaN films

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Paramo, J.; Calleja, J. M.; Sanchez-Garcia, M. A.; Calleja, E.

    2001-06-25

    The effects of Si doping on the growth mode and residual strain of GaN layers grown on Si(111) substrates by plasma-assisted molecular beam epitaxy are studied by Raman scattering and photoluminescence. As the Si concentration increases a progressive decrease of the high-energy E{sub 2} mode frequency is observed, together with a redshift of the excitonic emission. Both effects indicate an enhancement of the biaxial tensile strain of thermal origin for increasing doping level, which is confirmed by x-ray diffraction measurements. Beyond Si concentrations of 5{times}10{sup 18}cm{sup {minus}3} both the phonon frequency and the exciton emission energy increase again. This change indicates a partial strain relaxation due to a change in the growth mode. {copyright} 2001 American Institute of Physics.

  11. GaN Nanowire Arrays for High-Output Nanogenerators

    KAUST Repository

    Huang, Chi-Te

    2010-04-07

    Three-fold symmetrically distributed GaN nanowire (NW) arrays have been epitaxially grown on GaN/sapphire substrates. The GaN NW possesses a triangular cross section enclosed by (0001), (2112), and (2112) planes, and the angle between the GaN NW and the substrate surface is ∼62°. The GaN NW arrays produce negative output voltage pulses when scanned by a conductive atomic force microscope in contact mode. The average of piezoelectric output voltage was about -20 mV, while 5-10% of the NWs had piezoelectric output voltages exceeding -(0.15-0.35) V. The GaN NW arrays are highly stable and highly tolerate to moisture in the atmosphere. The GaN NW arrays demonstrate an outstanding potential to be utilized for piezoelectric energy generation with a performance probably better than that of ZnO NWs. © 2010 American Chemical Society.

  12. Modification of GaN(0001) growth kinetics by Mg doping

    International Nuclear Information System (INIS)

    Monroy, E.; Andreev, T.; Holliger, P.; Bellet-Amalric, E.; Shibata, T.; Tanaka, M.; Daudin, B.

    2004-01-01

    We have studied the effect of Mg doping on the surface kinetics of GaN during growth by plasma-assisted molecular-beam epitaxy. Mg tends to segregate on the surface of GaN, inhibiting the formation of the self-regulated Ga film which is used as a surfactant for the growth of undoped and Si-doped GaN. The growth window is hence significantly reduced. Higher growth temperatures lead to an enhancement of Mg segregation and an improvement of the surface morphology

  13. Structural, electrical and luminescent characteristics of ultraviolet light emitting structures grown by hydride vapor phase epitaxy

    Directory of Open Access Journals (Sweden)

    A.Y. Polyakov

    2017-03-01

    Full Text Available Electrical and luminescent properties of near-UV light emitting diode structures (LEDs prepared by hydride vapor phase epitaxy (HVPE were studied. Variations in photoluminescence and electroluminescence efficiency observed for LEDs grown under nominally similar conditions could be attributed to the difference in the structural quality (dislocation density, density of dislocations agglomerates of the GaN active layers, to the difference in strain relaxation achieved by growth of AlGaN/AlGaN superlattice and to the presence of current leakage channels in current confining AlGaN layers of the double heterostructure.

  14. Propagation of electro-kinetic waves in magnetized GaN semiconductor with nano-sized ion colloids

    Energy Technology Data Exchange (ETDEWEB)

    Saxena, Ajay [Government College, Garoth, Dist. Mandsaur (M P) (India); Sharma, Giriraj, E-mail: grsharma@gmail.com [SRJ Government Girls’ College, Neemuch (M P) (India); Jat, K. L. [Swami Vivekanand Government P G College, Neemuch (M P) (India); Rishi, M. P. [Shahid Bhagat Singh Government P G College, Jaora, Dist Ratlam (M P) (India)

    2015-07-31

    Based on hydrodynamic model of multi-component plasma, an analytical study on propagation of longitudinal electro-kinetic (LEK) waves in wurtzite and zincblende structures of GaN is carried out. Nano-sized ion colloids (NICs) are embedded in the sample by the technique of ion-implantation. The implanted NICs are considered massive by an order as compared to the host lattice points and do not participate in Based LEK perturbations. Though, the NICs are continuously bombarded by the electrons as well as the holes yet, the former acquires a net negative charge owing to relatively higher mobility of electrons and consequently results into depletion of electron density in the medium. It i s found that the presence of charged NICs significantly modifies the dispersion and amplification characteristics of LEK waves in magnetized GaN semiconductor plasma and their role becomes increasingly effective as the fraction of charge on them increases.

  15. Propagation of electro-kinetic waves in magnetized GaN semiconductor with nano-sized ion colloids

    International Nuclear Information System (INIS)

    Saxena, Ajay; Sharma, Giriraj; Jat, K. L.; Rishi, M. P.

    2015-01-01

    Based on hydrodynamic model of multi-component plasma, an analytical study on propagation of longitudinal electro-kinetic (LEK) waves in wurtzite and zincblende structures of GaN is carried out. Nano-sized ion colloids (NICs) are embedded in the sample by the technique of ion-implantation. The implanted NICs are considered massive by an order as compared to the host lattice points and do not participate in Based LEK perturbations. Though, the NICs are continuously bombarded by the electrons as well as the holes yet, the former acquires a net negative charge owing to relatively higher mobility of electrons and consequently results into depletion of electron density in the medium. It i s found that the presence of charged NICs significantly modifies the dispersion and amplification characteristics of LEK waves in magnetized GaN semiconductor plasma and their role becomes increasingly effective as the fraction of charge on them increases

  16. Nanoscale imaging of surface piezoresponse on GaN epitaxial layers

    International Nuclear Information System (INIS)

    Stoica, T.; Calarco, R.; Meijers, R.; Lueth, H.

    2007-01-01

    Surfaces of GaN films were investigated by atomic force microscopy (AFM) with implemented piezoelectric force microscopy technique. A model of PFM based on the surface depletion region in GaN films is discussed. The local piezoelectric effect of the low frequency regime was found to be in phase with the applied voltage on large domains, corresponding to a Ga-face of the GaN layer. Low piezoresponse is obtained within the inter-domain regions. The use of frequencies near a resonance frequency enhances very much the resolution of piezo-imaging, but only for very low scanning speed the piezo-imaging can follow the local piezoelectric effect. An inversion of the PFM image contrast is obtained for frequencies higher than the resonance frequencies. The effect of a chemical surface treatment on the topography and the piezoresponse of the GaN films was also investigated. Textured surfaces with very small domains were observed after the chemical treatment. For this kind of surfaces, piezo-induced torsion rather than bending of the AFM cantilever dominates the contrast of the PFM images. A small memory effect was observed, and explained by surface charging and confinement of the piezoelectric effect within the carrier depletion region at the GaN surface

  17. Induced defects in neutron irradiated GaN single crystals

    International Nuclear Information System (INIS)

    Park, I. W.; Koh, E. K.; Kim, Y. M.; Choh, S. H.; Park, S. S.; Kim, B. G.; Sohn, J. M.

    2005-01-01

    The local structure of defects in undoped, Si-doped, and neutron irradiated free standing GaN bulk crystals, grown by hydride vapor phase epitaxy, has been investigated by employing Raman scattering and cathodoluminescence. The GaN samples were irradiated to a dose of 2 x 10 17 neutrons in an atomic reactor at Korea Atomic Energy Research Institute. There was no appreciable change in the Raman spectra for undoped GaN samples before and after neutron irradiation. However, a forbidden transition, A 1 (TO) mode, appeared for a neutron irradiated Si-doped GaN crystal. Cathodoluminescence spectrum for the neutron irradiated Si-doped GaN crystal became much more broadened than that for the unirradiated one. The experimental results reveal the generation of defects with locally deformed structure in the wurtzite Si-doped GaN single crystal

  18. Mg doping of GaN grown by plasma-assisted molecular beam epitaxy under nitrogen-rich conditions

    International Nuclear Information System (INIS)

    Zhang Meng; Bhattacharya, Pallab; Guo Wei; Banerjee, Animesh

    2010-01-01

    Acceptor doping of GaN with Mg during plasma-assisted molecular beam epitaxy, under N-rich conditions and a relatively high growth temperature of 740 deg. C, was investigated. The p-doping level steadily increases with increasing Mg flux. The highest doping level achieved, determined from Hall measurements, is 2.1x10 18 cm -3 . The corresponding doping efficiency and hole mobility are ∼4.9% and 3.7 cm 2 /V s at room temperature. Cross-sectional transmission electron microscopy and photoluminescence measurements confirm good crystalline and optical quality of the Mg-doped layers. An InGaN/GaN quantum dot light emitting diode (λ peak =529 nm) with p-GaN contact layers grown under N-rich condition exhibits a low series resistance of 9.8 Ω.

  19. The impact of SiC substrate treatment on the heteroepitaxial growth of GaN by plasma assisted MBE

    Energy Technology Data Exchange (ETDEWEB)

    Brown, A.S.; Kim, T.H.; Choi, S.; Morse, M.; Wu, P. [Department of Electrical and Computer Engineering, Duke University, Durham, NC 27709 (United States); Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, and INSTM via Orabona 4 -70126, Bari (Italy)

    2005-11-01

    We report on the impact of the preparation of the Si-face 4H-SiC(0001){sub Si} substrate using a Ga flash-off process on the epitaxial growth of GaN by plasma-assisted molecular beam epitaxy. The nucleation, as well as the resultant structural and morphological properties of GaN grown directly on 4H-SiC(0001){sub Si} are strongly influenced by the chemical and morphological modifications of the SiC surface induced by the Ga flash-off process. Herein we describe the impact of the specific concentration of Ga incident on the surface (quantified in terms of monolayer (ML) coverage): of 0.5 ML, 1ML and 2ML. The residual oxygen at the SiC surface, unintentional SiC nitridation and the formation of cubic GaN grains during the initial nucleation stage, are all reduced when a 2 ML Ga flash is used. All of the above factors result in structural improvement of the GaN epitaxial layers. The correlation between the SiC surface modification, the initial nucleation stage, and the GaN epitaxial layer structural quality has been articulated using x-ray photoelectron spectroscopy, X-ray diffraction, atomic force microscopy and spectroscopic ellipsometry data. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. In-situ grazing incidence X-ray diffraction measurements of relaxation in Fe/MgO/Fe epitaxial magnetic tunnel junctions during annealing

    Energy Technology Data Exchange (ETDEWEB)

    Eastwood, D.S. [Department of Physics, Durham University, South Road, Durham DH1 3LE (United Kingdom); Ali, M.; Hickey, B.J. [Department of Physics and Astronomy, University of Leeds, Leeds LS2 1JT (United Kingdom); Tanner, B.K., E-mail: b.k.tanner@dur.ac.uk [Department of Physics, Durham University, South Road, Durham DH1 3LE (United Kingdom)

    2013-12-15

    The relaxation of Fe/MgO/Fe tunnel junctions grown epitaxially on (001) MgO substrates has been measured by in-situ grazing incidence in-plane X-ray diffraction during the thermal annealing cycle. We find that the Fe layers are fully relaxed and that there are no irreversible changes during annealing. The MgO tunnel barrier is initially strained towards the Fe but on annealing, relaxes and expands towards the bulk MgO value. The strain dispersion is reduced in the MgO by about 40% above 480 K post-annealing. There is no significant change in the “twist” mosaic. Our results indicate that the final annealing stage of device fabrication, crucial to attainment of high TMR, induces substantial strain relaxation at the MgO barrier/lower Fe electrode interface. - Highlights: • Lattice relaxation of Fe/MgO/Fe epitaxial magnetic tunnel junctions measured. • In-plane lattice parameter of Fe equal to bulk value; totally relaxed. • MgO barrier initially strained towards the Fe but relaxes on annealing. • Reduction in strain dispersion in the MgO barrier by 40% above about 470 K. • No change in the in-plane “twist” mosaic throughout the annealing cycle.

  1. Strain relaxation in epitaxial GaAs/Si (0 0 1) nanostructures

    Science.gov (United States)

    Kozak, Roksolana; Prieto, Ivan; Arroyo Rojas Dasilva, Yadira; Erni, Rolf; Skibitzki, Oliver; Capellini, Giovanni; Schroeder, Thomas; von Känel, Hans; Rossell, Marta D.

    2017-11-01

    Crystal defects, present in 100 nm GaAs nanocrystals grown by metal organic vapour phase epitaxy on top of (0 0 1)-oriented Si nanotips (with a tip opening 50-90 nm), have been studied by means of high-resolution aberration-corrected high-angle annular dark-field scanning transmission electron microscopy. The role of 60° perfect, 30° and 90° Shockley partial misfit dislocations (MDs) in the plastic strain relaxation of GaAs on Si is discussed. Formation conditions of stair-rod dislocations and coherent twin boundaries in the GaAs nanocrystals are explained. Also, although stacking faults are commonly observed, we show here that synthesis of GaAs nanocrystals with a minimum number of these defects is possible. On the other hand, from the number of MDs, we have to conclude that the GaAs nanoparticles are fully relaxed plastically, such that for the present tip sizes no substrate compliance can be observed.

  2. Island dynamics and anisotropy during vapor phase epitaxy of m-plane GaN

    Energy Technology Data Exchange (ETDEWEB)

    Perret, Edith [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; University of Fribourg, Department of Physics and Fribourg Center for Nanomaterials, Chemin du Musée 3, CH-1700 Fribourg, Switzerland; Xu, Dongwei [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Highland, M. J. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Stephenson, G. B. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Zapol, P. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Fuoss, P. H. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Munkholm, A. [Munkholm Consulting, Mountain View, California 94043, USA; Thompson, Carol [Department of Physics, Northern Illinois University, DeKalb, Illinois 60115, USA

    2017-12-04

    Using in situ grazing-incidence x-ray scattering, we have measured the diffuse scattering from islands that form during layer-by-layer growth of GaN by metal-organic vapor phase epitaxy on the (1010) m-plane surface. The diffuse scattering is extended in the (0001) in-plane direction in reciprocal space, indicating a strong anisotropy with islands elongated along [1210] and closely spaced along [0001]. This is confirmed by atomic force microscopy of a quenched sample. Islands were characterized as a function of growth rate F and temperature. The island spacing along [0001] observed during the growth of the first monolayer obeys a power-law dependence on growth rate F-n, with an exponent n = 0:25 + 0.02. The results are in agreement with recent kinetic Monte Carlo simulations, indicating that elongated islands result from the dominant anisotropy in step edge energy and not from surface diffusion anisotropy. The observed power-law exponent can be explained using a simple steady-state model, which gives n = 1/4.

  3. Characteristics of the epitaxy of InGaN-based light-emitting diodes grown by nanoscale epitaxial lateral overgrowth using a nitrided titanium buffer layer

    International Nuclear Information System (INIS)

    Shieh, Chen-Yu; Li, Zhen-Yu; Chang, Jenq-Yang; Chi, Gou-Chung

    2015-01-01

    In this work, a buffer layer of nitrided titanium (Ti) achieved through the nitridation of a Ti metal layer on a sapphire substrate was used for the epitaxial growth of InGaN-based light-emitting diodes (LEDs) achieved by low pressure metal-organic chemical vapor deposition. The effect of in-situ Ti metal nitridation on the performance of these InGaN-based LEDs was then investigated. It was very clear that the use of the nitrided Ti buffer layer (NTBL) induced the formation of a nanoscale epitaxial lateral overgrowth layer during the epitaxial growth. When evaluated by Raman spectroscopy, this epi-layer exhibited large in-plane compressive stress releasing with a Raman shift value of 567.9 cm -1 . Cathodoluminescence spectroscopy and transmission electron microscopy results indicated that the InGaN-based LEDs with an NTBL have improved crystal quality, with a low threading dislocations density being yielded via the strain relaxation in the InGaN-based LEDs. Based on the results mentioned above, the electroluminescence results indicate that the light performance of InGaN-based LEDs with an NTBL can be enhanced by 45% and 42% at 20 mA and 100 mA, respectively. These results suggest that the strain relaxation and quality improvement in the GaN epilayer could be responsible for the enhancement of emission power. - Highlights: • The crystal-quality of InGaN-based LEDs with NTBL by NELOG was improved. • The InGaN-based LEDs with NTBL have strain releases by NELOG. • The optical properties of InGaN-based LEDs were shown by CL and EL measurements

  4. Doping of GaN by ion implantation: Does It Work?

    International Nuclear Information System (INIS)

    Suvkhanov, A.; Wu, W.; Price, K.; Parikh, N.; Irene, E.; Hunn, J.; Thomson, D.; Davis, R.F.; Krasnobaev, L.

    1998-04-01

    Epitaxially grown GaN by metal organic chemical vapor deposition (MOCVD) on SiC were implanted with 100 keV Si + (for n-type) and 80 keV Mg + (for p-type) with various fluences from 1 x 10 12 to 7 x 10 15 ions/cm 2 at liquid nitrogen temperature (LT), room temperature (RT), and 700 C (HT). High temperature (1,200 C and 1,500 C) annealing was carried out after capping the GaN with epitaxial AlN by MOCVD to study damage recovery. Samples were capped by a layer of AlN in order to protect the GaN surface during annealing. Effects of implant temperature, damage and dopant activation are critically studied to evaluate a role of ion implantation in doping of GaN. The damage was studied by Rutherford Backscattering/Channeling, spectroscopic ellipsometry and photoluminescence. Results show dependence of radiation damage level on temperature of the substrate during implantation: implantations at elevated temperatures up to 550 C decrease the lattice disorder; hot implants above 550 C can not be useful in doping of GaN due to nitrogen loss from the surface. SE measurements have indicated very high sensitivity to the implantation damage. PL measurements at LT of 80 keV Mg + (5 x 10 14 cm 2 ) implanted and annealed GaN showed two peaks: one ∼ 100 meV and another ∼ 140 meV away from the band edge

  5. Blue-violet InGaN laser diodes grown on bulk GaN substrates by plasma-assisted molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Skierbiszewski, C.; Wasilewski, Z.R.; Siekacz, M.; Feduniewicz, A.; Perlin, P.; Wisniewski, P.; Borysiuk, J.; Grzegory, I.; Leszczynski, M.; Suski, T.; Porowski, S.

    2005-01-01

    We report on the InGaN multiquantum laser diodes (LDs) made by rf plasma-assisted molecular beam epitaxy (PAMBE). The laser operation at 408 nm is demonstrated at room temperature with pulsed current injections using 50 ns pulses at 0.25% duty cycle. The threshold current density and voltage for the LDs with cleaved uncoated mirrors are 12 kA/cm 2 (900 mA) and 9 V, respectively. High output power of 0.83 W is obtained during pulse operation at 3.6 A and 9.6 V bias with the slope efficiency of 0.35 W/A. The laser structures are deposited on the high-pressure-grown low dislocation bulk GaN substrates taking full advantage of the adlayer enhanced lateral diffusion channel for adatoms below the dynamic metallic cover. Our devices compare very favorably to the early laser diodes fabricated using the metalorganic vapor phase epitaxy technique, providing evidence that the relatively low growth temperatures used in this process pose no intrinsic limitations on the quality of the blue optoelectronic components that can be fabricated using PAMBE

  6. Epitaxial Gd2O3 on GaN and AlGaN: a potential candidate for metal oxide semiconductor based transistors on Si for high power application

    Science.gov (United States)

    Ghosh, Kankat; Das, S.; Khiangte, K. R.; Choudhury, N.; Laha, Apurba

    2017-11-01

    We report structural and electrical properties of hexagonal Gd2O3 grown epitaxially on GaN/Si (1 1 1) and AlGaN/GaN/Si(1 1 1) virtual substrates. GaN and AlGaN/GaN heterostructures were grown on Si(1 1 1) substrates by plasma assisted molecular beam epitaxy (PA-MBE), whereas the Gd2O3 layer was grown by the pulsed laser ablation (PLA) technique. Initial structural characterizations show that Gd2O3 grown on III-nitride layers by PLA, exhibit a hexagonal structure with an epitaxial relationship as {{≤ft[ 0 0 0 1 \\right]}G{{d2}{{O}3}}}||{{≤ft[ 0 0 0 1 \\right]}GaN} and {{≤ft[ 1 \\bar{1} 0 0 \\right]}G{{d2}{{O}3}}}||{{≤ft[ 1 \\bar{1} 0 0 \\right]}GaN} . X-ray photoelectron measurements of the valence bands revealed that Gd2O3 exhibits band offsets of 0.97 eV and 0.4 eV, for GaN and Al0.3Ga0.7N, respectively. Electrical measurements such as capacitance-voltage and leakage current characteristics further confirm that epi-Gd2O3 on III-nitrides could be a potential candidate for future metal-oxide-semiconductor (MOS)-based transistors also for high power applications in radio frequency range.

  7. Effect of III/V ratio on the polarity of AlN and GaN layers grown in the metal rich growth regime on Si(111) by plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Agrawal, Manvi; Dharmarasu, Nethaji; Radhakrishnan, K.; Pramana, Stevin Snellius

    2015-01-01

    Wet chemical etching, reflection high energy electron diffraction, scanning electron microscope and convergent beam electron diffraction have been employed to study the polarities of AlN and the subsequently grown GaN as a function of metal flux in the metal rich growth regime. Both AlN and GaN exhibited metal polarity in the intermediate growth conditions. However, in the droplet growth regime, the polarity of AlN and GaN were N polar and Ga polar, respectively. It was observed that Ga polar GaN could be obtained on both Al and N polar AlN. AlGaN/GaN high electron mobility transistor (HEMT) heterostructure exhibiting hall mobility of 900 cm 2 V -1 s -1 and sheet carrier density of 1.2 × 10 13 cm -2 was demonstrated using N polar AlN which confirmed Ga polarity of GaN. Al metal flux was likely to play an important role in controlling the polarity of AlN and determining the polarity of the subsequent GaN grown on Si(111) by plasma assisted molecular beam epitaxy (PA-MBE). (author)

  8. Characterization of GaN/AlGaN epitaxial layers grown by ...

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical ... reported by introducing annealing of the GaN layer in nitrogen [5], Fe doping [6], .... [2] Y F Wu, S M Wood, R P Smith, S Sheppard, S T Allen, P Parikh and J Milligan,.

  9. Influence of the carrier Gas, trimethylgallium flow, and growth time on the character of the selective epitaxy of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Rozhavskaya, M. M., E-mail: MRozhavskaya@gmail.com; Lundin, V. V.; Zavarin, E. E.; Troshkov, S. I.; Brunkov, P. N.; Tsatsulnikov, A. F. [Russian Academy of Sciences, Ioffe Physical-Technical Institute (Russian Federation)

    2013-03-15

    The influence of the carrier gas, trimethylgallium flow, and growth time on the character of the selective epitaxy of GaN in stripe windows oriented along the crystallographic direction Left-Pointing-Angle-Bracket 11-bar00 Right-Pointing-Angle-Bracket GaN for various widths of the mask between the stripes is studied. It is shown that the addition of nitrogen in the reactor atmosphere leads to changes in the form of the stripes in the case of wide (40 {mu}m) mask from a rectangular form restricted by a {l_brace}1 1-bar20{r_brace} lateral face to a trapezoidal form restricted by a {l_brace}1 1-bar22{r_brace} lateral face. It is also shown that during growth in the nitrogen-hydrogen mixture, the gallium flow starts to considerably affect the form of the growing stripes. It is shown that the process is significantly unstable, which leads to a noticeable variation in the form type as the transverse section of the stripe increases.

  10. Mg doping and its effect on the semipolar GaN(1122) growth kinetics

    International Nuclear Information System (INIS)

    Lahourcade, L.; Wirthmueller, A.; Monroy, E.; Pernot, J.; Chauvat, M. P.; Ruterana, P.; Laufer, A.; Eickhoff, M.

    2009-01-01

    We report the effect of Mg doping on the growth kinetics of semipolar GaN(1122) synthesized by plasma-assisted molecular-beam epitaxy. Mg tends to segregate on the surface, inhibiting the formation of the self-regulated Ga film which is used as a surfactant for the growth of undoped and Si-doped GaN(1122). We observe an enhancement of Mg incorporation in GaN(1122) compared to GaN(0001). Typical structural defects or polarity inversion domains found in Mg-doped GaN(0001) were not observed for the semipolar films investigated in the present study.

  11. Surface chemistry and electronic structure of nonpolar and polar GaN films

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Monu; Krishna, T.C. Shibin; Aggarwal, Neha; Gupta, Govind, E-mail: govind@nplindia.org

    2015-08-01

    Highlights: • Surface chemistry and electronic structure of polar and nonpolar GaN is reported. • Influence of polarization on electron affinity of p & np GaN films is investigated. • Correlation between surface morphology and polarity has been deduced. - Abstract: Photoemission and microscopic analysis of nonpolar (a-GaN/r-Sapphire) and polar (c-GaN/c-Sapphire) epitaxial gallium nitride (GaN) films grown via RF-Molecular Beam Epitaxy is reported. The effect of polarization on surface properties like surface states, electronic structure, chemical bonding and morphology has been investigated and correlated. It was observed that polarization lead to shifts in core level (CL) as well as valence band (VB) spectra. Angle dependent X-ray Photoelectron Spectroscopic analysis revealed higher surface oxide in polar GaN film compared to nonpolar GaN film. On varying the take off angle (TOA) from 0° to 60°, the Ga−O/Ga−N ratio varied from 0.11–0.23 for nonpolar and 0.17–0.36 for polar GaN film. The nonpolar film exhibited N-face polarity while Ga-face polarity was perceived in polar GaN film due to the inherent polarization effect. Polarization charge compensated surface states were observed on the polar GaN film and resulted in downward band bending. Ultraviolet photoelectron spectroscopic measurements revealed electron affinity and ionization energy of 3.4 ± 0.1 eV and 6.8 ± 0.1 eV for nonpolar GaN film and 3.8 ± 0.1 eV and 7.2 ± 0.1 eV for polar GaN film respectively. Field Emission Scanning Electron Microscopy measurements divulged smooth morphology with pits on polar GaN film. The nonpolar film on the other hand showed pyramidal structures having facets all over the surface.

  12. Advanced Technologies for Heterodyne Radio Astronomy Instrumentation - Part1 By A. Pavolotsky, and Advanced Technologies for Heterodyne Radio Astronomy Instrumentation - Part2 By V. Desmaris

    Science.gov (United States)

    Desmaris, Vincent

    2018-01-01

    We present the advanced micro/nano technological engineering at the atomic level producing state-of-the-art epitaxial NbN thin-films on GaN buffer layers. Furthermore, we report the outstanding performance of the hot electron bolometers fabricated on epitaxial NbN thin films on GaN buffer layers. Finally we present advanced passive devices such as waveguide hybrids, IF hybrids and combiners for the realization of heterodyne THz receivers.

  13. Delayed plastic relaxation limit in SiGe islands grown by Ge diffusion from a local source

    Energy Technology Data Exchange (ETDEWEB)

    Vanacore, G. M.; Zani, M.; Tagliaferri, A., E-mail: alberto.tagliaferri@polimi.it [CNISM-Dipartimento di Fisica, Politecnico di Milano, Piazza Leonardo da Vinci 32, I-20133 Milano (Italy); Nicotra, G. [IMM-CNR, Stradale Primosole 50, I-95121 Catania (Italy); Bollani, M. [CNR-IFN, LNESS, Via Anzani 42, I-22100 Como (Italy); Bonera, E.; Montalenti, F.; Picco, A.; Boioli, F. [Dipartimento di Scienza dei Materiali and L-NESS, Università Milano-Bicocca, via Cozzi 53, I-20125 Milano (Italy); Capellini, G. [Department of Sciences at the Università Roma Tre, Via Vasca Navale 79, 00146 Roma (Italy); Isella, G. [CNISM, LNESS, Dipartimento di Fisica, Politecnico di Milano (Polo di Como), Via Anzani 42, I-22100 Como (Italy); Osmond, J. [ICFO–The Institute of Photonic Sciences, Av. Carl Friedrich Gauss, 3, E-08860 Castelldefels (Barcelona) (Spain)

    2015-03-14

    The hetero-epitaxial strain relaxation in nano-scale systems plays a fundamental role in shaping their properties. Here, the elastic and plastic relaxation of self-assembled SiGe islands grown by surface-thermal-diffusion from a local Ge solid source on Si(100) are studied by atomic force and transmission electron microscopies, enabling the simultaneous investigation of the strain relaxation in different dynamical regimes. Islands grown by this technique remain dislocation-free and preserve a structural coherence with the substrate for a base width as large as 350 nm. The results indicate that a delay of the plastic relaxation is promoted by an enhanced Si-Ge intermixing, induced by the surface-thermal-diffusion, which takes place already in the SiGe overlayer before the formation of a critical nucleus. The local entropy of mixing dominates, leading the system toward a thermodynamic equilibrium, where non-dislocated, shallow islands with a low residual stress are energetically stable. These findings elucidate the role of the interface dynamics in modulating the lattice distortion at the nano-scale, and highlight the potential use of our growth strategy to create composition and strain-controlled nano-structures for new-generation devices.

  14. Spectroscopic and magnetic properties of Mn doped GaN epitaxial films grown by plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Vidyasagar, R.; Lin, Y.-T.; Tu, L.-W.

    2012-01-01

    Graphical abstract: We report here that micro-Raman scattering spectrum for Mn doped GaN thin film has displayed a new peak manifested at 578 cm −1 , by which it is attributed to interior LVM originated by the incorporation of Mn ions in place of Ga sites. Mn doped GaN thin film also showed the typical negative magnetoresistance up to ∼50 K, revealing that the film showed magnetic ordering of spins below 50 K. Display Omitted Highlights: ► GaN and Mn doped GaN single phase wurtzite structures grown by PAMBE. ► The phase purity of the epilayers investigated by HRXRD, HRSEM and EDX. ► The red shift in near band edge emission has been observed using micro-PL. ► A new peak related LVM at 578 cm −1 in micro-Raman scattering measurements confirmed Mn doped into GaN. ► Negative-magnetoresistance investigations have showed that the film has T c −1 , which is attributed to the vacancy-related local vibrational mode of Mn occupying the Ga site. Temperature dependent negative magnetoresistance measurements provide a direct evidence of magnetic ordering below 50 K for the Mn doped GaN thin film.

  15. Anisotropic-strain-relaxation-induced crosshatch morphology in epitaxial SrTiO{sub 3}/NdGaO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tan, X. L.; Chen, F.; Chen, P. F.; Xu, H. R.; Chen, B. B.; Jin, F.; Gao, G. Y.; Wu, W. B., E-mail: wuwb@ustc.edu.cn [Hefei National Laboratory for Physical Sciences at Microscale, University of Science and Technology of China, and High Magnetic Field Laboratory, Chinese Academy of Sciences, Hefei 230026 (China)

    2014-10-15

    We investigate the strain relaxation and surface morphology of epitaxial SrTiO{sub 3} (STO) films grown on (001){sub O} and (110){sub O} planes of orthorhombic NdGaO{sub 3} (NGO), and (001) plane of cubic (LaAlO{sub 3}){sub 0.3}(Sr{sub 2}AlTaO{sub 6}){sub 0.7} (LSAT) substrates. Although the average lattice mismatches are similar, strikingly regular crosshatched surface patterns can be found on STO/NGO(001){sub O}[(110){sub O}] films, contrary to the uniform surface of STO/LSAT(001). Based on the orientation and thickness dependent patterns and high-resolution x-ray diffractions, we ascribe the crosshatch morphology to the anisotropic strain relaxation with possibly the 60° misfit dislocation formation and lateral surface step flow in STO/NGO films, while an isotropic strain relaxation in STO/LSAT. Further, we show that the crosshatched STO/NGO(110){sub O} surface could be utilized as a template to modify the magnetotransport properties of epitaxial La{sub 0.6}Ca{sub 0.4}MnO{sub 3} films. This study highlights the crucial role of symmetry mismatch in determining the surface morphology of the perovskite oxide films, in addition to their epitaxial strain states, and offers a different route for designing and fabricating functional perovskite-oxide devices.

  16. Understanding the Room Temperature Ferromagnetism in GaN Nanowires with Pd Doping

    International Nuclear Information System (INIS)

    Manna, S; De, S K

    2011-01-01

    We report the first synthesis and characterization of 4d transition metal palladium-doped GaN nanowires (NWs). Room temperature ferromagnetism has been observed in high quality Vapor Liquid Solid (VLS) epitaxy grown undoped n-type GaN nanowires. It was proposed that this type of magnetism is due to defects which are not observed in Bulk GaN because of large formation energy of defects in bulk GaN. Here we have successfully doped 4d transition metal Pd in GaN NWs. We find fairly strong and long-range ferromagnetic coupling between Pd substituted for Ga in GaN . The results suggest that 4d metals such as Pd may also be considered as candidates for ferromagnetic dopants in semiconductors.

  17. Microstructures of GaN1-xPx layers grown on (0001) GaN substrates by gas source molecular beam epitaxy

    Science.gov (United States)

    Seong, Tae-Yeon; Bae, In-Tae; Choi, Chel-Jong; Noh, D. Y.; Zhao, Y.; Tu, C. W.

    1999-03-01

    Transmission electron microscope (TEM), transmission electron diffraction (TED), and synchrotron x-ray diffraction (XRD) studies have been performed to investigate microstructural behavior of gas source molecular beam epitaxial GaN1-xPx layers grown on (0001) GaN/sapphire at temperatures (Tg) in the range 500-760 °C. TEM, TED, and XRD results indicate that the samples grown at Tg⩽600 °C undergo phase separation resulting in a mixture of GaN-rich and GaP-rich GaNP with zinc-blende structure. However, the samples grown at Tg⩾730 °C are found to be binary zinc-blende GaN(P) single crystalline materials. As for the 500 °C layer, the two phases are randomly oriented and distributed, whereas the 600 °C layer consists of phases that are elongated and inclined by 60°-70° clockwise from the [0001]α-GaN direction. The samples grown at Tg⩾730 °C are found to consist of two types of microdomains, namely, GaN(P)I and GaN(P)II; the former having twin relation to the latter.

  18. Ga induced superstructures as templates for lattice matched hetroepitaxial growth of GaN on Si(111) substrate

    International Nuclear Information System (INIS)

    Kumar, Praveen; Kuyyalil, Jithesh; Shivaprasad, S. M.

    2010-01-01

    High quality GaN is grown by plasma assisted molecular beam epitaxy on Ga induced superstructural phases of Si(111)7x7. Three stable surface phases induced by Ga adsorption, viz., (1x1), (6.3x6.3), and (√3x√3)R30 deg., are employed as templates to grow epitaxial (0001) GaN thin films. GaN grown on Si(√3x√3)R30 deg. -Ga is found to be highly crystalline with intense (0002) x-ray diffraction and photoluminescence peaks with low full width at half maximum, low surface roughness, and stoichiometric surface composition. The high quality of these GaN films formed at a low temperature of 400 deg. C is explained by the integral (x2) lattice matching between the unit cell of GaN and the (√3x√3) phase. The experiments demonstrate a plausible approach of adsorbate induced surface modifications as templates for III-V hetroepitaxy on Si surfaces.

  19. Epitaxial lateral overgrowth - a tool for dislocation blockade in multilayer system

    International Nuclear Information System (INIS)

    Zytkiewicz, Z.R.

    1998-01-01

    Results on epitaxial lateral overgrowth of GaAs layers are reported. The methods of controlling the growth anisotropy, the effect of substrate defects filtration in epitaxial lateral overgrowth procedure and influence of the mask on properties of epitaxial lateral overgrowth layers will be discussed. The case od GaAs epitaxial lateral overgrowth layers grown by liquid phase epitaxy on heavily dislocated GaAs substrates was chosen as an example to illustrate the processes discussed. The similarities between our results and those reported recently for GaN layers grown laterally by metalorganic vapour phase epitaxy will be underlined. (author)

  20. Optical and field emission properties of layer-structure GaN nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Cui, Zhen [Science School, Xi’an University of Technology, Xi’an 710048 (China); School of automation and Information Engineering, Xi’an University of Technology, Xi’an 710048 (China); Li, Enling, E-mail: Lienling@xaut.edu.cn [Science School, Xi’an University of Technology, Xi’an 710048 (China); Shi, Wei; Ma, Deming [Science School, Xi’an University of Technology, Xi’an 710048 (China)

    2014-08-15

    Highlights: • The layer-structure GaN nanowires with hexagonal-shaped cross-sections are produced via a process based on the CVD method. • The diameter of the layer-structure GaN nanowire gradually decreases from ∼500 nm to ∼200 nm along the wire axis. • The layer-structure GaN nanowire film possesses good field emission property. - Abstract: A layer-structure gallium nitride (GaN) nanowires, grown on Pt-coated n-type Si (1 1 1) substrate, have been synthesized using chemical vapor deposition (CVD). The results show: (1) SEM indicates that the geometry structure is layer-structure. HRTEM indicates that GaN nanowire’s preferential growth direction is along [0 0 1] direction. (2) The room temperature PL emission spectrum of the layer-structure GaN nanowires has a peak at 375 nm, which proves that GaN nanowires have potential application in light-emitting nano-devices. (3) Field-emission measurements show that the layer-structure GaN nanowires film has a low turn-on field of 4.39 V/μm (at room temperature), which is sufficient for electron emission devices, field emission displays and vacuum nano-electronic devices. The growth mechanism for GaN nanowires has also been discussed briefly.

  1. Plasma-assisted molecular beam epitaxy of (11-22)-oriented 3-nitrides

    International Nuclear Information System (INIS)

    Lahourcade, L.

    2009-10-01

    This work reports on the molecular-beam epitaxial growth of (1122)-oriented semi-polar nitride semiconductors using m-sapphire substrates. The (1122) crystallographic orientation is predefined by AlN deposition on m-sapphire under N excess. On top of this AlN buffer layer, undoped or Si-doped two-dimensional GaN(1122) films are formed under Ga-rich conditions, with a stabilized Ga-excess ad-layer of about 1.05±0.10 ML. In contrast, Mg tends to segregate on the GaN surface, inhibiting the self-regulated Ga excess film. Nevertheless, uniform Mg incorporation can be obtained, and p-type conductivity was achieved. GaN/AlN quantum wells are synthesized by deposition of the binary compounds under the above-described conditions. In the case of GaN/AlN quantum dots, the three-dimensional transition is induced by a growth interruption under vacuum. The reduction of the internal electric field in GaN/AlN nano-structures is confirmed by the blue shift of the photoluminescence spectrum and by the short photoluminescence decay times measured at low temperature. These results are consistent with theoretical calculations of the electronic structure. (author)

  2. Structural and optical inhomogeneities of Fe doped GaN grown by hydride vapor phase epitaxy

    Science.gov (United States)

    Malguth, E.; Hoffmann, A.; Phillips, M. R.

    2008-12-01

    We present the results of cathodoluminescence experiments on a set of Fe doped GaN samples with Fe concentrations of 5×1017, 1×1018, 1×1019, and 2×1020 cm-3. These specimens were grown by hydride vapor phase epitaxy with different concentrations of Fe. The introduction of Fe is found to promote the formation of structurally inhomogeneous regions of increased donor concentration. We detect a tendency of these regions to form hexagonal pits at the surface. The locally increased carrier concentration leads to enhanced emission from the band edge and the internal T41(G)-A61(S) transition of Fe3+. In these areas, the luminescence forms a finely structured highly symmetric pattern, which is attributed to defect migration along strain-field lines. Fe doping is found to quench the yellow defect luminescence band and to enhance the blue luminescence band due to the lowering of the Fermi level and the formation of point defects, respectively.

  3. Stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    M. Agrawal

    2017-01-01

    Full Text Available The stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy (PA-MBE has been studied. AlN nucleation layer and GaN layer were grown as a function of III/V ratio. GaN/AlN structure is found to form buried cracks when AlN is grown in the intermediate growth regime(III/V∼1and GaN is grown under N-rich growth regime (III/V<1. The III/V ratio determines the growth mode of the layers that influences the lattice mismatch at the GaN/AlN interface. The lattice mismatch induced interfacial stress at the GaN/AlN interface relaxes by the formation of buried cracks in the structure. Additionally, the stress also relaxes by misorienting the AlN resulting in two misorientations with different tilts. Crack-free layers were obtained when AlN and GaN were grown in the N-rich growth regime (III/V<1 and metal rich growth regime (III/V≥1, respectively. AlGaN/GaN high electron mobility transistor (HEMT heterostructure was demonstrated on 2-inch SiC that showed good two dimensional electron gas (2DEG properties with a sheet resistance of 480 Ω/sq, mobility of 1280 cm2/V.s and sheet carrier density of 1×1013 cm−2.

  4. Selectively Enhanced UV-A Photoresponsivity of a GaN MSM UV Photodetector with a Step-Graded AlxGa1-xN Buffer Layer.

    Science.gov (United States)

    Lee, Chang-Ju; Won, Chul-Ho; Lee, Jung-Hee; Hahm, Sung-Ho; Park, Hongsik

    2017-07-21

    The UV-to-visible rejection ratio is one of the important figure of merits of GaN-based UV photodetectors. For cost-effectiveness and large-scale fabrication of GaN devices, we tried to grow a GaN epitaxial layer on silicon substrate with complicated buffer layers for a stress-release. It is known that the structure of the buffer layers affects the performance of devices fabricated on the GaN epitaxial layers. In this study, we show that the design of a buffer layer structure can make effect on the UV-to-visible rejection ratio of GaN UV photodetectors. The GaN photodetector fabricated on GaN-on-silicon substrate with a step-graded Al x Ga -x N buffer layer has a highly-selective photoresponse at 365-nm wavelength. The UV-to-visible rejection ratio of the GaN UV photodetector with the step-graded Al x Ga 1-x N buffer layer was an order-of-magnitude higher than that of a photodetector with a conventional GaN/AlN multi buffer layer. The maximum photoresponsivity was as high as 5 × 10 - ² A/W. This result implies that the design of buffer layer is important for photoresponse characteristics of GaN UV photodetectors as well as the crystal quality of the GaN epitaxial layers.

  5. Polar and Nonpolar Gallium Nitride and Zinc Oxide based thin film heterostructures Integrated with Sapphire and Silicon

    Science.gov (United States)

    Gupta, Pranav

    This dissertation work explores the understanding of the relaxation and integration of polar and non-polar of GaN and ZnO thin films with Sapphire and silicon substrates. Strain management and epitaxial analysis has been performed on wurtzitic GaN(0001) thin films grown on c-Sapphire and wurtzitic non-polar a-plane GaN(11-20) thin films grown on r-plane Sapphire (10-12) by remote plasma atomic nitrogen source assisted UHV Pulsed Laser Deposition process. It has been established that high-quality 2-dimensional c-axis GaN(0001) nucleation layers can be grown on c-Sapphire by PLD process at growth temperatures as low as ˜650°C. Whereas the c-axis GaN on c-sapphire has biaxially negative misfit, the crystalline anisotropy of the a-plane GaN films on r-Sapphire results in compressive and tensile misfits in the two major orthogonal directions. The measured strains have been analyzed in detail by X-ray, Raman spectroscopy and TEM. Strain relaxation in GaN(0001)/Sapphire thin film heterostructure has been explained by the principle of domain matched epitaxial growth in large planar misfit system and has been demonstrated by TEM study. An attempt has been made to qualitatively understand the minimization of free energy of the system from the strain perspective. Analysis has been presented to quantify the strain components responsible for the compressive strain observed in the GaN(0001) thin films on c-axis Sapphire substrates. It was also observed that gallium rich deposition conditions in PLD process lead to smoother nucleation layers because of higher ad-atom mobility of gallium. We demonstrate near strain relaxed epitaxial (0001) GaN thin films grown on (111) Si substrates using TiN as intermediate buffer layer by remote nitrogen plasma assisted UHV pulsed laser deposition (PLD). Because of large misfits between the TiN/GaN and TiN/Si systems the TIN buffer layer growth occurs via nucleation of interfacial dislocations under domain matching epitaxy paradigm. X-ray and

  6. III-Nitride Micro and Nano Structures for Solid State Lightning

    KAUST Repository

    Ben Slimane, Ahmed

    2014-08-01

    Visible light emitting diodes (LEDs) are widely used in daily consumer electronics systems, such as general lighting, displays, communication, sensing, and also biomedical applications. To mitigate the ever increasing technology demand, there are tremendous on-going efforts in improving material properties and micro-fabrication techniques. In general, visible LEDs are environmentally friendly, robust and reliable light emitters with small device footprint, and are capable of delivering high luminous efficacy. Typically, LEDs rely on group-III-nitride materials to generate visible light. One of the techniques to generate white light is to coat blue LEDs with yellow phosphor, or ultraviolet (UV) LEDs with red-green-blue (RGB) phosphor. Other scheme relies on combination of RGB LEDs, where high brightness green and blue LEDs are generally grown on robust sapphire substrate. But the current challenges in high threading dislocation density of III-Nitride materials on sapphire or hetero-substrate, phosphor degradation, and bulk-LED mechanical design constraints imposed by the supporting substrate wafer motivate further scientific investigations into strain-engineering, novel reliable phosphor-semiconductor, color-tuning techniques, and transferrable III-nitride vertical LEDs. The current research presents a significant step towards the utilization of annealed porous GaN as a template for subsequent growth of fully relaxed GaN-based epitaxy materials. In our study, we observed significant compressive strain relaxation of 0.41 ± 0.04 GPa in annealed porous GaN fabricated using UV-assisted electroless etching. Moreover the use of GaN nanoparticles with large wavelength tunability and 10 µm InGaN microstructures with different indium composition ushers a new way of making reliable phosphor for white light generation. We also investigate the epitaxial lift-off of InGaN LED structures by selectively etching unintentionally doped GaN sacrificial buffer layer. High Ga

  7. Lattice sites, charge states and spin–lattice relaxation of Fe ions in "5"7Mn"+ implanted GaN and AlN

    International Nuclear Information System (INIS)

    Masenda, H.; Naidoo, D.; Bharuth-Ram, K.; Gunnlaugsson, H.P.; Johnston, K.; Mantovan, R.; Mølholt, T.E.; Ncube, M.; Shayestehaminzadeh, S.; Gíslason, H.P.; Langouche, G.; Ólafsson, S.; Weyer, G.

    2016-01-01

    The lattice sites, valence states, resulting magnetic behaviour and spin–lattice relaxation of Fe ions in GaN and AlN were investigated by emission Mössbauer spectroscopy following the implantation of radioactive "5"7Mn"+ ions at ISOLDE/CERN. Angle dependent measurements performed at room temperature on the 14.4 keV γ-rays from the "5"7Fe Mössbauer state (populated from the "5"7Mn β"− decay) reveal that the majority of the Fe ions are in the 2+ valence state nearly substituting the Ga and Al cations, and/or associated with vacancy type defects. Emission Mössbauer spectroscopy experiments conducted over a temperature range of 100–800 K show the presence of magnetically split sextets in the “wings” of the spectra for both materials. The temperature dependence of the sextets relates these spectral features to paramagnetic Fe"3"+ with rather slow spin–lattice relaxation rates which follow a T"2 temperature dependence characteristic of a two-phonon Raman process. - Highlights: • The majority of the Fe ions are in the 2+ state, located on near substitutional sites associated with vacancy type defects. • A significant fraction of the Fe ions are in the paramagnetic Fe"3"+ state. • Spin–lattice relaxation of Fe"3"+ in both GaN and AlN follows a two-phonon Raman process.

  8. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Turski, H.; Muziol, G.; Wolny, P.; Cywiński, G.; Grzanka, S.; Sawicka, M.; Perlin, P.; Skierbiszewski, C.

    2014-01-01

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ N ) during quantum wells (QWs) growth. We found that high Φ N improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold current density are discussed

  9. Growth and characterization of Fe nanostructures on GaN

    International Nuclear Information System (INIS)

    Honda, Yuya; Hayakawa, Satoko; Hasegawa, Shigehiko; Asahi, Hajime

    2009-01-01

    We have investigated the growth of Fe nanostructures on GaN(0 0 0 1) substrates at room temperature using reflection high-energy electron diffraction (RHEED), scanning tunneling microscopy (STM), and superconducting quantum interference device magnetometer. Initially, a ring RHEED pattern appears, indicating the growth of polycrystalline α-Fe. At around 0.5 nm deposition, the surface displays a transmission pattern from α-Fe films with the epitaxial relationship of Fe(1 1 0)//GaN(0 0 0 1) and Fe[1 -1 1]//GaN[1 1 -2 0] (Kurdjumov-Sachs (KS) orientational relationship). Further deposition to 1 nm results in the appearance of a new spot pattern together with the pattern from domains with the KS orientation relationship. The newly observed pattern shows that Fe layers are formed with the epitaxial relationship of Fe(1 1 0)//GaN(0 0 0 1) and Fe[0 0 1]//GaN[1 1 -2 0] (Nishiyama-Wasserman (NW) orientational relationship). From STM images for Fe layers with the KS and NW orientational relationships, it can be seen that Fe layers with the KS relationship consist of round-shaped Fe nanodots with below 7 nm in average diameter. These nanodots coalesce to form nanodots elongating along the Fe[1 0 0] direction, and they have the KS orientational relationship. Elongated Fe nanodots with the NW relationship show ferromagnetism while round-shaped Fe nanodots with the KS relationship show super-paramagnetic behavior. We will discuss their magnetic properties in connection with the change in crystalline configurations of nanodots.

  10. Selectively Enhanced UV-A Photoresponsivity of a GaN MSM UV Photodetector with a Step-Graded AlxGa1−xN Buffer Layer

    Directory of Open Access Journals (Sweden)

    Chang-Ju Lee

    2017-07-01

    Full Text Available The UV-to-visible rejection ratio is one of the important figure of merits of GaN-based UV photodetectors. For cost-effectiveness and large-scale fabrication of GaN devices, we tried to grow a GaN epitaxial layer on silicon substrate with complicated buffer layers for a stress-release. It is known that the structure of the buffer layers affects the performance of devices fabricated on the GaN epitaxial layers. In this study, we show that the design of a buffer layer structure can make effect on the UV-to-visible rejection ratio of GaN UV photodetectors. The GaN photodetector fabricated on GaN-on-silicon substrate with a step-graded AlxGa−xN buffer layer has a highly-selective photoresponse at 365-nm wavelength. The UV-to-visible rejection ratio of the GaN UV photodetector with the step-graded AlxGa1−xN buffer layer was an order-of-magnitude higher than that of a photodetector with a conventional GaN/AlN multi buffer layer. The maximum photoresponsivity was as high as 5 × 10−2 A/W. This result implies that the design of buffer layer is important for photoresponse characteristics of GaN UV photodetectors as well as the crystal quality of the GaN epitaxial layers.

  11. Viability and proliferation of endothelial cells upon exposure to GaN nanoparticles.

    Science.gov (United States)

    Braniste, Tudor; Tiginyanu, Ion; Horvath, Tibor; Raevschi, Simion; Cebotari, Serghei; Lux, Marco; Haverich, Axel; Hilfiker, Andres

    2016-01-01

    Nanotechnology is a rapidly growing and promising field of interest in medicine; however, nanoparticle-cell interactions are not yet fully understood. The goal of this work was to examine the interaction between endothelial cells and gallium nitride (GaN) semiconductor nanoparticles. Cellular viability, adhesion, proliferation, and uptake of nanoparticles by endothelial cells were investigated. The effect of free GaN nanoparticles versus the effect of growing endothelial cells on GaN functionalized surfaces was examined. To functionalize surfaces with GaN, GaN nanoparticles were synthesized on a sacrificial layer of zinc oxide (ZnO) nanoparticles using hydride vapor phase epitaxy. The uptake of GaN nanoparticles by porcine endothelial cells was strongly dependent upon whether they were fixed to the substrate surface or free floating in the medium. The endothelial cells grown on surfaces functionalized with GaN nanoparticles demonstrated excellent adhesion and proliferation, suggesting good biocompatibility of the nanostructured GaN.

  12. Synthesis and characterization of a liquid Eu precursor (EuCppm2) allowing for valence control of Eu ions doped into GaN by organometallic vapor phase epitaxy

    International Nuclear Information System (INIS)

    Mitchell, Brandon; Koizumi, Atsushi; Nunokawa, Takumi; Wakamatsu, Ryuta; Lee, Dong-gun; Saitoh, Yasuhisa; Timmerman, Dolf; Kuboshima, Yoshinori; Mogi, Takayuki; Higashi, Shintaro; Kikukawa, Kaoru; Ofuchi, Hironori; Honma, Tetsuo; Fujiwara, Yasufumi

    2017-01-01

    A liquid Eu precursor, bis(normal-propyl-tetramethylcyclopentadienyl)europium has been synthesized. This precursor exists as a liquid at temperatures higher than 49 °C, has a moderately high vapor pressure, contains no oxygen in its molecular structure, and can be distilled to high purity. These properties make it ideal for doping using a chemical vapor or atomic layer deposition method, and provide a degree of control previously unavailable. As a precursor the Eu exists in the divalent valance state, however, once doped into GaN by organometallic vapor phase epitaxy, the room-temperature photoluminescence of the Eu-doped GaN exhibited the typical red emission due to the intra-4f shell transition of trivalent Eu. After variation of the growth temperature, it was found that divalent Eu could be stabilized in the GaN matrix. By tuning the Fermi level through donor doping, the ratio of Eu 2+ to Eu 3+ could be controlled. The change in valence state of the Eu ions was confirmed using X-ray absorption near-edge structure. - Highlights: • A liquid Eu precursor was synthesized and its properties were characterized. • Precursor has a low melting point and a moderately high vapor pressure. • Does not contain oxygen in its molecular structure. • Eu can changed its valance state when incorporated into GaN. • Valence state of Eu in GaN can be controlled by donor doping.

  13. Computational study of GaAs1-xNx and GaN1-yAsy alloys and arsenic impurities in GaN

    International Nuclear Information System (INIS)

    Laaksonen, K; Komsa, H-P; Arola, E; Rantala, T T; Nieminen, R M

    2006-01-01

    We have studied the structural and electronic properties of As-rich GaAs 1-x N x and N-rich GaN 1-y As y alloys in a large composition range using first-principles methods. We have systematically investigated the effect of the impurity atom configuration near both GaAs and GaN sides of the concentration range on the total energies, lattice constants and bandgaps. The N (As) atoms, replacing substitutionally As (N) atoms in GaAs (GaN), cause the surrounding Ga atoms to relax inwards (outwards), making the Ga-N (Ga-As) bond length about 15% shorter (longer) than the corresponding Ga-As (Ga-N) bond length in GaAs (GaN). The total energies of the relaxed alloy supercells and the bandgaps experience large fluctuations within different configurations and these fluctuations grow stronger if the impurity concentration is increased. Substituting As atoms with N in GaAs induces modifications near the conduction band minimum, while substituting N atoms with As in GaN modifies the states near the valence band maximum. Both lead to bandgap reduction, which is at first rapid but later slows down. The relative size of the fluctuations is much larger in the case of GaAs 1-x N x alloys. We have also looked into the question of which substitutional site (Ga or N) As occupies in GaN. We find that under Ga-rich conditions arsenic prefers the substitutional N site over the Ga site within a large range of Fermi level values

  14. Study of Charge Carrier Transport in GaN Sensors

    Science.gov (United States)

    Gaubas, Eugenijus; Ceponis, Tomas; Kuokstis, Edmundas; Meskauskaite, Dovile; Pavlov, Jevgenij; Reklaitis, Ignas

    2016-01-01

    Capacitor and Schottky diode sensors were fabricated on GaN material grown by hydride vapor phase epitaxy and metal-organic chemical vapor deposition techniques using plasma etching and metal deposition. The operational characteristics of these devices have been investigated by profiling current transients and by comparing the experimental regimes of the perpendicular and parallel injection of excess carrier domains. Profiling of the carrier injection location allows for the separation of the bipolar and the monopolar charge drift components. Carrier mobility values attributed to the hydride vapor phase epitaxy (HVPE) GaN material have been estimated as μe = 1000 ± 200 cm2/Vs for electrons, and μh = 400 ± 80 cm2/Vs for holes, respectively. Current transients under injection of the localized and bulk packets of excess carriers have been examined in order to determine the surface charge formation and polarization effects. PMID:28773418

  15. Systematic Study on the Self-Assembled Hexagonal Au Voids, Nano-Clusters and Nanoparticles on GaN (0001.

    Directory of Open Access Journals (Sweden)

    Puran Pandey

    Full Text Available Au nano-clusters and nanoparticles (NPs have been widely utilized in various electronic, optoelectronic, and bio-medical applications due to their great potentials. The size, density and configuration of Au NPs play a vital role in the performance of these devices. In this paper, we present a systematic study on the self-assembled hexagonal Au voids, nano-clusters and NPs fabricated on GaN (0001 by the variation of annealing temperature and deposition amount. At relatively low annealing temperatures between 400 and 600°C, the fabrication of hexagonal shaped Au voids and Au nano-clusters are observed and discussed based on the diffusion limited aggregation model. The size and density of voids and nano-clusters can systematically be controlled. The self-assembled Au NPs are fabricated at comparatively high temperatures from 650 to 800°C based on the Volmer-Weber growth model and also the size and density can be tuned accordingly. The results are symmetrically analyzed and discussed in conjunction with the diffusion theory and thermodynamics by utilizing AFM and SEM images, EDS maps and spectra, FFT power spectra, cross-sectional line-profiles and size and density plots.

  16. Lattice sites, charge states and spin–lattice relaxation of Fe ions in {sup 57}Mn{sup +} implanted GaN and AlN

    Energy Technology Data Exchange (ETDEWEB)

    Masenda, H., E-mail: hilary.masenda@wits.ac.za [School of Physics, University of the Witwatersrand, Johannesburg 2050 (South Africa); Naidoo, D. [School of Physics, University of the Witwatersrand, Johannesburg 2050 (South Africa); Bharuth-Ram, K. [Physics Department, Durban University of Technology, Durban 4000 (South Africa); iThemba LABS, PO Box 725, Somerset West 7129 (South Africa); Gunnlaugsson, H.P. [PH Department, ISOLDE/CERN, 1211 Geneva 23 (Switzerland); KU Leuven, Instituut voor Kern-en Stralingsfysica, 3001 Leuven (Belgium); Johnston, K. [PH Department, ISOLDE/CERN, 1211 Geneva 23 (Switzerland); Mantovan, R. [Laboratorio MDM, IMM-CNR, Via Olivetti 2, 20864 Agrate Brianza (MB) (Italy); Mølholt, T.E. [PH Department, ISOLDE/CERN, 1211 Geneva 23 (Switzerland); Ncube, M. [School of Physics, University of the Witwatersrand, Johannesburg 2050 (South Africa); Shayestehaminzadeh, S. [Materials Chemistry, RWTH Aachen University, Kopernikusstr. 10, 5274 Aachen (Germany); Gíslason, H.P. [Science Institute, University of Iceland, Dunhaga 3, 107 Reykjavík (Iceland); Langouche, G. [KU Leuven, Instituut voor Kern-en Stralingsfysica, 3001 Leuven (Belgium); Ólafsson, S. [Science Institute, University of Iceland, Dunhaga 3, 107 Reykjavík (Iceland); Weyer, G. [Department of Physics and Astronomy, Aarhus University, Ny Munkegade 120, 8000 Aarhus (Denmark)

    2016-03-01

    The lattice sites, valence states, resulting magnetic behaviour and spin–lattice relaxation of Fe ions in GaN and AlN were investigated by emission Mössbauer spectroscopy following the implantation of radioactive {sup 57}Mn{sup +} ions at ISOLDE/CERN. Angle dependent measurements performed at room temperature on the 14.4 keV γ-rays from the {sup 57}Fe Mössbauer state (populated from the {sup 57}Mn β{sup −} decay) reveal that the majority of the Fe ions are in the 2+ valence state nearly substituting the Ga and Al cations, and/or associated with vacancy type defects. Emission Mössbauer spectroscopy experiments conducted over a temperature range of 100–800 K show the presence of magnetically split sextets in the “wings” of the spectra for both materials. The temperature dependence of the sextets relates these spectral features to paramagnetic Fe{sup 3+} with rather slow spin–lattice relaxation rates which follow a T{sup 2} temperature dependence characteristic of a two-phonon Raman process. - Highlights: • The majority of the Fe ions are in the 2+ state, located on near substitutional sites associated with vacancy type defects. • A significant fraction of the Fe ions are in the paramagnetic Fe{sup 3+} state. • Spin–lattice relaxation of Fe{sup 3+} in both GaN and AlN follows a two-phonon Raman process.

  17. Above room-temperature ferromagnetism of Mn delta-doped GaN nanorods

    International Nuclear Information System (INIS)

    Lin, Y. T.; Wadekar, P. V.; Kao, H. S.; Chen, T. H.; Chen, Q. Y.; Tu, L. W.; Huang, H. C.; Ho, N. J.

    2014-01-01

    One-dimensional nitride based diluted magnetic semiconductors were grown by plasma-assisted molecular beam epitaxy. Delta-doping technique was adopted to dope GaN nanorods with Mn. The structural and magnetic properties were investigated. The GaMnN nanorods with a single crystalline structure and with Ga sites substituted by Mn atoms were verified by high-resolution x-ray diffraction and Raman scattering, respectively. Secondary phases were not observed by high-resolution x-ray diffraction and high-resolution transmission electron microscopy. In addition, the magnetic hysteresis curves show that the Mn delta-doped GaN nanorods are ferromagnetic above room temperature. The magnetization with magnetic field perpendicular to GaN c-axis saturates easier than the one with field parallel to GaN c-axis

  18. The Formation and Characterization of GaN Hexagonal Pyramids

    Science.gov (United States)

    Zhang, Shi-Ying; Xiu, Xiang-Qian; Lin, Zeng-Qin; Hua, Xue-Mei; Xie, Zi-Li; Zhang, Rong; Zheng, You-Dou

    2013-05-01

    GaN with hexagonal pyramids is fabricated using the photo-assisted electroless chemical etching method. Defective areas of the GaN substrate are selectively etched in a mixed solution of KOH and K2S2O8 under ultraviolet illumination, producing submicron-sized pyramids. Hexagonal pyramids on the etched GaN with well-defined {101¯1¯} facets and very sharp tips are formed. High-resolution x-ray diffraction shows that etched GaN with pyramids has a higher crystal quality, and micro-Raman spectra reveal a tensile stress relaxation in GaN with pyramids compared with normal GaN. The cathodoluminescence intensity of GaN after etching is significantly increased by three times, which is attributed to the reduction in the internal reflection, high-quality GaN with pyramids and the Bragg effect.

  19. The effects of strain relaxation on the dielectric properties of epitaxial ferroelectric Pb(Zr0.2Ti0.8)TiO3 thin films

    Science.gov (United States)

    Khan, Asif Islam; Yu, Pu; Trassin, Morgan; Lee, Michelle J.; You, Long; Salahuddin, Sayeef

    2014-07-01

    We study the effects of strain relaxation on the dielectric properties of epitaxial 40 nm Pb(Zr0.2Ti0.8)TiO3 (PZT) films. A significant increase in the defect and dislocation density due to strain relaxation is observed in PZT films with tetragonality c/a fatigue in ferroelectric materials.

  20. Dislocation-induced nanoparticle decoration on a GaN nanowire.

    Science.gov (United States)

    Yang, Bing; Yuan, Fang; Liu, Qingyun; Huang, Nan; Qiu, Jianhang; Staedler, Thorsten; Liu, Baodan; Jiang, Xin

    2015-02-04

    GaN nanowires with homoepitaxial decorated GaN nanoparticles on their surface along the radial direction have been synthesized by means of a chemical vapor deposition method. The growth of GaN nanowires is catalyzed by Au particles via the vapor-liquid-solid (VLS) mechanism. Screw dislocations are generated along the radial direction of the nanowires under slight Zn doping. In contrast to the metal-catalyst-assisted VLS growth, GaN nanoparticles are found to prefer to nucleate and grow at these dislocation sites. High-resolution transmission electron microscopy (HRTEM) analysis demonstrates that the GaN nanoparticles possess two types of epitaxial orientation with respect to the corresponding GaN nanowire: (I) [1̅21̅0]np//[1̅21̅0]nw, (0001)np//(0001)nw; (II) [1̅21̅3]np//[12̅10]nw, (101̅0)np//(101̅0)nw. An increased Ga signal in the energy-dispersive spectroscopy (EDS) profile lines of the nanowires suggests GaN nanoparticle growth at the edge surface of the wires. All the crystallographic results confirm the importance of the dislocations with respect to the homoepitaxial growth of the GaN nanoparticles. Here, screw dislocations situated on the (0001) plane provide the self-step source to enable nucleation of the GaN nanoparticles.

  1. Structurally Oriented Nano-Sheets in Co Thin Films: Changing Their Anisotropic Physical Properties by Thermally-Induced Relaxation.

    Science.gov (United States)

    Vergara, José; Favieres, Cristina; Magén, César; de Teresa, José María; Ibarra, Manuel Ricardo; Madurga, Vicente

    2017-12-05

    We show how nanocrystalline Co films formed by separated oblique nano-sheets display anisotropy in their resistivity, magnetization process, surface nano-morphology and optical transmission. After performing a heat treatment at 270 °C, these anisotropies decrease. This loss has been monitored measuring the resistivity as a function of temperature. The resistivity measured parallel to the direction of the nano-sheets has been constant up to 270 °C, but it decreases when measured perpendicular to the nano-sheets. This suggests the existence of a structural relaxation, which produces the change of the Co nano-sheets during annealing. The changes in the nano-morphology and the local chemical composition of the films at the nanoscale after heating above 270 °C have been analysed by scanning transmission electron microscopy (STEM). Thus, an approach and coalescence of the nano-sheets have been directly visualized. The spectrum of activation energies of this structural relaxation has indicated that the coalescence of the nano-sheets has taken place between 1.2 and 1.7 eV. In addition, an increase in the size of the nano-crystals has occurred in the samples annealed at 400 °C. This study may be relevant for the application in devices working, for example, in the GHz range and to achieve the retention of the anisotropy of these films at higher temperatures.

  2. Structurally Oriented Nano-Sheets in Co Thin Films: Changing Their Anisotropic Physical Properties by Thermally-Induced Relaxation

    Directory of Open Access Journals (Sweden)

    José Vergara

    2017-12-01

    Full Text Available We show how nanocrystalline Co films formed by separated oblique nano-sheets display anisotropy in their resistivity, magnetization process, surface nano-morphology and optical transmission. After performing a heat treatment at 270 °C, these anisotropies decrease. This loss has been monitored measuring the resistivity as a function of temperature. The resistivity measured parallel to the direction of the nano-sheets has been constant up to 270 °C, but it decreases when measured perpendicular to the nano-sheets. This suggests the existence of a structural relaxation, which produces the change of the Co nano-sheets during annealing. The changes in the nano-morphology and the local chemical composition of the films at the nanoscale after heating above 270 °C have been analysed by scanning transmission electron microscopy (STEM. Thus, an approach and coalescence of the nano-sheets have been directly visualized. The spectrum of activation energies of this structural relaxation has indicated that the coalescence of the nano-sheets has taken place between 1.2 and 1.7 eV. In addition, an increase in the size of the nano-crystals has occurred in the samples annealed at 400 °C. This study may be relevant for the application in devices working, for example, in the GHz range and to achieve the retention of the anisotropy of these films at higher temperatures.

  3. Valence band offset of β-Ga2O3/wurtzite GaN heterostructure measured by X-ray photoelectron spectroscopy.

    Science.gov (United States)

    Wei, Wei; Qin, Zhixin; Fan, Shunfei; Li, Zhiwei; Shi, Kai; Zhu, Qinsheng; Zhang, Guoyi

    2012-10-10

    A sample of the β-Ga2O3/wurtzite GaN heterostructure has been grown by dry thermal oxidation of GaN on a sapphire substrate. X-ray diffraction measurements show that the β-Ga2O3 layer was formed epitaxially on GaN. The valence band offset of the β-Ga2O3/wurtzite GaN heterostructure is measured by X-ray photoelectron spectroscopy. It is demonstrated that the valence band of the β-Ga2O3/GaN structure is 1.40 ± 0.08 eV.

  4. Study of epitaxial lateral overgrowth of semipolar (1 1 − 2 2) GaN by using different SiO2 pattern sizes

    International Nuclear Information System (INIS)

    Song, Ki-Ryong; Lee, Jae-Hwan; Han, Sang-Hyun; Yi, Hye-Rin; Lee, Sung-Nam

    2013-01-01

    Graphical abstract: - Highlights: • We examine comparative studies of semipolar ELO-GaN film. • Semipolar ELO-GaN film was grown by three step growth method. • The achievement of smooth surface morphology of semipolar ELO-GaN. • The crystal and optical properties was significantly improved by ELO process. - Abstract: We investigated the growth mode and the crystal properties of lateral epitaxial overgrowth (LEO) semipolar (1 1 − 2 2) GaN by using the various SiO 2 pattern sizes of 6, 8, 10 and 12 μm with the window width of 4.0 μm. By using three-step growth technique, we successfully obtained the fully-coalescenced semipolar (1 1 − 2 2) LEO-GaN films regardless of the SiO 2 pattern sizes. However, the coalescence thickness of LEO-GaN film was decreased with decreasing SiO 2 pattern size, indicating that the coalescence of semipolar (1 1 − 2 2) GaN was easily formed by decreasing the pattern size of SiO 2 mask. The full width at half maximums (FWHMs) of X-ray rocking curves (XRCs) of LEO-GaN films decreased with increasing SiO 2 pattern size. In the pattern size of 4 × 10 μm, we achieved the minimum XRCs FWHM of 537 and 368 arc s with two different X-ray incident beam directions of [1 1 − 2 − 3] and [1 − 1 0 0], respectively. Moreover, the photoluminescence bandedge emission of semipolar (1 1 − 2 2) GaN was 45 times increased by LEO process. Based on these results, we concluded that the LEO pattern size of 4 × 10 μm would effectively decrease crystal defects of semipolar (1 1 − 2 2) GaN epilayer, resulting in an improvement of the optical properties

  5. Growth modes of InN (000-1) on GaN buffer layers on sapphire

    International Nuclear Information System (INIS)

    Liu Bing; Kitajima, Takeshi; Chen Dongxue; Leone, Stephen R.

    2005-01-01

    In this work, using atomic force microscopy and scanning tunneling microscopy, we study the surface morphologies of epitaxial InN films grown by plasma-assisted molecular beam epitaxy with intervening GaN buffer layers on sapphire substrates. On smooth GaN buffer layers, nucleation and evolution of three-dimensional InN islands at various coverages and growth temperatures are investigated. The shapes of the InN islands are observed to be predominantly mesalike with large flat (000-1) tops, which suggests a possible role of indium as a surfactant. Rough GaN buffer layers composed of dense small GaN islands are found to significantly improve uniform InN wetting of the substrates, on which atomically smooth InN films are obtained that show the characteristics of step-flow growth. Scanning tunneling microscopy imaging reveals the defect-mediated surface morphology of smooth InN films, including surface terminations of screw dislocations and a high density of shallow surface pits with depths less than 0.3 nm. The mechanisms of the three-dimensional island size and shape evolution and formation of defects on smooth surfaces are considered

  6. Growth and coalescence control of inclined c-axis polar and semipolar GaN multilayer structures grown on Si(111), Si(112), and Si(115) by metalorganic vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bartłomiej; Paszkiewicz, Bogdan; Paszkiewicz, Regina [The Faculty of Microsystem Electronics and Photonics, Wroclaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw (Poland); Sankowska, Iwona [The Institute of Electron Technology, Al. Lotnikow 32/46, 02-668 Warszawa (Poland)

    2016-09-15

    Herein, silicon substrates in alternative orientations from the commonly used Si(111) were used to enable the growth of polar and semipolar GaN-based structures by the metalorganic vapor phase epitaxy method. Specifically, Si(112) and Si(115) substrates were used for the epitaxial growth of nitride multilayer structures, while the same layer schemes were also deposited on Si(111) for comparison purposes. Multiple approaches were studied to examine the influence of the seed layers and the growth process conditions upon the final properties of the GaN/Si(11x) templates. Scanning electron microscope images were acquired to examine the topography of the deposited samples. It was observed that the substrate orientation and the process conditions allow control to produce an isolated GaN block growth or a coalesced layer growth, resulting in inclined c-axis GaN structures under various forms. The angles of the GaN c-axis inclination were determined by x-ray diffraction measurements and compared with the results obtained from the analysis of the atomic force microscope (AFM) images. The AFM image analysis method to determine the structure tilt was found to be a viable method to estimate the c-axis inclination angles of the isolated blocks and the not-fully coalesced layers. The quality of the grown samples was characterized by the photoluminescence method conducted at a wide range of temperatures from 77 to 297 K, and was correlated with the sample degree of coalescence. Using the free-excitation peak positions plotted as a function of temperature, analytical Bose-Einstein model parameters were fitted to obtain further information about the grown structures.

  7. Step-flow growth mode instability of N-polar GaN under N-excess

    International Nuclear Information System (INIS)

    Chèze, C.; Sawicka, M.; Siekacz, M.; Łucznik, B.; Boćkowski, M.; Skierbiszewski, C.; Turski, H.; Cywiński, G.; Smalc-Koziorowska, J.; Weyher, J. L.; Kryśko, M.

    2013-01-01

    GaN layers were grown on N-polar GaN substrates by plasma-assisted molecular beam epitaxy under different III/V ratios. Ga-rich conditions assure step-flow growth with atomically flat surface covered by doubly-bunched steps, as for Ga-polar GaN. Growth under N-excess however leads to an unstable step-flow morphology. Particularly, for substrates slightly miscut towards , interlacing fingers are covered by atomic steps pinned on both sides by small hexagonal pits. In contrast, a three-dimensional island morphology is observed on the Ga-polar equivalent sample. We attribute this result to lower diffusion barriers on N-polar compared to Ga-polar GaN under N-rich conditions

  8. The Peculiarities of Strain Relaxation in GaN/AlN Superlattices Grown on Vicinal GaN (0001) Substrate: Comparative XRD and AFM Study.

    Science.gov (United States)

    Kuchuk, Andrian V; Kryvyi, Serhii; Lytvyn, Petro M; Li, Shibin; Kladko, Vasyl P; Ware, Morgan E; Mazur, Yuriy I; Safryuk, Nadiia V; Stanchu, Hryhorii V; Belyaev, Alexander E; Salamo, Gregory J

    2016-12-01

    Superlattices (SLs) consisting of symmetric layers of GaN and AlN have been investigated. Detailed X-ray diffraction and reflectivity measurements demonstrate that the relaxation of built-up strain in the films generally increases with an increasing number of repetitions; however, an apparent relaxation for subcritical thickness SLs is explained through the accumulation of Nagai tilt at each interface of the SL. Additional atomic force microscopy measurements reveal surface pit densities which appear to correlate with the amount of residual strain in the films along with the appearance of cracks for SLs which have exceeded the critical thickness for plastic relaxation. These results indicate a total SL thickness beyond which growth may be limited for the formation of high-quality coherent crystal structures; however, they may indicate a growth window for the reduction of threading dislocations by controlled relaxation of the epilayers.

  9. Determination of carrier diffusion length in GaN

    Science.gov (United States)

    Hafiz, Shopan; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Özgür, Ümit; Metzner, Sebastian; Bertram, Frank; Christen, Jürgen; Gil, Bernard

    2015-01-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) and cross-sectional cathodoluminescence (CL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p-GaN or 1500 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photo-generation near the surface region by above bandgap excitation. Taking into consideration the absorption in the top GaN layer as well as active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be 93 ± 7 nm and 70 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively, at photogenerated carrier densities of 4.2 × 1018 cm-3 using PL spectroscopy. CL measurements of the unintentionally doped n-type GaN layer at much lower carrier densities of 1017 cm-3 revealed a longer diffusion length of 525 ± 11 nm at 6 K.

  10. Electrothermal evaluation of thick GaN epitaxial layers and AlGaN/GaN high-electron-mobility transistors on large-area engineered substrates

    Science.gov (United States)

    Anderson, Travis J.; Koehler, Andrew D.; Tadjer, Marko J.; Hite, Jennifer K.; Nath, Anindya; Mahadik, Nadeemullah A.; Aktas, Ozgur; Odnoblyudov, Vladimir; Basceri, Cem; Hobart, Karl D.; Kub, Francis J.

    2017-12-01

    AlGaN/GaN high-electron-mobility transistor (HEMT) device layers were grown by metal organic chemical vapor deposition (MOCVD) on commercial engineered QST™ substrates to demonstrate a path to scalable, cost-effective foundry processing while supporting the thick epitaxial layers required for power HEMT structures. HEMT structures on 150 mm Si substrates were also evaluated. The HEMTs on engineered substrates exhibited material quality, DC performance, and forward blocking performance superior to those of the HEMT on Si. GaN device layers up to 15 µm were demonstrated with a wafer bow of 1 µm, representing the thickest films grown on 150-mm-diameter substrates with low bow to date.

  11. Undoped p-type GaN1-xSbx alloys: Effects of annealing

    Science.gov (United States)

    Segercrantz, N.; Baumgartner, Y.; Ting, M.; Yu, K. M.; Mao, S. S.; Sarney, W. L.; Svensson, S. P.; Walukiewicz, W.

    2016-12-01

    We report p-type behavior for undoped GaN1-xSbx alloys with x ≥ 0.06 grown by molecular beam epitaxy at low temperatures (≤400 °C). Rapid thermal annealing of the GaN1-xSbx films at temperatures >400 °C is shown to generate hole concentrations greater than 1019 cm-3, an order of magnitude higher than typical p-type GaN achieved by Mg doping. The p-type conductivity is attributed to a large upward shift of the valence band edge resulting from the band anticrossing interaction between localized Sb levels and extended states of the host matrix.

  12. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kruse, J. E.; Doundoulakis, G. [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Lymperakis, L. [Max-Planck-Institut für Eisenforschung, Max-Planck-Straße 1, 40237 Düsseldorf (Germany); Eftychis, S.; Georgakilas, A., E-mail: alexandr@physics.uoc.gr [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Adikimenakis, A.; Tsagaraki, K.; Androulidaki, M.; Konstantinidis, G. [Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Olziersky, A.; Dimitrakis, P.; Ioannou-Sougleridis, V.; Normand, P. [Institute of Nanoscience and Nanotechnology, NCSR Demokritos, Patriarchou Grigoriou and Neapoleos 27, 15310 Aghia Paraskevi, Athens (Greece); Koukoula, T.; Kehagias, Th.; Komninou, Ph. [Department of Physics, Aristotle University of Thessaloniki, 54124 Thessaloniki (Greece)

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well as numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.

  13. Role of dislocations and carrier concentration in limiting the electron mobility of InN films grown by plasma assisted molecular beam epitaxy

    Science.gov (United States)

    Tangi, Malleswararao; De, Arpan; Shivaprasad, S. M.

    2018-01-01

    We report the molecular beam epitaxy growth of device quality InN films on GaN epilayer and nano-wall network (NWN) templates deposited on c-sapphire by varying the film thickness up to 1 μm. The careful experiments are directed towards obtaining high mobility InN layers having a low band gap with improved crystal quality. The dislocation density is quantified by using high resolution X-ray diffraction rocking curve broadening values of symmetric and asymmetric reflections, respectively. We observe that the dislocation density of the InN films grown on GaN NWN is less than that of the films grown on the GaN epilayer. This is attributed to the nanoepitaxial lateral overlayer growth (ELOG) process, where the presence of voids at the interface of InN/GaN NWN prevents the propagation of dislocation lines into the InN epilayers, thereby causing less defects in the overgrown InN films. Thus, this new adaptation of the nano-ELOG growth process enables us to prepare InN layers with high electron mobility. The obtained electron mobility of 2121 cm2/Vs for 1 μm thick InN/GaN NWN is comparable with the literature values of similar thickness InN films. Furthermore, in order to understand the reasons that limit electron mobility, the charge neutrality condition is employed to study the variation of electron mobility as a function of dislocation density and carrier concentration. Overall, this study provides a route to attaining improved crystal quality and electronic properties of InN films.

  14. Evolution of spirals during molecular beam epitaxy of GaN on 6H-SiC(0001)

    International Nuclear Information System (INIS)

    Cui, Y.; Li, L.

    2002-01-01

    Evolution of spirals during molecular beam epitaxy growth of GaN films on 6H-SiC(0001) was studied by in situ scanning tunneling microscopy. It was found that dislocations emerge at the film surface, creating straight steps with orientation along directions with a density of 10 10 cm -2 for 40-nm-thick films. During subsequent growth, these straight steps wind around dislocations and develop into spirals with a density of 10 9 cm -2 for 100-nm-thick films. The spirals can be classified into three types: single arm, interlocking double arm, and closed loop. The first two types originate from steps with one end pinned, and the third type results from steps with both ends pinned. At film thickness larger than 200 nm, these spirals further evolve into spiral mounds with a density of 10 7 cm -2 . Based on the Burton, Cabrera, and Frank theory, a model is proposed to explain the formation of different types of spirals and the reduction of their densities

  15. The trap states in lightly Mg-doped GaN grown by MOVPE on a freestanding GaN substrate

    Science.gov (United States)

    Narita, Tetsuo; Tokuda, Yutaka; Kogiso, Tatsuya; Tomita, Kazuyoshi; Kachi, Tetsu

    2018-04-01

    We investigated traps in lightly Mg-doped (2 × 1017 cm-3) p-GaN fabricated by metalorganic vapor phase epitaxy (MOVPE) on a freestanding GaN substrate and the subsequent post-growth annealing, using deep level transient spectroscopy. We identified four hole traps with energy levels of EV + 0.46, 0.88, 1.0, and 1.3 eV and one electron trap at EC - 0.57 eV in a p-type GaN layer uniformly doped with magnesium (Mg). The Arrhenius plot of hole traps with the highest concentration (˜3 × 1016 cm-3) located at EV + 0.88 eV corresponded to those of hole traps ascribed to carbon on nitrogen sites in n-type GaN samples grown by MOVPE. In fact, the range of the hole trap concentrations at EV + 0.88 eV was close to the carbon concentration detected by secondary ion mass spectroscopy. Moreover, the electron trap at EC - 0.57 eV was also identical to the dominant electron traps commonly observed in n-type GaN. Together, these results suggest that the trap states in the lightly Mg-doped GaN grown by MOVPE show a strong similarity to those in n-type GaN, which can be explained by the Fermi level close to the conduction band minimum in pristine MOVPE grown samples due to existing residual donors and Mg-hydrogen complexes.

  16. Oxygen adsorption and incorporation at irradiated GaN(0001) and GaN(0001¯) surfaces: First-principles density-functional calculations

    Science.gov (United States)

    Sun, Qiang; Selloni, Annabella; Myers, T. H.; Doolittle, W. Alan

    2006-11-01

    Density functional theory calculations of oxygen adsorption and incorporation at the polar GaN(0001) and GaN(0001¯) surfaces have been carried out to explain the experimentally observed reduced oxygen concentration in GaN samples grown by molecular beam epitaxy in the presence of high energy (˜10keV) electron beam irradiation [Myers , J. Vac. Sci. Technol. B 18, 2295 (2000)]. Using a model in which the effect of the irradiation is to excite electrons from the valence to the conduction band, we find that both the energy cost of incorporating oxygen impurities in deeper layers and the oxygen adatom diffusion barriers are significantly reduced in the presence of the excitation. The latter effect leads to a higher probability for two O adatoms to recombine and desorb, and thus to a reduced oxygen concentration in the irradiated samples, consistent with experimental observations.

  17. Enhanced Properties of Porous GaN Prepared by UV Assisted Electrochemical Etching

    International Nuclear Information System (INIS)

    Ainorkhilah Mahmood; Ainorkhilah Mahmood; Siang, C.L.

    2011-01-01

    The structural and optical properties of porous GaN films on sapphire (0001) prepared by UV assisted electrochemical etching were reported in this study. SEM micrographs indicated that the shapes of the pores for both porous samples are nearly hexagonal. XRD revealed that the broadening in spectrum is due to the small size crystallites. As compared to the as grown GaN films, porous layers exhibit a substantial photoluminescence (PL) intensity enhancement with red-shifted band-edge PL peaks associated with the relaxation of compressive stress. The shift of E2(high) to the lower frequency in Raman spectra of the porous GaN films further confirms such a stress relaxation. (author)

  18. Synthesis and characterization of a liquid Eu precursor (EuCp{sup pm}{sub 2}) allowing for valence control of Eu ions doped into GaN by organometallic vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, Brandon, E-mail: bmitchell@wcupa.edu [Department of Physics, West Chester University, West Chester, PA, 19383 (United States); Division of Materials and Manufacturing Science, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka, 565-0871 (Japan); Koizumi, Atsushi; Nunokawa, Takumi; Wakamatsu, Ryuta; Lee, Dong-gun; Saitoh, Yasuhisa; Timmerman, Dolf [Division of Materials and Manufacturing Science, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka, 565-0871 (Japan); Kuboshima, Yoshinori; Mogi, Takayuki; Higashi, Shintaro; Kikukawa, Kaoru [Kojundo Chemical Laboratory Co., Ltd., 5-1-28 Chiyoda, Sakado, Saitama, 350-0284 (Japan); Ofuchi, Hironori; Honma, Tetsuo [Japan Synchrotron Radiation Research Institute (JASRI/SPring-8), 1-1-1 Kouto, Sayo-cho, Sayo-gun, Hyogo, 679-5198 (Japan); Fujiwara, Yasufumi, E-mail: fujiwara@mat.eng.osaka-u.ac.jp [Division of Materials and Manufacturing Science, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka, 565-0871 (Japan)

    2017-06-01

    A liquid Eu precursor, bis(normal-propyl-tetramethylcyclopentadienyl)europium has been synthesized. This precursor exists as a liquid at temperatures higher than 49 °C, has a moderately high vapor pressure, contains no oxygen in its molecular structure, and can be distilled to high purity. These properties make it ideal for doping using a chemical vapor or atomic layer deposition method, and provide a degree of control previously unavailable. As a precursor the Eu exists in the divalent valance state, however, once doped into GaN by organometallic vapor phase epitaxy, the room-temperature photoluminescence of the Eu-doped GaN exhibited the typical red emission due to the intra-4f shell transition of trivalent Eu. After variation of the growth temperature, it was found that divalent Eu could be stabilized in the GaN matrix. By tuning the Fermi level through donor doping, the ratio of Eu{sup 2+} to Eu{sup 3+} could be controlled. The change in valence state of the Eu ions was confirmed using X-ray absorption near-edge structure. - Highlights: • A liquid Eu precursor was synthesized and its properties were characterized. • Precursor has a low melting point and a moderately high vapor pressure. • Does not contain oxygen in its molecular structure. • Eu can changed its valance state when incorporated into GaN. • Valence state of Eu in GaN can be controlled by donor doping.

  19. Laboratory Instrumentation Design Research for Scalable Next Generation Epitaxy: Non-Equilibrium Wide Application Epitaxial Patterning by Intelligent Control (NEW-EPIC). Volume 1. 3D Composition/Doping Control via Micromiror Patterned Deep UV Photodesorption: Revolutionary in situ Characterization/Control

    Science.gov (United States)

    2009-02-19

    34 (to be submitted to APL) " Positron Annihilation Spectroscopy of Annealed and As-grown Be-doped GaN" (to be submitted to APL - delayed by the...WIDE APPLICATION EPITAXIAL PATTERNING BY INTELLIGENT CONTROL (NEW-EPIC) 6. AUTHOR(S) DRS DOOLITTILE, FRAZIER, BURNHAM, PRITCHETT, BILLINGSLEY...NEXT GENERATION EPITAXY: NON-EQUILIBRIUM WIDE APPLICATION EPITAXIAL PATTERNING BY INTELLIGENT CONTROL (NEW-EPIC) VOLUME I 3D COMPOSITION/DOPING

  20. Ultraviolet light emitting diodes by ammonia molecular beam epitaxy on metamorphic (KAUST Repository

    Young, Erin C.; Yonkee, Benjamin P.; Wu, Feng; Saifaddin, Burhan K.; Cohen, Daniel A.; DenBaars, Steve P.; Nakamura, Shuji; Speck, James S.

    2015-01-01

    © 2015. In this paper we demonstrate ultraviolet (UV) light emitting diodes (LEDs) grown on metamorphic AlGaN buffers on freestanding GaN (202-1) substrates by ammonia assisted molecular beam epitaxy (MBE). Misfit and related threading dislocations were confined to the stress relaxed, compositionally graded buffer layers, and single quantum well devices emitting at 355, 310 and 274. nm were grown on top of the graded buffers. The devices showed excellent structural and electrical (I-. V) characteristics.

  1. Ultraviolet light emitting diodes by ammonia molecular beam epitaxy on metamorphic (KAUST Repository

    Young, Erin C.

    2015-09-01

    © 2015. In this paper we demonstrate ultraviolet (UV) light emitting diodes (LEDs) grown on metamorphic AlGaN buffers on freestanding GaN (202-1) substrates by ammonia assisted molecular beam epitaxy (MBE). Misfit and related threading dislocations were confined to the stress relaxed, compositionally graded buffer layers, and single quantum well devices emitting at 355, 310 and 274. nm were grown on top of the graded buffers. The devices showed excellent structural and electrical (I-. V) characteristics.

  2. Transmission electron microscopy of GaN based, doped semiconductor heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Pretorius, A.

    2006-07-01

    This thesis addresses the analysis of GaN based heterostructures with transmission electron microscopy (TEM). Basic properties of the material of interest are introduced in chapter 2. These include the structural and optical properties as well as an introduction to the growth methods used for the samples analysed in this work. In chapter 3 a brief theoretical treatment of TEM is given. As one main topic of this work is the determination of the In concentration in InGaN islands using strain state analysis, a detailed description of the method is given. Chapter 4 describes the results obtained for pyramidal defects present in metalorganic vapour phase epitaxy grown GaN:Mg with high dopant concentration. Based on the experimental results and the well established knowledge that GaN of inverted polarity is present inside the pyramidal defects, a variety of basal plane inversion domain boundary models was set up. From these models, HRTEM images were simulated using the multislice approach, followed by a quantitative comparison to experimentally obtained HRTEM images. Another focus of this work is the analysis of In{sub x}Ga{sub 1-x}N islands grown on GaN presented in chapter 5. Following a literature survey which describes different methods used to obtain In{sub x}Ga{sub 1-x}N islands, the first topic is the distinction of In{sub x}Ga{sub 1-x}N islands and metal droplets, which can form during growth. This is followed by the experimental results of molecular beam epitaxy and metalorganic vapour phase epitaxy grown In{sub x}Ga{sub 1-x}N island and quantum dot samples. (orig.)

  3. Epitaxial growth of sexi-thiophene and para-hexaphenyl and its implications for the fabrication of self-assembled lasing nano-fibres

    International Nuclear Information System (INIS)

    Simbrunner, Clemens

    2013-01-01

    Over the last few years, epitaxially grown self-assembled organic nano-structures became of increasing interest due to their high potential for implementation within opto-electronic devices. Exemplarily, the epitaxial growth of the rod-like molecules para-hexaphenyl (p-6P) and α-sexi-thiophene (6T) is discussed within this review. Both molecules tend to crystallize in highly asymmetric elongated entities which are also called nano-fibres. It is demonstrated that the obtained needle orientations and morphologies result from a complex interplay between various parameters e.g. substrate surface symmetry, molecular adsorption, crystal structure and contact plane. The interplay and its implications on the fabrication of self-assembled waveguiding nano-fibres and optical resonator structures are discussed and substantiated by a comparison with the reported literature. In further consequence, it is demonstrated that a precise control on the molecular adsorption geometry and the crystal contact plane represents a fundamental key parameter for the fabrication of self-assembled nano-fibres. As both parameters are basically determined by the chosen molecule–substrate material couple, the possible spectrum of molecular building blocks for the fabrication of waveguiding and lasing nano-structures can be predicted by the discussed growth model. A possible expansion of this common valid concept is presented by the utilization of organic–organic heteroepitaxy. Based on the reported p-6P/6T heterostructures which have been fabricated on various substrate surfaces, it is substantiated that the fabrication of organic–organic interfaces can be effectively used to gain control on the molecular adsorption geometry. As the proposed strategy still lacks a precise control of the obtained crystal contact plane, further strategies are discussed which potentially lead to a controlled fabrication of opto-electronic devices based on self-assembled organic nano-structures. (invited review)

  4. Growth of GaN layers using Ga2O vapor obtained from Ga and H2O vapor

    International Nuclear Information System (INIS)

    Sumi, Tomoaki; Taniyama, Yuuki; Takatsu, Hiroaki; Juta, Masami; Kitamoto, Akira; Imade, Mamoru; Yoshimura, Masashi; Mori, Yusuke; Isemura, Masashi

    2015-01-01

    In this study, we performed growth of GaN layers using Ga 2 O vapor synthesized from Ga and H 2 O vapor. In this process, we employed H 2 O vapor instead of HCl gas in hydride vapor phase epitaxy (HVPE) to synthesize Ga source gas. In the synthesis reaction of Ga 2 O, a Ga 2 O 3 whisker formed and covered Ga, which impeded the synthesis reaction of Ga 2 O. The formation of the Ga 2 O 3 whisker was suppressed in H 2 ambient at high temperatures. Then, we adopted this process to supply a group III precursor and obtained an epitaxial layer. X-ray diffraction (XRD) measurement revealed that the epitaxial layer was single-crystalline GaN. Growth rate increased linearly with Ga 2 O partial pressure and reached 104 µm/h. (author)

  5. Heteroepitaxial growth of In-face InN on GaN (0001) by plasma-assisted molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Dimakis, E.; Iliopoulos, E.; Tsagaraki, K.; Kehagias, Th.; Komninou, Ph.; Georgakilas, A.

    2005-01-01

    The thermodynamic aspects of indium-face InN growth by radio frequency plasma-assisted molecular-beam epitaxy (rf-MBE) and the nucleation of InN on gallium-face GaN (0001) surface were investigated. The rates of InN decomposition and indium desorption from the surface were measured in situ using reflected high-energy electron diffraction and the rf-MBE 'growth window' of In-face InN (0001) was identified. It is shown that sustainable growth can be achieved only when the arrival rate of active nitrogen species on the surface is higher than the arrival rate of indium atoms. The maximum substrate temperature permitting InN growth as a function of the active nitrogen flux was determined. The growth mode of InN on Ga-face GaN (0001) surface was investigated by reflected high-energy electron diffraction and atomic force microscopy. It was found to be of the Volmer-Weber-type for substrate temperatures less than 350 deg. C and of the Stranski-Krastanov for substrate temperatures between 350 and 520 deg. C. The number of monolayers of initial two-dimensional growth, in the case of Stranski-Krastanov mode, varies monotonically with substrate temperature, from 2 ML at 400 deg. C to about 12 ML at 500 deg. C. The evolution and coalescence of nucleated islands were also investigated as a function of substrate temperature. It was found that at higher temperature their coalescence is inhibited leading to porous-columnar InN thin films, which exhibit growth rates higher than the nominal value. Therefore, in order to achieve continuous InN layers on GaN (0001) a two-step growth approach is introduced. In that approach, InN is nucleated at low temperatures on GaN and the growth continues until full coalescence of the nucleated islands. Subsequently, this nucleation layer is overgrown at higher substrate temperature in order to achieve high-quality continuous films. The InN films grown by the two-step method were investigated by x-ray diffraction, Hall-effect measurements, and

  6. Plasma-assisted Molecular Beam Epitaxy of N-polar InAlN-barrier High-electron-mobility Transistors.

    Science.gov (United States)

    Hardy, Matthew T; Storm, David F; Katzer, D Scott; Downey, Brian P; Nepal, Neeraj; Meyer, David J

    2016-11-24

    Plasma-assisted molecular beam epitaxy is well suited for the epitaxial growth of III-nitride thin films and heterostructures with smooth, abrupt interfaces required for high-quality high-electron-mobility transistors (HEMTs). A procedure is presented for the growth of N-polar InAlN HEMTs, including wafer preparation and growth of buffer layers, the InAlN barrier layer, AlN and GaN interlayers and the GaN channel. Critical issues at each step of the process are identified, such as avoiding Ga accumulation in the GaN buffer, the role of temperature on InAlN compositional homogeneity, and the use of Ga flux during the AlN interlayer and the interrupt prior to GaN channel growth. Compositionally homogeneous N-polar InAlN thin films are demonstrated with surface root-mean-squared roughness as low as 0.19 nm and InAlN-based HEMT structures are reported having mobility as high as 1,750 cm 2 /V∙sec for devices with a sheet charge density of 1.7 x 10 13 cm -2 .

  7. Electrical, luminescent, and deep trap properties of Si doped n-GaN grown by pendeo epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Polyakov, A. Y. [National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049 (Russian Federation); Smirnov, N. B. [National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049 (Russian Federation); Institute of Rare Metals, B. Tolmachevsky, 5, Moscow 119017 (Russian Federation); Yakimov, E. B. [National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049 (Russian Federation); Institute of Microelectronics Technology and High Purity Materials, Russian Academy of Science, 6, Academician Ossipyan str., Chernogolovka, Moscow Region 142432 (Russian Federation); Lee, In-Hwan, E-mail: ihlee@jbnu.ac.kr [School of Advanced Materials Engineering and Research Center of Advanced Materials Development, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Pearton, S. J. [University of Florida, Gainesville, Florida 32611 (United States)

    2016-01-07

    Electrical and luminescent properties and deep trap spectra of Si doped GaN films grown by maskless epitaxial lateral overgrowth (MELO) are reported. The dislocation density in the wing region of the structure was 10{sup 6 }cm{sup −2}, while in the seed region it was 10{sup 8 }cm{sup −2}. The major electron traps present had activation energy of 0.56 eV and concentrations in the high 10{sup 15 }cm{sup −3} range. A comparison of diffusion length values and 0.56 eV trap concentration in MELO GaN and epitaxial lateral overgrowth (ELOG) GaN showed a good correlation, suggesting these traps could be effective in carrier recombination. The doped MELO films were more uniform in their electrical properties than either ELOG films or undoped MELO films. We also discuss the differences in deep trap spectra and luminescence spectra of low-dislocation-density MELO, ELOG, and bulk n-GaN samples grown by hydride vapor phase epitaxy. It is suggested that the observed differences could be caused by the differences in oxygen and carbon contamination levels.

  8. First-principles and thermodynamic analysis of trimethylgallium (TMG) decomposition during MOVPE growth of GaN

    Science.gov (United States)

    Sekiguchi, K.; Shirakawa, H.; Yamamoto, Y.; Araidai, M.; Kangawa, Y.; Kakimoto, K.; Shiraishi, K.

    2017-06-01

    We analyzed the decomposition mechanisms of trimethylgallium (TMG) used for the gallium source of GaN fabrication based on first-principles calculations and thermodynamic analysis. We considered two conditions. One condition is under the total pressure of 1 atm and the other one is under metal organic vapor phase epitaxy (MOVPE) growth of GaN. Our calculated results show that H2 is indispensable for TMG decomposition under both conditions. In GaN MOVPE, TMG with H2 spontaneously decomposes into Ga(CH3) and Ga(CH3) decomposes into Ga atom gas when temperature is higher than 440 K. From these calculations, we confirmed that TMG surely becomes Ga atom gas near the GaN substrate surfaces.

  9. Influence of Si-doping on heteroepitaxially grown a-plane GaN

    Energy Technology Data Exchange (ETDEWEB)

    Wieneke, Matthias; Bastek, Barbara; Noltemeyer, Martin; Hempel, Thomas; Rohrbeck, Antje; Witte, Hartmut; Veit, Peter; Blaesing, Juergen; Dadgar, Armin; Christen, Juergen; Krost, Alois [Otto-von-Guericke-Universitaet Magdeburg, FNW/IEP, Universitaetsplatz 2, 39106 Magdeburg (Germany)

    2011-07-01

    Si-doped a-plane GaN samples with nominal doping levels up to 10{sup 20} cm{sup -3} were grown on r-plane sapphire by metal organic vapor phase epitaxy. Silane flow rates higher than 59 nmol/min lead to three dimensional grown crystallites as revealed by scanning electron microscopy. High resolution X-ray diffraction, photoluminescence and cathodoluminescence suggest considerably reduced defect densities in the large micrometer-sized GaN crystallites. Especially, transmission electron microscopy images verify a very low density of basal plane stacking faults less than 10{sup 4} cm{sup -1} in these crystallites consisting of heteroepitaxially grown a-plane GaN. In our presentation the influence of the Si doping on the basal plane stacking faults will be discussed.

  10. Room temperature Ultraviolet B emission from InAlGaN films synthesized by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kong, W., E-mail: wei.kong@duke.edu; Jiao, W. Y.; Kim, T. H.; Brown, A. S. [Department of Electrical and Computer Engineering, Duke University, Durham, North Carolina 27708 (United States); Roberts, A. T. [Charles Bowden Laboratory, Army Aviation and Missile RD& E Center, Redstone Arsenal, Alabama 35898 (United States); Fournelle, J. [Department of Geoscience, University of Wisconsin, Madison, Wisconsin 53706 (United States); Losurdo, M. [CNR-NANOTEC, Istituto di Nanotecnologia, via Orabona, 4-70126 Bari (Italy); Everitt, H. O. [Charles Bowden Laboratory, Army Aviation and Missile RD& E Center, Redstone Arsenal, Alabama 35898 (United States); Department of Physics, Duke University, Durham, North Carolina 27708 (United States)

    2015-09-28

    Thin films of the wide bandgap quaternary semiconductor In{sub x}Al{sub y}Ga{sub (1−x−y)}N with low In (x = 0.01–0.05) and high Al composition (y = 0.40–0.49) were synthesized on GaN templates by plasma-assisted molecular beam epitaxy. High-resolution X-ray diffraction was used to correlate the strain accommodation of the films to composition. Room temperature ultraviolet B (280 nm–320 nm) photoluminescence intensity increased with increasing In composition, while the Stokes shift remained relatively constant. The data suggest a competition between radiative and non-radiative recombination occurs for carriers, respectively, localized at centers produced by In incorporation and at dislocations produced by strain relaxation.

  11. Determination of carrier diffusion length in p- and n-type GaN

    Science.gov (United States)

    Hafiz, Shopan; Metzner, Sebastian; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Karbaum, Christopher; Bertram, Frank; Christen, Jürgen; Gil, Bernard; Özgür, Ümit

    2014-03-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p- GaN or 1300 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photogeneration near the surface region by above bandgap excitation. Taking into consideration the absorption in the active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be about 92 ± 7 nm and 68 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively. Cross-sectional cathodoluminescence line-scan measurement was performed on a separate sample and the diffusion length in n-type GaN was measured to be 280 nm.

  12. Effects of material growth technique and Mg doping on Er3+ photoluminescence in Er-implanted GaN

    International Nuclear Information System (INIS)

    Kim, S.; Henry, R. L.; Wickenden, A. E.; Koleske, D. D.; Rhee, S. J.; White, J. O.; Myoung, J. M.; Kim, K.; Li, X.; Coleman, J. J.

    2001-01-01

    Photoluminescence (PL) and photoluminescence excitation (PLE) spectroscopies have been carried out at 6 K on the ∼1540 nm 4 I 13/2 - 4 I 15/2 emissions of Er 3+ in Er-implanted and annealed GaN. These studies revealed the existence of multiple Er 3+ centers and associated PL spectra in Er-implanted GaN films grown by metalorganic chemical vapor deposition, hydride vapor phase epitaxy, and molecular beam epitaxy. The results demonstrate that the multiple Er 3+ PL centers and below-gap defect-related absorption bands by which they are selectively excited are universal features of Er-implanted GaN grown by different techniques. It is suggested that implantation-induced defects common to all the GaN samples are responsible for the Er site distortions that give rise to the distinctive, selectively excited Er 3+ PL spectra. The investigations of selectively excited Er 3+ PL and PLE spectra have also been extended to Er-implanted samples of Mg-doped GaN grown by various techniques. In each of these samples, the so-called violet-pumped Er 3+ PL band and its associated broad violet PLE band are significantly enhanced relative to the PL and PLE of the other selectively excited Er 3+ PL centers. More importantly, the violet-pumped Er 3+ PL spectrum dominates the above-gap excited Er 3+ PL spectrum of Er-implanted Mg-doped GaN, whereas it was unobservable under above-gap excitation in Er-implanted undoped GaN. These results confirm the hypothesis that appropriate codopants can increase the efficiency of trap-mediated above-gap excitation of Er 3+ emission in Er-implanted GaN. [copyright] 2001 American Institute of Physics

  13. GaN and LED structures grown on pre-patterned silicon pillar arrays

    Energy Technology Data Exchange (ETDEWEB)

    Li, Shunfeng; Fuendling, Soenke; Soekmen, Uensal; Merzsch, Stephan; Neumann, Richard; Peiner, Erwin; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig, Hans-Sommer-Strasse 66, 38106 Braunschweig (Germany); Hinze, Peter; Weimann, Thomas [Physikalisch-Technische Bundesanstalt (PTB), Bundesallee 100, 38116 Braunschweig (Germany); Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvoigteiplatz 5-7, 10117 Berlin (Germany)

    2010-01-15

    GaN nanorods (or nanowires) have attracted great interest in a variety of applications, e.g. high-efficiency light emitting diodes, monolithic white light emission and optical interconnection due to their superior properties. In contrast to the mostly investigated self-assembled growth of GaN nanorods, we performed GaN nanorod growth by pre-patterning of the Si substrates. The pattern was transferred to Si substrates by photolithography and cryo-temperature inductively-coupled plasma etching. These Si templates then were used for further GaN nanorod growth by metal-organic vapour phase epitaxy (MOVPE). The low temperature AlN nucleation layer had to be optimized since it differs from its 2D layer counterpart on the surface area and orientations. We found a strong influence of diffusion processes, i.e. the GaN grown on top of the Si nanopillars can deplete the GaN around the Si pillars. Transmission electron microscopy measurements demonstrated clearly that the threading dislocations bend to the side facets of the pyramidal GaN nanostructures and terminate. Cathodoluminescence measurements reveal a difference of In composition and/or thickness of InGaN quantum wells on the different facets of the pyramidal GaN nanostructures. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Ferromagnetism in with Fe implanted GaN and TiO{sub 2}; Ferromagnetismus in mit Fe implantierten GaN und TiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Talut, Georg

    2009-12-15

    In the present study it was tried to create a diluted magnetic semiconductor on the basis of GaN and TiO{sub 2} by means of ion beam implantation. In most cases, by characterization of structural and magnetic properties, it was possible to prove that the ferromagnetic state is related to either spinodal decomposition or secondary phase formation. In case of Fe implanted GaN spinodal decomposition, epitaxially oriented {alpha}-Fe or {epsilon}-Fe{sub 3}N nanocrystals were found to be responsible for the ferromagnetic behavior. In addition, the formation of {gamma}-Fe clusters was observed. Similarly, in TiO{sub 2} the ferromagnetism is related to the formation of epitaxially oriented {alpha}-Fe clusters. Dependent on the process parameters during annealing experiments several various secondary phases were formed. A critical examination of the references in literature points out the significance of usage of sensitive and complementary probe techniques (like CEMS, SQUID, XRD, EXAFS), in order to be able to discuss the origin of ferromagnetism in the field of diluted magnetic semiconductors in a proper way. (orig.)

  15. Effects of Mg/Ga and V/III source ratios on hole concentration of N-polar (000\\bar{1}) p-type GaN grown by metalorganic vapor phase epitaxy

    Science.gov (United States)

    Nonoda, Ryohei; Shojiki, Kanako; Tanikawa, Tomoyuki; Kuboya, Shigeyuki; Katayama, Ryuji; Matsuoka, Takashi

    2016-05-01

    The effects of growth conditions such as Mg/Ga and V/III ratios on the properties of N-polar (000\\bar{1}) p-type GaN grown by metalorganic vapor phase epitaxy were studied. Photoluminescence spectra from Mg-doped GaN depended on Mg/Ga and V/III ratios. For the lightly doped samples, the band-to-acceptor emission was observed at 3.3 eV and its relative intensity decreased with increasing V/III ratio. For the heavily doped samples, the donor-acceptor pair emission was observed at 2.8 eV and its peak intensity monotonically decreased with V/III ratio. The hole concentration was maximum for the Mg/Ga ratio. This is the same tendency as in group-III polar (0001) growth. The V/III ratio also reduced the hole concentration. The higher V/III ratio reduced the concentration of residual donors such as oxygen by substituting nitrogen atoms. The surface became rougher with increasing V/III ratio and the hillock density increased.

  16. Metalorganic chemical vapor deposition growth of high-mobility AlGaN/AlN/GaN heterostructures on GaN templates and native GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Jr-Tai, E-mail: jrche@ifm.liu.se; Hsu, Chih-Wei; Forsberg, Urban; Janzén, Erik [Department of Physics, Chemistry, and Biology (IFM), Linköping University, SE 581 83 Linköping (Sweden)

    2015-02-28

    Severe surface decomposition of semi-insulating (SI) GaN templates occurred in high-temperature H{sub 2} atmosphere prior to epitaxial growth in a metalorganic chemical vapor deposition system. A two-step heating process with a surface stabilization technique was developed to preserve the GaN template surface. Utilizing the optimized heating process, a high two-dimensional electron gas mobility ∼2000 cm{sup 2}/V·s was obtained in a thin AlGaN/AlN/GaN heterostructure with an only 100-nm-thick GaN spacer layer homoepitaxially grown on the GaN template. This technique was also demonstrated viable for native GaN substrates to stabilize the surface facilitating two-dimensional growth of GaN layers. Very high residual silicon and oxygen concentrations were found up to ∼1 × 10{sup 20 }cm{sup −3} at the interface between the GaN epilayer and the native GaN substrate. Capacitance-voltage measurements confirmed that the residual carbon doping controlled by growth conditions of the GaN epilayer can be used to successfully compensate the donor-like impurities. State-of-the-art structural properties of a high-mobility AlGaN/AlN/GaN heterostructure was then realized on a 1 × 1 cm{sup 2} SI native GaN substrate; the full width at half maximum of the X-ray rocking curves of the GaN (002) and (102) peaks are only 21 and 14 arc sec, respectively. The surface morphology of the heterostructure shows uniform parallel bilayer steps, and no morphological defects were noticeable over the entire epi-wafer.

  17. High quality self-separated GaN crystal grown on a novel nanoporous template by HVPE.

    Science.gov (United States)

    Huo, Qin; Shao, Yongliang; Wu, Yongzhong; Zhang, Baoguo; Hu, Haixiao; Hao, Xiaopeng

    2018-02-16

    In this study, a novel nanoporous template was obtained by a two-step etching process from MOCVD-GaN/Al 2 O 3 (MGA) with electrochemical etching sequentially followed by chemical wet etching. The twice-etched MOCVD-GaN/Al 2 O 3 (TEMGA) templates were utilized to grow GaN crystals by hydride vapor phase epitaxy (HVPE) method. The GaN crystals were separated spontaneously from the TEMGA template with the assistance of voids formed by the etched nanopores. Several techniques were utilized to characterize the quality of the free-standing GaN crystals obtained from the TEMGA template. Results showed that the quality of the as-obtained GaN crystals was improved obviously compared with those grown on the MGA. This convenient technique can be applied to grow high-quality free-standing GaN crystals.

  18. Electronic Transport Mechanism for Schottky Diodes Formed by Au/HVPE a-Plane GaN Templates Grown via In Situ GaN Nanodot Formation

    Directory of Open Access Journals (Sweden)

    Moonsang Lee

    2018-06-01

    Full Text Available We investigate the electrical characteristics of Schottky contacts for an Au/hydride vapor phase epitaxy (HVPE a-plane GaN template grown via in situ GaN nanodot formation. Although the Schottky diodes present excellent rectifying characteristics, their Schottky barrier height and ideality factor are highly dependent upon temperature variation. The relationship between the barrier height, ideality factor, and conventional Richardson plot reveals that the Schottky diodes exhibit an inhomogeneous barrier height, attributed to the interface states between the metal and a-plane GaN film and to point defects within the a-plane GaN layers grown via in situ nanodot formation. Also, we confirm that the current transport mechanism of HVPE a-plane GaN Schottky diodes grown via in situ nanodot formation prefers a thermionic field emission model rather than a thermionic emission (TE one, implying that Poole–Frenkel emission dominates the conduction mechanism over the entire range of measured temperatures. The deep-level transient spectroscopy (DLTS results prove the presence of noninteracting point-defect-assisted tunneling, which plays an important role in the transport mechanism. These electrical characteristics indicate that this method possesses a great throughput advantage for various applications, compared with Schottky contact to a-plane GaN grown using other methods. We expect that HVPE a-plane GaN Schottky diodes supported by in situ nanodot formation will open further opportunities for the development of nonpolar GaN-based high-performance devices.

  19. Study of epitaxial lateral overgrowth of GaN for application in the fabrication of optoelectronic devices

    Science.gov (United States)

    Berry Ann, N. J.; Rodak, L. E.; Kasarla, Kalyan; Yang, Nanying; Korakakis, D.

    2005-10-01

    In this research effort, epitaxial lateral overgrowth (ELOG) of GaN on sapphire was performed by low-pressure metalorganic chemical vapor deposition (MOCVD) in a horizontal reactor. All ELOG growths were stopped prior to complete coalescence, and the resulting cross-sections were characterized by scanning electron microscopy (SEM). Both vertical {1120} and inclined sidewalls were observed. Inclined {112n}sidewalls of various angles (n 2-2.2) were found as previously reported in the literature1. Both one-step and two-step ELOG processes were used to control the overgrowth geometry. It was confirmed that sidewall formation and growth rates are closely correlated with multiple parameters including temperature and V/III ratio1. It was also found that substrate rotation greatly influences sidewall evolution and vertical growth rate. A conceptual model was begun to completely describe the ELOG process in a horizontal reactor. It is speculated that the different sidewalls observed as a function of substrate orientation result from variation in the local V/III ratio. Once developed, the final model will be used to control the sidewalls in the growth of ELOG structures for the fabrication of novel optoelectronic devices.

  20. Polarity-inverted lateral overgrowth and selective wet-etching and regrowth (PILOSWER) of GaN.

    Science.gov (United States)

    Jang, Dongsoo; Jue, Miyeon; Kim, Donghoi; Kim, Hwa Seob; Lee, Hyunkyu; Kim, Chinkyo

    2018-03-07

    On an SiO 2 -patterned c-plane sapphire substrate, GaN domains were grown with their polarity controlled in accordance with the pattern. While N-polar GaN was grown on hexagonally arranged circular openings, Ga-polar GaN was laterally overgrown on mask regions due to polarity inversion occurring at the boundary of the circular openings. After etching of N-polar GaN on the circular openings by H 3 PO 4 , this template was coated with 40-nm Si by sputtering and was slightly etched by KOH. After slight etching, a thin layer of Si left on the circular openings of sapphire,but not on GaN, was oxidized during thermal annealing and served as a dielectric mask during subsequent regrowth. Thus, the subsequent growth of GaN was made only on the existing Ga-polar GaN domains, not on the circular openings of the sapphire substrate. Transmission electron microscopy analysis revealed no sign of threading dislocations in this film. This approach may help fabricating an unholed and merged GaN film physically attached to but epitaxially separated from the SiO 2 -patterned sapphire.

  1. Structure and Properties of Epitaxial Dielectrics on gallium nitride

    Science.gov (United States)

    Wheeler, Virginia Danielle

    GaN is recognized as a possible material for metal oxide semiconductor field effect transistors (MOSFETs) used in high temperature, high power and high speed electronic applications. However, high gate leakage and low device breakdown voltages limit their use in these applications. The use of high-kappa dielectrics, which have both a high permittivity (ε) and high band gap energy (Eg), can reduce the leakage current density that adversely affects MOS devices. La2O3 and Sc2O 3 are rare earth oxides with a large Eg (6.18 eV and 6.3 eV respectively) and a relatively high ε (27 and 14.1 respectively), which make them good candidates for enhancing MOSFET performance. Epitaxial growth of oxides is a possible approach to reducing leakage current and Fermi level pinning related to a high density of interface states for dielectrics on compound semiconductors. In this work, La2O3 and Sc2O 3 were characterized structurally and electronically as potential epitaxial gate dielectrics for use in GaN based MOSFETs. GaN surface treatments were examined as a means for additional interface passivation and influencing subsequent oxide formation. Potassium persulfate (K2(SO4)2) and potassium hydroxide (KOH) were explored as a way to achieve improved passivation and desired surface termination for GaN films deposited on sapphire substrates by metal organic chemical vapor deposition (MOCVD). X-ray photoelectron spectroscopy (XPS) showed that KOH left a nitrogen-rich interface, while K2(SO 4)2 left a gallium-rich interface, which provides a way to control surface oxide formation. K2(SO4)2 exhibited a shift in the O1s peak indicating the formation of a gallium-rich GaOx at the surface with decreased carbon contaminants. GaO x acts as a passivating layer prior to dielectric deposition, which resulted in an order of magnitude reduction in leakage current, a reduced hysteresis window, and an overall improvement in device performance. Furthermore, K2(SO4)2 resulted in an additional 0.4 eV of

  2. High temperature refractive indices of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Liu, C.; Stepanov, S.; Gott, A.; Shields, P.A.; Zhirnov, E.; Wang, W.N. [Department of Physics, University of Bath, Bath, BA2 7AY (United Kingdom); Steimetz, E.; Zettler, J.T. [LayTec, Helmholtzstr. 13-14, 10587 Berlin (Germany)

    2006-06-15

    Undoped GaN (u-GaN) films were grown by low pressure metalorganic vapour phase epitaxy (LP-MOVPE) on sapphire substrates. In situ optical monitoring was applied to the growth process either using a LayTec EpiR-DA TT spectroscopic reflectometer or Filmetrics F30. Refractive indices of u-GaN films at 1060 C were obtained in a spectral range from 370-900 nm. A peak at 412{+-}5 nm in refractive index spectra was observed, which most likely corresponds to the band-gap of hexagonal GaN at a temperature of 1060 C. Refractive indices below this band-gap are fitted well to the first-order Sellmeier formula. As an example of the applications of the refractive indices, the effective film thicknesses of GaN during the resumption from 3 dimensional (3D) to 2 dimensional (2D) growth have been calculated from the spectra recorded by a LayTec system using the optical constants obtained. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Impact of growth and annealing conditions on the parameters of Ge/Si(001) relaxed layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Yurasov, D. V., E-mail: Inquisitor@ipm.sci-nnov.ru [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Bobrov, A. I. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Daniltsev, V. M.; Novikov, A. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Pavlov, D. A. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Skorokhodov, E. V.; Shaleev, M. V.; Yunin, P. A. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2015-11-15

    Influence of the Ge layer thickness and annealing conditions on the parameters of relaxed Ge/Si(001) layers grown by molecular beam epitaxy via two-stage growth is investigated. The dependences of the threading dislocation density and surface roughness on the Ge layer thickness, annealing temperature and time, and the presence of a hydrogen atmosphere are obtained. As a result of optimization of the growth and annealing conditions, relaxed Ge/Si(001) layers which are thinner than 1 μm with a low threading dislocation density on the order of 10{sup 7} cm{sup –2} and a root mean square roughness of less than 1 nm are obtained.

  4. Heteroepitaxial growth of basal plane stacking fault free a-plane GaN

    Energy Technology Data Exchange (ETDEWEB)

    Wieneke, Matthias; Hempel, Thomas; Noltemeyer, Martin; Witte, Hartmut; Dadgar, Armin; Blaesing, Juergen; Christen, Juergen; Krost, Alois [Otto-von-Guericke Universitaet Magdeburg, FNW/IEP, Magdeburg (Germany)

    2010-07-01

    Growth of light emitting quantum-wells based on a-plane GaN is a possibility to reduce or even to avoid polarization correlated luminescence red shift and reduction of radiative recombination efficiency. But until now heteroepitaxially grown a-plane GaN films are characterized by a poor crystalline quality expressed by a high density of basal plane stacking faults (BSF) and partial dislocations. We present Si doped a-plane GaN films grown on r-plane sapphire substrates by metal organic vapor phase epitaxy using high temperature AlGaN nucleation layers. FE-SEM images revealed three dimensionally grown GaN crystallites sized up to tenth micrometer in the basal plane and a few tenth micrometers along the c-axes. Though, the full width at half maxima of the X-ray diffraction {omega}-scans of the in-plane GaN(1 anti 100) and GaN(0002) Bragg reflections exhibited a very high crystal quality. Furthermore, luminescence spectra were dominated by near band gap emission, while there was no separated peak of the basal plane stacking fault. In summary we present heteroepitaxially grown a-plane GaN without an evidence of basal plane stacking faults in X-ray diffraction measurements and luminescence spectra.

  5. Reduction of buffer layer conduction near plasma-assisted molecular-beam epitaxy grown GaN/AlN interfaces by beryllium doping

    International Nuclear Information System (INIS)

    Storm, D.F.; Katzer, D.S.; Binari, S.C.; Glaser, E.R.; Shanabrook, B.V.; Roussos, J.A.

    2002-01-01

    Beryllium doping of epitaxial GaN layers is used to reduce leakage currents through interfacial or buffer conducting layers grown by plasma-assisted molecular-beam epitaxy on SiC. Capacitance-voltage measurements of Schottky barrier test structures and dc pinch-off characteristics of unintentionally doped GaN high-electron-mobility transistors indicate that these leakage currents are localized near the GaN/AlN interface of our AlGaN/GaN/AlN device structures. Insertion of a 2000 Aa Be:GaN layer at the interface reduces these currents by three orders of magnitude

  6. Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N2/H2 excited plasma - effect of TMG flow rate and VHF power

    Science.gov (United States)

    Lu, Yi; Kondo, Hiroki; Ishikawa, Kenji; Oda, Osamu; Takeda, Keigo; Sekine, Makoto; Amano, Hiroshi; Hori, Masaru

    2014-04-01

    Gallium nitride (GaN) films have been grown by using our newly developed Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) system. This system has three features: (1) application of very high frequency (60 MHz) power in order to increase the plasma density, (2) introduction of H2 gas together with N2 gas in the plasma discharge region to generate not only nitrogen radicals but also active NHx molecules, and (3) radical supply under remote plasma arrangement with suppression of charged ions and photons by employing a Faraday cage. Using this new system, we have studied the effect of the trimethylgallium (TMG) source flow rate and of the plasma generation power on the GaN crystal quality by using scanning electron microscopy (SEM) and double crystal X-ray diffraction (XRD). We found that this REMOCVD allowed the growth of epitaxial GaN films of the wurtzite structure of (0001) orientation on sapphire substrates with a high growth rate of 0.42 μm/h at a low temperature of 800 °C. The present REMOCVD is a promising method for GaN growth at relatively low temperature and without using costly ammonia gas.

  7. Carrier confinement effects of InxGa1-xN/GaN multi quantum disks with GaN surface barriers grown in GaN nanorods

    Science.gov (United States)

    Park, Youngsin; Chan, Christopher C. S.; Taylor, Robert A.; Kim, Nammee; Jo, Yongcheol; Lee, Seung W.; Yang, Woochul; Im, Hyunsik

    2018-04-01

    Structural and optical properties of InxGa1-xN/GaN multi quantum disks (QDisks) grown on GaN nanorods by molecular beam epitaxy have been investigated by transmission electron microscopy and micro-photoluminescence (PL) spectroscopy. Two types of InGaN QDisks were grown: a pseudo-3D confined InGaN pillar-type QDisks embedded in GaN nanorods; and QDisks in flanged cone type GaN nanorods. The PL emission peak and excitation dependent PL behavior of the pillar-type Qdisks differ greatly from those of the flanged cone type QDisks. Time resolved PL was carried out to probe the differences in charge carrier dynamics. The results suggest that by constraining the formation of InGaN QDisks within the centre of the nanorod, carriers are restricted from migrating to the surface, decreasing the surface recombination at high carrier densities.

  8. Integrated Production of Ultra-Low Defect GaN Films and Devices for High-Power Amplifiers, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — High quality GaN epitaxial films are key to current efforts for development of both high-power/high-speed electronic devices and optoelectronic devices. In fact,...

  9. The role of SiGe buffer in growth and relaxation of Ge on free-standing Si(001) nano-pillars.

    Science.gov (United States)

    Zaumseil, P; Kozlowski, G; Schubert, M A; Yamamoto, Y; Bauer, J; Schülli, T U; Tillack, B; Schroeder, T

    2012-09-07

    We study the growth and relaxation processes of Ge nano-clusters selectively grown by chemical vapor deposition on free-standing 90 nm wide Si(001) nano-pillars with a thin Si(0.23)Ge(0.77) buffer layer. We found that the dome-shaped SiGe layer with a height of about 28 nm as well as the Ge dot deposited on top of it partially relaxes, mainly by elastic lattice bending. The Si nano-pillar shows a clear compliance behavior-an elastic response of the substrate on the growing film-with the tensile strained top part of the pillar. Additional annealing at 800 °C leads to the generation of misfit dislocation and reduces the compliance effect significantly. This example demonstrates that despite the compressive strain generated due to the surrounding SiO(2) growth mask it is possible to realize an overall tensile strain in the Si nano-pillar and following a compliant substrate effect by using a SiGe buffer layer. We further show that the SiGe buffer is able to improve the structural quality of the Ge nano-dot.

  10. Growth on nonpolar and semipolar GaN: The substrate dilemma

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, T.; Weyers, M. [Ferdinand-Braun-Institute, Berlin (Germany); Kneissl, M. [Ferdinand-Braun-Institute, Berlin (Germany); Institute of Solid State Physics, TU Berlin (Germany)

    2009-07-01

    Growth of nonpolar and semipolar GaN is very promising for achieving green laser diodes (LDs). However, the choice of the substrate is a difficult one: Heteroepitaxial growth on sapphire, SiC, LiAlO{sub 2} yields GaN films with a poor surface quality and high defect densities. On the other hand non- and semipolar bulk GaN substrates provide excellent crystal quality, but are so far only available in very small sizes. In this paper hetero- and homoepitaxial growth is compared. For all heteroepitaxially grown semi- and nonpolar GaN layers threading dislocations (TD) and basal plane stacking faults (BSF) can be found. There are four possible mechanisms for the generation of BSF: Growth of the N-polar basal plane, formation during nucleation at substrate steps, formation at the coalescence front of differently stacked nucleation islands, and generation at planar defects occurring in m-plane GaN on LiAlO{sub 2}. BSF induce surface roughening and are associated with partial dislocations causing nonradiative recombination. Thus they affect the performance of devices. We show that BSFs and TDs can be reduced by epitaxial lateral overgrowth resulting in several micrometer wide defect free areas. However, for LEDs larger defect-free areas are required. GaN layers grown on bulk GaN substrates exhibit a high crystal quality, but show in many cases long-range surface structures with a height of {approx}1{mu}m.

  11. Integrated Production of Ultra-Low Defect GaN Films and Devices for High-Power Amplifiers, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — High quality GaN epitaxial films are one of the keys to current efforts for development of both high-power/high-speed electronic devices and optoelectronic devices....

  12. The Growth of GaN on Si by the Beam Flux Modulation

    International Nuclear Information System (INIS)

    Roh, C. H.; Ha, M. W.; Song, H. J.; Choi, H. G.; Lee, J. H.; Ra, Y. W.; Hahn, C. K.

    2011-01-01

    AlGaN/GaN HEMT structure was grown on Si (111) substrate by plasma-assisted molecular beam epitaxy (PA-MBE) using a beam flux modulation methods. In this result, it was verified that the propagation of treading dislocation (TD) due to N-rich GaN layer was effectively suppressed.

  13. Comparative study of polar and semipolar (112¯2) InGaN layers grown by metalorganic vapour phase epitaxy

    International Nuclear Information System (INIS)

    Dinh, Duc V.; Zubialevich, V. Z.; Oehler, F.; Kappers, M. J.; Humphreys, C. J.; Alam, S. N.; Parbrook, P. J.; Caliebe, M.; Scholtz, F.

    2014-01-01

    InGaN layers were grown simultaneously on (112 ¯ 2) GaN and (0001) GaN templates by metalorganic vapour phase epitaxy. At higher growth temperature (≥750 °C), the indium content ( ¯ 2) and (0001) InGaN layers was similar. However, for temperatures less than 750 °C, the indium content of the (112 ¯ 2) InGaN layers (15%–26%) were generally lower than those with (0001) orientation (15%–32%). The compositional deviation was attributed to the different strain relaxations between the (112 ¯ 2) and (0001) InGaN layers. Room temperature photoluminescence measurements of the (112 ¯ 2) InGaN layers showed an emission wavelength that shifts gradually from 380 nm to 580 nm with decreasing growth temperature (or increasing indium composition). The peak emission wavelength of the (112 ¯ 2) InGaN layers with an indium content of more than 10% blue-shifted a constant value of ≈(50–60) nm when using higher excitation power densities. This blue-shift was attributed to band filling effects in the layers.

  14. Dependence of the Mg-related acceptor ionization energy with the acceptor concentration in p-type GaN layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Brochen, Stéphane; Brault, Julien; Chenot, Sébastien; Dussaigne, Amélie; Leroux, Mathieu; Damilano, Benjamin

    2013-01-01

    Hall effect and capacitance-voltage C(V) measurements were performed on p-type GaN:Mg layers grown on GaN templates by molecular beam epitaxy with a high range of Mg-doping concentrations. The free hole density and the effective dopant concentration N A −N D as a function of magnesium incorporation measured by secondary ion mass spectroscopy clearly reveal both a magnesium doping efficiency up to 90% and a strong dependence of the acceptor ionization energy Ea with the acceptor concentration N A . These experimental observations highlight an isolated acceptor binding energy of 245±25 meV compatible, at high acceptor concentration, with the achievement of p-type GaN:Mg layers with a hole concentration at room temperature close to 10 19 cm −3

  15. Effect of diffusion from a lateral surface on the rate of GaN nanowire growth

    International Nuclear Information System (INIS)

    Sibirev, N. V.; Tchernycheva, M.; Cirlin, G. E.; Patriarche, G.; Harmand, J. C.; Dubrovskii, V. G.

    2012-01-01

    The kinetics of the growth of GaN crystalline nanowires on a Si (111) surface with no catalyst is studied experimentally and theoretically. Noncatalytic GaN nanowires were grown by molecular-beam epitaxy with AlN inserts, which makes it possible to determine the rate of the vertical growth of nanowires. A model for the formation of GaN nanowires is developed, and an expression for their rate of growth is derived. It is shown that, in the general case, the dependence of the rate of growth on the nanowire diameter has a minimum. The diameter corresponding to the experimentally observed minimum of the rate of growth steadily increases with increasing diffusion flux from the lateral surface.

  16. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Science.gov (United States)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  17. Effect of SiC buffer layer on GaN growth on Si via PA-MBE

    Science.gov (United States)

    Kukushkin, S. A.; Mizerov, A. M.; Osipov, A. V.; Redkov, A. V.; Telyatnik, R. S.; Timoshnev, S. N.

    2017-11-01

    The study is devoted to comparison of GaN thin films grown on SiC/Si substrates made by the method of atoms substitution with the films grown directly on Si substrates. The growth was performed in a single process via plasma assisted molecular beam epitaxy. The samples were studied via optical microscopy, Raman spectroscopy, ellipsometry, and a comparison of their characteristics was made. Using chemical etching in KOH, the polarity of GaN films grown on SiC/Si and Si substrates was determined.

  18. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    Science.gov (United States)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  19. Electrical properties of cubic InN and GaN epitaxial layers as a function of temperature

    International Nuclear Information System (INIS)

    Fernandez, J.R.L.; Chitta, V.A.; Abramof, E.

    2000-01-01

    Carrier concentration and mobility were measured for intrinsic cubic InN and GaN, and for Si-doped cubic GaN as a function of temperature. Metallic n-type conductivity was found for the InN, while background p-type conductivity was observed for the intrinsic GaN layer. Doping the cubic GaN with Si two regimes were observed. For low Si-doping concentrations, the samples remain p-type. Increasing the Si-doping level, the background acceptors are compensated and the samples became highly degenerated n-type. From the carrier concentration dependence on temperature, the activation energy of the donor and acceptor levels was determined. Attempts were made to determine the scattering mechanisms responsible for the behavior of the mobility as a function of temperature

  20. Crystal Structures of GaN Nanodots by Nitrogen Plasma Treatment on Ga Metal Droplets

    Directory of Open Access Journals (Sweden)

    Yang-Zhe Su

    2018-06-01

    Full Text Available Gallium nitride (GaN is one of important functional materials for optoelectronics and electronics. GaN exists both in equilibrium wurtzite and metastable zinc-blende structural phases. The zinc-blende GaN has superior electronic and optical properties over wurtzite one. In this report, GaN nanodots can be fabricated by Ga metal droplets in ultra-high vacuum and then nitridation by nitrogen plasma. The size, shape, density, and crystal structure of GaN nanodots can be characterized by transmission electron microscopy. The growth parameters, such as pre-nitridation treatment on Si surface, substrate temperature, and plasma nitridation time, affect the crystal structure of GaN nanodots. Higher thermal energy could provide the driving force for the phase transformation of GaN nanodots from zinc-blende to wurtzite structures. Metastable zinc-blende GaN nanodots can be synthesized by the surface modification of Si (111 by nitrogen plasma, i.e., the pre-nitridation treatment is done at a lower growth temperature. This is because the pre-nitridation process can provide a nitrogen-terminal surface for the following Ga droplet formation and a nitrogen-rich condition for the formation of GaN nanodots during droplet epitaxy. The pre-nitridation of Si substrates, the formation of a thin SiNx layer, could inhibit the phase transformation of GaN nanodots from zinc-blende to wurtzite phases. The pre-nitridation treatment also affects the dot size, density, and surface roughness of samples.

  1. Vertical current-flow enhancement via fabrication of GaN nanorod p–n junction diode on graphene

    Energy Technology Data Exchange (ETDEWEB)

    Ryu, Sung Ryong [Quantum-functional Semiconductor Research Center, Dongguk University-Seoul, 100-715 (Korea, Republic of); Department of physics, Dongguk University, Seoul, 100-715 (Korea, Republic of); Ram, S.D. Gopal; Lee, Seung Joo; Cho, Hak-dong; Lee, Sejoon [Quantum-functional Semiconductor Research Center, Dongguk University-Seoul, 100-715 (Korea, Republic of); Kang, Tae Won, E-mail: twkang@dongguk.edu [Quantum-functional Semiconductor Research Center, Dongguk University-Seoul, 100-715 (Korea, Republic of); Clean Energy and Nano Convergence Centre, Hindustan University, Chennai 600 016 (India); Kwon, Sangwoo; Yang, Woochul [Department of physics, Dongguk University, Seoul, 100-715 (Korea, Republic of); Shin, Sunhye [Soft-Epi Inc., 240 Opo-ro, Opo-eup, Gwangju-si, Gyeonggi-do (Korea, Republic of); Woo, Yongdeuk [Department of Mechanical and Automotive Engineering, Woosuk University, Chonbuk 565-701 (Korea, Republic of)

    2015-08-30

    Highlights: • Uniaxial p–n junction diode in GaN nanorod is made by Hydride vapor phase epitaxy method. • The p–n junction diode property is clearly observed from the fabricated uniaxial p–n junction nanorod GaN nanorod. • Graphene is used as a current spreading layer to reduce the lateral resistance up to 700 times when compared with the commercial sapphire substrate, which is clearly explained with the aid of an equivalent circuit. • Kelvin Force Probe microscopy method is employed to visualize the p- and n- regions in a single GaN nanorod. - Abstract: Mg doped GaN nanorods were grown on undoped n-type GaN nanorods uniaxial on monolayer graphene by hydride vapor phase epitaxy (HVPE) method. The monolayer graphene used as the bottom electrode and a substrate as well provides good electrical contact, acts as a current spreading layer, well suitable for the growth of hexagonal GaN nanorod. In addition it has a work function suitable to that of n-GaN. The formed p–n nanorods show a Schottky behavior with a turn on voltage of 3 V. Using graphene as the substrate, the resistance of the nanorod is reduced by 700 times when compared with the case without using graphene as the current spreading layer. The low resistance of graphene acts in parallel with the resistance of the GaN buffer layer, and reduces the resistance drastically. The formed p–n junction in a single GaN nanorod is visualized by Kelvin Force Probe Microscopy (KPFM) to have distinctively contrast p and n regions. The measured contact potential difference of p-and n-region has a difference of 103 mV which well confirms the formed regions are electronically different. Low temperature photoluminescence (PL) spectra give evidence of dopant related acceptor bound emission at 3.2 eV different from 3.4 eV of undoped GaN. The crystalline structure, compositional purity is confirmed by X-ray diffraction (XRD), Transmission and Scanning electron microcopies (SEM), (TEM), Energy dispersive analysis

  2. Vertical current-flow enhancement via fabrication of GaN nanorod p–n junction diode on graphene

    International Nuclear Information System (INIS)

    Ryu, Sung Ryong; Ram, S.D. Gopal; Lee, Seung Joo; Cho, Hak-dong; Lee, Sejoon; Kang, Tae Won; Kwon, Sangwoo; Yang, Woochul; Shin, Sunhye; Woo, Yongdeuk

    2015-01-01

    Highlights: • Uniaxial p–n junction diode in GaN nanorod is made by Hydride vapor phase epitaxy method. • The p–n junction diode property is clearly observed from the fabricated uniaxial p–n junction nanorod GaN nanorod. • Graphene is used as a current spreading layer to reduce the lateral resistance up to 700 times when compared with the commercial sapphire substrate, which is clearly explained with the aid of an equivalent circuit. • Kelvin Force Probe microscopy method is employed to visualize the p- and n- regions in a single GaN nanorod. - Abstract: Mg doped GaN nanorods were grown on undoped n-type GaN nanorods uniaxial on monolayer graphene by hydride vapor phase epitaxy (HVPE) method. The monolayer graphene used as the bottom electrode and a substrate as well provides good electrical contact, acts as a current spreading layer, well suitable for the growth of hexagonal GaN nanorod. In addition it has a work function suitable to that of n-GaN. The formed p–n nanorods show a Schottky behavior with a turn on voltage of 3 V. Using graphene as the substrate, the resistance of the nanorod is reduced by 700 times when compared with the case without using graphene as the current spreading layer. The low resistance of graphene acts in parallel with the resistance of the GaN buffer layer, and reduces the resistance drastically. The formed p–n junction in a single GaN nanorod is visualized by Kelvin Force Probe Microscopy (KPFM) to have distinctively contrast p and n regions. The measured contact potential difference of p-and n-region has a difference of 103 mV which well confirms the formed regions are electronically different. Low temperature photoluminescence (PL) spectra give evidence of dopant related acceptor bound emission at 3.2 eV different from 3.4 eV of undoped GaN. The crystalline structure, compositional purity is confirmed by X-ray diffraction (XRD), Transmission and Scanning electron microcopies (SEM), (TEM), Energy dispersive analysis

  3. Phonon deformation potentials of hexagonal GaN studied by biaxial stress modulation

    Directory of Open Access Journals (Sweden)

    Jun-Yong Lu

    2011-09-01

    Full Text Available In this work, a biaxial stress modulation method, combining the microfabrication technique, finite element analysis and a weighted averaging process, was developed to study piezospectroscopic behavior of hexagonal GaN films, epitaxially grown by metalorganic chemical vapor deposition on c-sapphire and Si (111 substrates. Adjusting the size of patterned islands, various biaxial stress states could be obtained at the island centers, leading to abundant stress-Raman shift data. With the proposed stress modulation method, the Raman biaxial stress coefficients of E2H and A1 (LO phonons of GaN were determined to be 3.43 cm-1/GPa and 2.34 cm-1/GPa, respectively.

  4. Influence of V/III growth flux ratio on trap states in m-plane GaN grown by ammonia-based molecular beam epitaxy

    International Nuclear Information System (INIS)

    Zhang, Z.; Arehart, A. R.; Hurni, C. A.; Speck, J. S.; Ringel, S. A.

    2012-01-01

    Deep level transient spectroscopy (DLTS) and deep level optical spectroscopy (DLOS) were utilized to investigate the behavior of deep states in m-plane, n-type GaN grown by ammonia-based molecular beam epitaxy (NH 3 -MBE) as a function of systematically varied V/III growth flux ratios. Levels were detected at E C - 0.14 eV, E C - 0.21 eV, E C - 0.26 eV, E C - 0.62 eV, E C - 0.67 eV, E C - 2.65 eV, and E C - 3.31 eV, with the concentrations of several traps exhibiting systematic dependencies on V/III ratio. The DLTS spectra are dominated by traps at E C - 0.14 eV and E C - 0.67 eV, whose concentrations decreased monotonically with increasing V/III ratio and decreasing oxygen impurity concentration, and by a trap at E C - 0.21 eV that revealed no dependence of its concentration on growth conditions, suggestive of different physical origins. Higher concentrations of deeper trap states detected by DLOS with activation energies of E C - 2.65 eV and E C - 3.31 eV in each sample did not display measureable sensitivity to the intentionally varied V/III ratio, necessitating further study on reducing these deep traps through growth optimization for maximizing material quality of NH 3 -MBE grown m-plane GaN.

  5. Gallium adsorption on (0001) GaN surfaces

    International Nuclear Information System (INIS)

    Adelmann, Christoph; Brault, Julien; Mula, Guido; Daudin, Bruno; Lymperakis, Liverios; Neugebauer, Joerg

    2003-01-01

    We study the adsorption behavior of Ga on (0001) GaN surfaces combining experimental specular reflection high-energy electron diffraction with theoretical investigations in the framework of a kinetic model for adsorption and ab initio calculations of energy parameters. Based on the experimental results we find that for substrate temperatures and Ga fluxes typically used in molecular-beam epitaxy of GaN, finite equilibrium Ga surface coverages can be obtained. The measurement of a Ga/GaN adsorption isotherm allows the quantification of the equilibrium Ga surface coverage as a function of the impinging Ga flux. In particular, we show that a large range of Ga fluxes exists, where 2.5±0.2 monolayers (in terms of the GaN surface site density) of Ga are adsorbed on the GaN surface. We further demonstrate that the structure of this adsorbed Ga film is in good agreement with the laterally contracted Ga bilayer model predicted to be most stable for strongly Ga-rich surfaces [Northrup et al., Phys. Rev. B 61, 9932 (2000)]. For lower Ga fluxes, a discontinuous transition to Ga monolayer equilibrium coverage is found, followed by a continuous decrease towards zero coverage; for higher Ga fluxes, Ga droplet formation is found, similar to what has been observed during Ga-rich GaN growth. The boundary fluxes limiting the region of 2.5 monolayers equilibrium Ga adsorption have been measured as a function of the GaN substrate temperature giving rise to a Ga/GaN adsorption phase diagram. The temperature dependence is discussed within an ab initio based growth model for adsorption taking into account the nucleation of Ga clusters. This model consistently explains recent contradictory results of the activation energy describing the critical Ga flux for the onset of Ga droplet formation during Ga-rich GaN growth [Heying et al., J. Appl. Phys. 88, 1855 (2000); Adelmann et al., J. Appl. Phys. 91, 9638 (2002).

  6. Low-relaxation spin waves in laser-molecular-beam epitaxy grown nanosized yttrium iron garnet films

    Energy Technology Data Exchange (ETDEWEB)

    Lutsev, L. V., E-mail: l-lutsev@mail.ru; Korovin, A. M.; Bursian, V. E.; Gastev, S. V.; Fedorov, V. V.; Suturin, S. M.; Sokolov, N. S. [Ioffe Physical-Technical Institute, Russian Academy of Sciences, 194021 St. Petersburg (Russian Federation)

    2016-05-02

    Synthesis of nanosized yttrium iron garnet (Y{sub 3}Fe{sub 5}O{sub 12}, YIG) films followed by the study of ferromagnetic resonance (FMR) and spin wave propagation in these films is reported. The YIG films were grown on gadolinium gallium garnet substrates by laser molecular beam epitaxy. It has been shown that spin waves propagating in YIG deposited at 700 °C have low damping. At the frequency of 3.29 GHz, the spin-wave damping parameter is less than 3.6 × 10{sup −5}. Magnetic inhomogeneities of the YIG films give the main contribution to the FMR linewidth. The contribution of the relaxation processes to the FMR linewidth is as low as 1.2%.

  7. GaN membrane MSM ultraviolet photodetectors

    Science.gov (United States)

    Muller, A.; Konstantinidis, G.; Kostopoulos, A.; Dragoman, M.; Neculoiu, D.; Androulidaki, M.; Kayambaki, M.; Vasilache, D.; Buiculescu, C.; Petrini, I.

    2006-12-01

    GaN exhibits unique physical properties, which make this material very attractive for wide range of applications and among them ultraviolet detection. For the first time a MSM type UV photodetector structure was manufactured on a 2.2 μm. thick GaN membrane obtained using micromachining techniques. The low unintentionally doped GaN layer structure was grown by MOCVD on high resistivity (ρ>10kΩcm) oriented silicon wafers, 500μm thick. The epitaxially grown layers include a thin AlN layer in order to reduce the stress in the GaN layer and avoid cracking. Conventional contact lithography, e-gun Ni/Au (10nm /200nm) evaporation and lift-off techniques were used to define the interdigitated Schottky metalization on the top of the wafer. Ten digits with a width of 1μm and a length of 100μm were defined for each electrode. The distance between the digits was also 1μm. After the backside lapping of the wafer to a thickness of approximately 150μm, a 400nm thick Al layer was patterned and deposited on the backside, to be used as mask for the selective reactive ion etching of silicon. The backside mask, for the membrane formation, was patterned using double side alignment techniques and silicon was etched down to the 2.2μm thin GaN layer using SF 6 plasma. A very low dark current (30ρA at 3V) was obtained. Optical responsivity measurements were performed at 1.5V. A maximum responsivity of 18mA/W was obtained at a wavelength of 370nm. This value is very good and can be further improved using transparent contacts for the interdigitated structure.

  8. Investigation into the use of molecular hydrogen on the growth of gallium nitride via metal-organic molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Billingsley, Daniel; Pritchett, David; Henderson, Walter; Carver, Alexander G.; Burnham, Shawn D.; Doolittle, W.A. [Georgia Institute of Technology, School of Electrical and Computer Engineering, 777 Atlantic Dr., Atlanta, GA 30332 (United States)

    2008-07-01

    Molecular hydrogen (H{sub 2}) has been investigated as a means to improve ammonia nitridation efficiency and attempts to reduce carbon contamination in ammonia-based metal-organic molecular beam epitaxy (MOMBE). A 30% improvement in crystalline quality, inferred from XRD, as well as an increase in subsequent GaN bulk growth rate was observed when bare sapphire was subject to H{sub 2} annealing before nitridation. However, the use of H{sub 2} during GaN homoepitaxy on GaN templates resulted in increased carbon contamination and decreased growth rate of GaN. The results demonstrate promise and proper uses of H{sub 2} during GaN growth under certain conditions. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F. [University of Tsukuba, Institute of Applied Physics, Tsukuba, Ibaraki 305-8573 (Japan)

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerance of GaAs and that Ti can protected GaAs from erosion by NH{sub 3}. By depositing Ti on GaAs(111)A surface, a mirror-like GaN layer could be grown at 1000 C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Dislocation-free Ge Nano-crystals via Pattern Independent Selective Ge Heteroepitaxy on Si Nano-Tip Wafers.

    Science.gov (United States)

    Niu, Gang; Capellini, Giovanni; Schubert, Markus Andreas; Niermann, Tore; Zaumseil, Peter; Katzer, Jens; Krause, Hans-Michael; Skibitzki, Oliver; Lehmann, Michael; Xie, Ya-Hong; von Känel, Hans; Schroeder, Thomas

    2016-03-04

    The integration of dislocation-free Ge nano-islands was realized via selective molecular beam epitaxy on Si nano-tip patterned substrates. The Si-tip wafers feature a rectangular array of nanometer sized Si tips with (001) facet exposed among a SiO2 matrix. These wafers were fabricated by complementary metal-oxide-semiconductor (CMOS) compatible nanotechnology. Calculations based on nucleation theory predict that the selective growth occurs close to thermodynamic equilibrium, where condensation of Ge adatoms on SiO2 is disfavored due to the extremely short re-evaporation time and diffusion length. The growth selectivity is ensured by the desorption-limited growth regime leading to the observed pattern independence, i.e. the absence of loading effect commonly encountered in chemical vapor deposition. The growth condition of high temperature and low deposition rate is responsible for the observed high crystalline quality of the Ge islands which is also associated with negligible Si-Ge intermixing owing to geometric hindrance by the Si nano-tip approach. Single island as well as area-averaged characterization methods demonstrate that Ge islands are dislocation-free and heteroepitaxial strain is fully relaxed. Such well-ordered high quality Ge islands present a step towards the achievement of materials suitable for optical applications.

  11. GaN Initiative for Grid Applications (GIGA)

    Energy Technology Data Exchange (ETDEWEB)

    Turner, George [MIT Lincoln Lab., Lexington, MA (United States)

    2015-07-03

    For nearly 4 ½ years, MIT Lincoln Laboratory (MIT/LL) led a very successful, DoE-funded team effort to develop GaN-on-Si materials and devices, targeting high-voltage (>1 kV), high-power, cost-effective electronics for grid applications. This effort, called the GaN Initiative for Grid Applications (GIGA) program, was initially made up of MIT/LL, the MIT campus group of Prof. Tomas Palacios (MIT), and the industrial partner M/A Com Technology Solutions (MTS). Later in the program a 4th team member was added (IQE MA) to provide commercial-scale GaN-on-Si epitaxial materials. A basic premise of the GIGA program was that power electronics, for ubiquitous utilization -even for grid applications - should be closer in cost structure to more conventional Si-based power electronics. For a number of reasons, more established GaN-on-SiC or even SiC-based power electronics are not likely to reach theses cost structures, even in higher manufacturing volumes. An additional premise of the GIGA program was that the technical focus would be on materials and devices suitable for operating at voltages > 1 kV, even though there is also significant commercial interest in developing lower voltage (< 1 kV), cost effective GaN-on-Si devices for higher volume applications, like consumer products. Remarkable technical progress was made during the course of this program. Advances in materials included the growth of high-quality, crack-free epitaxial GaN layers on large-diameter Si substrates with thicknesses up to ~5 μm, overcoming significant challenges in lattice mismatch and thermal expansion differences between Si and GaN in the actual epitaxial growth process. Such thick epilayers are crucial for high voltage operation of lateral geometry devices such as Schottky barrier (SB) diodes and high electron mobility transistors (HEMTs). New “Normally-Off” device architectures were demonstrated – for safe operation of power electronics circuits. The trade-offs between lateral and

  12. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    Science.gov (United States)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  13. The structural and optical properties of metal ion-implanted GaN

    Energy Technology Data Exchange (ETDEWEB)

    Macková, A.; Malinský, P. [Nuclear Physics Institute of the Academy of Sciences of the Czech Republic, v.v.i., 250 68 Řež (Czech Republic); Department of Physics, Faculty of Science, J.E. Purkinje University, České Mládeže 8, 400 96 Ústí nad Labem (Czech Republic); Sofer, Z.; Šimek, P.; Sedmidubský, D. [Department of Inorganic Chemistry, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Veselý, M. [Dept. of Organic Technology, University of Chemistry and Technology Prague, Technická 5, 166 28 Prague 6 (Czech Republic); Böttger, R. [Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden – Rossendorf, 01328 Dresden (Germany)

    2016-03-15

    The practical development of novel optoelectronic materials with appropriate optical properties is strongly connected to the structural properties of the prepared doped structures. We present GaN layers oriented along the (0 0 0 1) crystallographic direction that have been grown by low-pressure metal–organic vapour-phase epitaxy (MOVPE) on sapphire substrates implanted with 200 keV Co{sup +}, Fe{sup +} and Ni{sup +} ions. The structural properties of the ion-implanted layers have been characterised by RBS-channelling and Raman spectroscopy to obtain a comprehensive insight into the structural modification of implanted GaN layers and to study the subsequent influence of annealing on crystalline-matrix recovery. Photoluminescence was measured to control the desired optical properties. The post-implantation annealing induced the structural recovery of the modified GaN layer depending on the introduced disorder level, e.g. depending on the ion implantation fluence, which was followed by structural characterisation and by the study of the surface morphology by AFM.

  14. Enhancement of optical and structural quality of semipolar (11-22) GaN by introducing nanoporous SiNx interlayers

    Science.gov (United States)

    Monavarian, Morteza; Metzner, Sebastian; Izyumskaya, Natalia; Müller, Marcus; Okur, Serdal; Zhang, Fan; Can, Nuri; Das, Saikat; Avrutin, Vitaliy; Özgür, Ümit; Bertram, Frank; Christen, Juergen; Morkoç, Hadis

    2015-03-01

    Enhancement of optical and structural quality of semipolar (11‾22) GaN grown by metal-organic chemical vapor deposition on planar m-sapphire substrates was achieved by using an in-situ epitaxial lateral overgrowth (ELO) technique with nanoporous SiNx layers employed as masks. In order to optimize the procedure, the effect of SiNx deposition time was studied by steady-state photoluminescence (PL), and X-ray diffraction. The intensity of room temperature PL for the (11‾22) GaN layers grown under optimized conditions was about three times higher compared to those for the reference samples having the same thickness but no SiNx interlayers. This finding is attributed to the blockage of extended defect propagation toward the surface by the SiNx interlayers as evidenced from the suppression of emissions associated with basal-plane and prismatic stacking faults with regard to the intensity of donor bound excitons (D0X) in lowtemperature PL spectra. In agreement with the optical data, full width at half maximum values of (11‾22) X-ray rocking curves measured for two different in-plane rotational orientations of [1‾100] and [11‾23] reduced from 0.33º and 0.26º for the reference samples to 0.2º and 0.16º for the nano-ELO structures grown under optimized conditions, respectively.

  15. Homoepitaxial growth of a-plane GaN layers by reaction between Ga2O vapor and NH3 gas

    International Nuclear Information System (INIS)

    Sumi, Tomoaki; Taniyama, Yuuki; Takatsu, Hiroaki; Juta, Masami; Kitamoto, Akira; Imade, Mamoru; Yoshimura, Masashi; Mori, Yusuke; Isemura, Masashi

    2015-01-01

    Growth of high-quality a-plane GaN layers was performed by reaction between Ga 2 O vapor and NH 3 gas at a high temperature. Smooth a-plane GaN epitaxial layers were obtained on a-plane GaN seed substrates sliced from thick c-plane GaN crystals. Growth rate increased with increasing Ga 2 O partial pressure. An a-plane GaN layer with a growth rate of 48 μm/h was obtained. The X-ray rocking curve (XRC) measurement showed that the full widths at half maximum (FWHMs) of GaN(112-bar0) with the incident beam parallel and perpendicular to the [0001] direction were 29–43 and 29–42 arcsec, respectively. Secondary ion mass spectrometry (SIMS) measurement revealed that oxygen concentration decreased at a high temperature. These results suggest that growth of a-GaN layers using Ga 2 O vapor and NH 3 gas at a high temperature enables the generation of high-quality crystals. (author)

  16. White emission from non-planar InGaN/GaN MQW LEDs grown on GaN template with truncated hexagonal pyramids.

    Science.gov (United States)

    Lee, Ming-Lun; Yeh, Yu-Hsiang; Tu, Shang-Ju; Chen, P C; Lai, Wei-Chih; Sheu, Jinn-Kong

    2015-04-06

    Non-planar InGaN/GaN multiple quantum well (MQW) structures are grown on a GaN template with truncated hexagonal pyramids (THPs) featuring c-plane and r-plane surfaces. The THP array is formed by the regrowth of the GaN layer on a selective-area Si-implanted GaN template. Transmission electron microscopy shows that the InGaN/GaN epitaxial layers regrown on the THPs exhibit different growth rates and indium compositions of the InGaN layer between the c-plane and r-plane surfaces. Consequently, InGaN/GaN MQW light-emitting diodes grown on the GaN THP array emit multiple wavelengths approaching near white light.

  17. Ellipsometric study of GaN/AIN/Si(111) heterostructures grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Nabi, M. A. U.; Ashfaq, A.; Arshad, M. I.; Ali, A.; Mahmood, K.; Hasan, M. A.; Asghar, M.

    2013-01-01

    GaN and related structures attracted a great interest in the recent years for electronic and optoelectronic applications due to their promising properties. GaN is grown popularly on foreign substrates like sapphire and SiC. However, silicon due to its favourable properties attended the great attention of material scientists and researchers to utilize as substrate for heteroepitaxy of GaN based structures and devices. Silicon substrates are low cost, available in large diameters and have well characterized thermal and electrical properties. In this study, GaN/AlN/Si(111) heterostructures were grown by molecular beam epitaxy. We performed x-ray diffraction spectroscopy and spectroscopic ellipsometry on these samples to study their structural and optical properties. XRD measurements performed on these samples revealed the presence of high quality GaN films as well as the presence of AlN buffer layer with the following miller indices: GaN (002), GaN (004), GaN (006) and GaN (110) along with Si peak of phase (111). The ellipsometric data obtained were used to characterize the GaN/Si samples as a function of film thickness. Refractive index, extinction coefficient and dielectric constant were calculated by the measured data. (author)

  18. Mechanism of nucleation and growth of catalyst-free self-organized GaN columns by MOVPE

    Science.gov (United States)

    Wang, Xue; Li, Shunfeng; Fündling, Sönke; Wehmann, Hergo-H.; Strassburg, Martin; Lugauer, Hans-Jürgen; Steegmüller, Ulrich; Waag, Andreas

    2013-05-01

    The growth mechanism of catalyst-free self-organized GaN nuclei and three-dimensional columns on sapphire by metal organic vapour phase epitaxy (MOVPE) is investigated. Temperature- and time-dependent growth is performed. The growth behaviour can be characterized by two different kinetic regimes: mass-transport-limited growth and thermodynamically limited growth. The sum of activation energies for thermodynamic barrier of nucleation and for surface diffusion/mass-transport limitation, i.e. Whet +Ed, is 0.57 eV in the ‘low’-temperature region and 2.43 eV in the ‘high’-temperature region. GaN columns grown under the same conditions have very comparable height, which is not dependent on their diameter or the distance to other columns. Therefore, the growth rate is presumably limited by the incorporation rate on the top surface of columns. The height and diameter at the top of the GaN columns increase linearly with time and no height limit is observed. The GaN columns can reach more than 40 µm in height. Moreover, the investigated GaN columns are Ga-polar.

  19. Atomic-scale structure of irradiated GaN compared to amorphised GaP and GaAs

    International Nuclear Information System (INIS)

    Ridgway, M.C.; Everett, S.E.; Glover, C.J.; Kluth, S.M.; Kluth, P.; Johannessen, B.; Hussain, Z.S.; Llewellyn, D.J.; Foran, G.J.; Azevedo, G. de M.

    2006-01-01

    We have compared the atomic-scale structure of ion irradiated GaN to that of amorphised GaP and GaAs. While continuous and homogenous amorphised layers were easily achieved in GaP and GaAs, ion irradiation of GaN yielded both structural and chemical inhomogeneities. Transmission electron microscopy revealed GaN crystallites and N 2 bubbles were interspersed within an amorphous GaN matrix. The crystallite orientation was random relative to the unirradiated epitaxial structure, suggesting their formation was irradiation-induced, while the crystallite fraction was approximately constant for all ion fluences beyond the amorphisation threshold, consistent with a balance between amorphisation and recrystallisation processes. Extended X-ray absorption fine structure measurements at the Ga K-edge showed short-range order was retained in the amorphous phase for all three binary compounds. For ion irradiated GaN, the stoichiometric imbalance due to N 2 bubble formation was not accommodated by Ga-Ga bonding in the amorphous phase or precipitation of metallic Ga but instead by a greater reduction in Ga coordination number

  20. Tailoring the strain in Si nano-structures for defect-free epitaxial Ge over growth.

    Science.gov (United States)

    Zaumseil, P; Yamamoto, Y; Schubert, M A; Capellini, G; Skibitzki, O; Zoellner, M H; Schroeder, T

    2015-09-04

    We investigate the structural properties and strain state of Ge nano-structures selectively grown on Si pillars of about 60 nm diameter with different SiGe buffer layers. A matrix of TEOS SiO2 surrounding the Si nano-pillars causes a tensile strain in the top part at the growth temperature of the buffer that reduces the misfit and supports defect-free initial growth. Elastic relaxation plays the dominant role in the further increase of the buffer thickness and subsequent Ge deposition. This method leads to Ge nanostructures on Si that are free from misfit dislocations and other structural defects, which is not the case for direct Ge deposition on these pillar structures. The Ge content of the SiGe buffer is thereby not a critical parameter; it may vary over a relatively wide range.

  1. Characterization of as-grown and heavily irradiated GaN epitaxial structures by photoconductivity and photoluminescence

    International Nuclear Information System (INIS)

    Gaubas, E.; Jurs e-dot nas, S.; Tomasiunas, R.; Vaitkus, J.; Zukauskas, A.; Blue, A.; Rahman, M.; Smith, K.M.

    2005-01-01

    The influence of radiation defects on photoconductivity transients and photoluminescence (PL) spectra have been examined in semi-insulating GaN epitaxial layers grown on bulk n-GaN/sapphire substrates. Defects induced by 10-keV X-ray irradiation with a dose of 600Mrad and 100-keV neutrons with fluences of 5x10 14 and 10 16 cm -2 have been revealed through contact photoconductivity and microwave absorption transients. The amplitude of the initial photoconductivity decay is significantly reduced by the radiation defect density. A simultaneous decrease with radiation-induced defect density is also observed in the steady-state PL intensity of yellow, blue and ultraviolet bands peaked at 2.18, 2.85, and 3.42eV, respectively. The decrease of the PL intensity is accompanied by an increase of asymptotic decay lifetime, which is due to excess carrier multi-trapping. The decay can be described by the stretched exponential approximation exp[-(t/τ) α ] with different values of α in as-grown material (α∼0.7) and irradiated samples (α∼0.3). The value of the fracton dimension d s of the disordered structure, evaluated as d s =2α/(1-α), changes from 4.7 to 0.86 for as-grown and irradiated material, respectively, implying percolative carrier motion on an infinite cluster of dislocations net in the as-grown material and cluster fragmentation into finite fractons after irradiation

  2. Scanning tunneling microscopy and spectroscopy on GaN and InGaN surfaces; Rastertunnelmikroskopie und -spektroskopie an GaN- und InGaN-Oberflaechen

    Energy Technology Data Exchange (ETDEWEB)

    Krueger, David

    2009-12-02

    Optelectronic devices based on gallium nitride (GaN) and indium gallium nitride (InGaN) are in the focus of research since more than 20 years and still have great potential for optical applications. In the first part of this work non-polar surfaces of GaN are investigated by scanning electron microscopy (SEM), atomic force microscopy (AFM) and scanning tunneling microscopy (STM). In SEM and AFM, the (1 anti 100)- and especially the (anti 2110)-plane are quite corrugated. For the first time, the (anti 2110)-plane of GaN is atomically resolved in STM. In the second part InGaN quantum dot layers are investigated by X-ray photoelectron spectroscopy (XPS), scanning tunneling spectroscopy (STS) and STM. The STMmeasurements show the dependency of surface morphology on growth conditions in the metalorganic vapour phase epitaxy (MOVPE). Nucleation, a new MOVPE-strategy, is based on phase separations on surfaces. It is shown that locally varying density of states and bandgaps can be detected by STS, that means bandgap histograms and 2D-bandgap-mapping. (orig.)

  3. The impact of structural relaxation on spin polarization and magnetization reversal of individual nano structures studied by spin-polarized scanning tunneling microscopy.

    Science.gov (United States)

    Sander, Dirk; Phark, Soo-Hyon; Corbetta, Marco; Fischer, Jeison A; Oka, Hirofumi; Kirschner, Jürgen

    2014-10-01

    The application of low temperature spin-polarized scanning tunneling microscopy and spectroscopy in magnetic fields for the quantitative characterization of spin polarization, magnetization reversal and magnetic anisotropy of individual nano structures is reviewed. We find that structural relaxation, spin polarization and magnetic anisotropy vary on the nm scale near the border of a bilayer Co island on Cu(1 1 1). This relaxation is lifted by perimetric decoration with Fe. We discuss the role of spatial variations of the spin-dependent electronic properties within and at the edge of a single nano structure for its magnetic properties.

  4. Optical excitation and external photoluminescence quantum efficiency of Eu3+ in GaN

    NARCIS (Netherlands)

    de Boer, W.D.A.M.; McGonigle, C.; Gregorkiewicz, T.; Fujiwara, Y.; Stallinga, P.

    2014-01-01

    We investigate photoluminescence of Eu-related emission in a GaN host consisting of thin layers grown by organometallic vapor-phase epitaxy. By comparing it with a reference sample of Eu-doped Y2O3, we find that the fraction of Eu3+ ions that can emit light upon optical excitation is of the order of

  5. Effect of H, O intentionally doping on photoelectric properties in MOVPE-growth GaN layers

    KAUST Repository

    Ohkawa, Kazuhiro

    2017-10-24

    GaN crystal growth requires higher purity of materials. Some contaminants in NH3 gas could be the causal factor of defects in GaN crystals. These atoms act as donor or acceptor. In order to clearly demonstrate the effect of gaseous impurities such as H2O on the properties of undoped-GaN layer, high purity NH3 (N70) was used as NH3 source. The concentration of H2O in NH3 was varied at 32, 49, 75, 142, 266, 489, and 899 ppb, respectively. Under the same recipe, we deposited undoped-GaN epitaxial layer with purifier, and H2O-doped GaN series layers. As similar to the results of CO and CO2-doped GaN series, the increase tendency of carrier density changing with increasing H2O concentration. The FWHMs of XRC around (0002) remain stable, witnessing that the crystal quality of GaN layer remain good. LT (15K) PL of undoped-GaN and H2O-doped GaN were measured, the D0X emission peak intensity of all H2O-doped GaN are decreased drastically compared with undoped-GaN. H2O impurity was doped into GaN layer, which not only effects electrical properties and but also effects the radiative emission and furthermore effects PL intensity, its mechanism is discussed.

  6. Growth of high mobility GaN and AlGaN/GaN high electron mobility transistor structures on 4H-SiC by ammonia molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Webb, James B.; Tang, H.; Bardwell, J. A.; Coleridge, P.

    2001-01-01

    Ammonia molecular-beam epitaxy has been used to grow high-quality epilayers of GaN and AlGaN/GaN heterostructure field-effect transistor (HFET) structures on insulating 4H-SiC. The growth process, which used a magnetron sputter epitaxy deposited buffer layer of AlN, has been described previously. Ex situ pretreatment of the SiC substrate was found to be unnecessary. For a single 2.0 μm thick silicon doped epilayer, a room temperature (RT) electron mobility of 500 cm2/Vs was measured at a carrier density of 6.6x10 16 cm -3 . For the HFET structure, a room temperature mobility of 1300 cm2/Vs at a sheet carrier density of 3.3x10 12 cm -2 was observed, increasing to 11000 cm2/Vs at 77 K. The surface morphology of the layers indicated a coalesced mesa structure similar to what we observed for growth on sapphire, but with a lower overall defect density and correspondingly larger grain size. The observation of well-resolved Shubnikov de Haas oscillations at fields as low as 3 T indicated a relatively smooth interface. [copyright] 2001 American Institute of Physics

  7. Surface States Effect on the Large Photoluminescence Redshift in GaN Nanostructures

    KAUST Repository

    Ben Slimane, Ahmed

    2013-01-01

    We report on the large photoluminescence redshift observed in nanostructures fabricated using n-type GaN by ultraviolet (UV) metal-assisted electroless chemical-etching method. The scanning electron microscopy (SEM) characterization showed nanostructures with size dispersion ranging from 10 to 100 nm. We observed the crystalline structure using high resolution transmission electron microscopy (HRTEM) and electron energy loss (EELS) techniques. In contrast to 362 nm UV emission from the GaN epitaxy, the nanostructures emitted violet visible-light in photoluminescence (PL) characterization with increasing optical excitation. An energy band model was presented to shed light on the large PL redshift under the influence of surface states, which resulted in two competing photoluminescence mechanisms depending on excitation conditions.

  8. Ultrathin silicon oxynitride layer on GaN for dangling-bond-free GaN/insulator interface.

    Science.gov (United States)

    Nishio, Kengo; Yayama, Tomoe; Miyazaki, Takehide; Taoka, Noriyuki; Shimizu, Mitsuaki

    2018-01-23

    Despite the scientific and technological importance of removing interface dangling bonds, even an ideal model of a dangling-bond-free interface between GaN and an insulator has not been known. The formation of an atomically thin ordered buffer layer between crystalline GaN and amorphous SiO 2 would be a key to synthesize a dangling-bond-free GaN/SiO 2 interface. Here, we predict that a silicon oxynitride (Si 4 O 5 N 3 ) layer can epitaxially grow on a GaN(0001) surface without creating dangling bonds at the interface. Our ab initio calculations show that the GaN/Si 4 O 5 N 3 structure is more stable than silicon-oxide-terminated GaN(0001) surfaces. The electronic properties of the GaN/Si 4 O 5 N 3 structure can be tuned by modifying the chemical components near the interface. We also propose a possible approach to experimentally synthesize the GaN/Si 4 O 5 N 3 structure.

  9. Incorporation of Mg in Free-Standing HVPE GaN Substrates

    Science.gov (United States)

    Zvanut, M. E.; Dashdorj, J.; Freitas, J. A.; Glaser, E. R.; Willoughby, W. R.; Leach, J. H.; Udwary, K.

    2016-06-01

    Mg, the only effective p-type dopant for nitrides, is well studied in thin films due to the important role of the impurity in light-emitting diodes and high-power electronics. However, there are few reports of Mg in thick free-standing GaN substrates. Here, we demonstrate successful incorporation of Mg into GaN grown by hydride vapor-phase epitaxy (HVPE) using metallic Mg as the doping source. The concentration of Mg obtained from four separate growth runs ranged between 1016 cm-3 and 1019 cm-3. Raman spectroscopy and x-ray diffraction revealed that Mg did not induce stress or perturb the crystalline quality of the HVPE GaN substrates. Photoluminescence (PL) and electron paramagnetic resonance (EPR) spectroscopies were performed to investigate the types of point defects in the crystals. The near-band-edge excitonic and shallow donor-shallow acceptor radiative recombination processes involving shallow Mg acceptors were prominent in the PL spectrum of a sample doped to 3 × 1018 cm-3, while the EPR signal was also thought to represent a shallow Mg acceptor. Detection of this signal reflects minimization of nonuniform strain obtained in the thick free-standing HVPE GaN compared with heteroepitaxial thin films.

  10. High temperature dielectric function of silicon, germanium and GaN

    Energy Technology Data Exchange (ETDEWEB)

    Leyer, Martin; Pristovsek, Markus; Kneissl, Michael [Technische Universitaet Berlin (Germany). Institut fuer Festkoerperphysik

    2010-07-01

    In the last few years accurate values for the optical properties of silicon, germanium and GaN at high temperatures have become important as a reference for in-situ analysis, e.g. reflectometry. Precise temperature dependent dielectric measurements are necessary for the growth of GaInP/GaInAs/Ge triple-junction solar cells and the hetero epitaxy of GaN on silicon and sapphire. We performed spectroscopic ellipsometry (SE) measurements of the dielectric function of silicon, germanium and GaN between 1.5 eV and 6.5 eV in the temperature range from 300 K to 1300 K. The Samples were deoxidized chemically or by heating. High resolution SE spectra were taken every 50 K while cooling down to room temperature. The temperature dependence of the critical energies is compared to literature. Measurements for germanium showed a shift of the E{sub 2} critical point of {proportional_to}0.1 eV toward lower energies. The reason for this behavior is a non-negligible oxide layer on the samples in the literature.

  11. Dielectric relaxation in epitaxial films of paraelectric-magnetic SrTiO.sub.3./sub.-SrMnO.sub.3./sub. solid solution

    Czech Academy of Sciences Publication Activity Database

    Savinov, Maxim; Bovtun, Viktor; Tereshina-Chitrova, Evgenia; Stupakov, Alexandr; Dejneka, Alexandr; Tyunina, Marina

    2018-01-01

    Roč. 112, č. 5 (2018), s. 1-4, č. článku 052901. ISSN 0003-6951 R&D Projects: GA ČR GA15-15123S Institutional support: RVO:68378271 Keywords : dielectric relaxation * epitaxial films * paraelectric-magnetic * SrTiO 3 -SrMnO 3 solid solution Subject RIV: BM - Solid Matter Physics ; Magnetism OBOR OECD: Condensed matter physics (including formerly solid state physics , supercond.) Impact factor: 3.411, year: 2016

  12. Ab initio-based approach to reconstruction, adsorption and incorporation on GaN surfaces

    International Nuclear Information System (INIS)

    Ito, T; Akiyama, T; Nakamura, K

    2012-01-01

    Reconstruction, adsorption and incorporation on various GaN surfaces are systematically investigated using an ab initio-based approach that predicts the surface phase diagram as functions of temperature and beam-equivalent pressure (BEP). The calculated results for GaN surface reconstructions with polar (0 0 0 1), nonpolar (1 1 −2 0), semipolar (1 −1 0 1) and semipolar (1 1 −2 2) orientations imply that reconstructions on GaN surfaces with Ga adlayers generally appear on the polar and the semipolar surfaces, while the stable ideal surface without Ga adsorption is found on the nonpolar GaN(1 1 −2 0) surface because it satisfies the electron counting rule. The hydrogen adsorption on GaN(0 0 0 1) and GaN(1 1 −2 0) realizes several surface structures forming N–H and Ga–NH 2 bonds on their surfaces that depend on temperature and Ga BEP during metal-organic vapor-phase epitaxy (MOVPE). In contrast, the stable structures due to hydrogen adsorption on the semipolar GaN(1 −1 0 1) and GaN(1 1 −2 2) surfaces are not varied over the wide range of temperature and Ga BEP. This implies that the hydrogen adsorbed stable structures are expected to emerge on the semipolar surfaces during MOVPE regardless of the growth conditions. Furthermore, we clarify that Mg incorporation on GaN(1 −1 0 1) surfaces is enhanced by hydrogen adsorption consistent with experimental findings

  13. Single phase semipolar (11 anti 22) GaN on (10 anti 10) sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Ploch, S.; Stellmach, J.; Schwaner, T.; Frentrup, M.; Wernicke, T.; Pristovsek, M.; Kneissl, M. [Institute of Solid States Physics, (Germany); Park, J.B.; Niermann, T.; Lehmann, M. [Institute of Optics and Atomic Physics, TU Berlin, Hardenbergstr. 36, 10623 Berlin (Germany)

    2011-07-01

    InGaN quantum well based light emitters grown on (0001) GaN suffer from poor quantum efficiencies with increasing indium mole fraction due to strong polarization fields along the polar crystal orientation. This effect can be greatly reduced by growing on semi- and non-polar GaN orientations. Semipolar (11 anti 22) GaN layers were deposited by metalorganic vapour phase epitaxy on (10 anti 10) sapphire. After sapphire substrate nitridation at 1000 C, a GaN nucleation layer was deposited at high temperature, followed by the deposition of 1.5 nm thick GaN buffer layers. The samples show predominantly (11 anti 22) orientation with a small fraction of (10 anti 13) oriented domains. With increasing nitridation layer thickness the (10 anti 13) phase is suppressed leading to a very smooth surface morphology (rms roughness < 4nm). PL measurements show dominant basel plane stacking fault (BSF) I{sub 1} luminescence without any other defects. Transmission electron microscopy measurements reveal a high BSF density. The FWHM of the X-ray diffraction rocking curve measurements of the (1122) reflection decreases to 1193 arcsec and 739 arcsec along [1 anti 100] and [11 anti 23] respectively with increasing nucleation temperature. Using high temperature nucleation smooth and homogeneous (11 anti 22) phase GaN layers have been obtained.

  14. The role of inversion domain boundaries in fabricating crack-free GaN films on sapphire substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Ahn, Yong Nam; Lee, Sung Hoon; Lim, Sung Keun; Woo, Kwang Je; Kim, Hyunbin

    2015-01-01

    Highlights: • Atomistic simulations of inversion domain boundary (IDB) in GaN were performed. • The existence of IDBs in GaN films leads to the reduction of the film stiffness. • A sudden reduction of IDB density induces a strong tensile stress within the films. • The density of IDB in GaN film can be controlled by adjusting GaCl/NH 3 flow ratio. • A microstructure of GaN buffer layer for minimization of stress was proposed. - Abstract: Inversion domain boundaries (IDBs) are frequently found in GaN films grown on sapphire substrates. However, the lack of atomic-level understandings about the effects of the IDBs on the properties of GaN films has hindered to utilize the IDBs for the stress release that minimizes the crack-formation in GaN films. This study performed atomistic computational analyses to fundamentally understand the roles of the IDBs in the development of the stresses in the GaN films. A sudden reduction of the IDB density induces a strong intrinsic stress in the GaN films, possibly leading to the mud-cracking of the films. A gradual decrease in the IDB density was achieved by slowly reducing the GaCl flux during the growth process of GaN buffer layer on sapphire substrates, and allowed us to experimentally demonstrate the successful fabrication of 4-in. crack-free GaN films. This approach may contribute to the fabrication of larger crack-free GaN films

  15. The role of inversion domain boundaries in fabricating crack-free GaN films on sapphire substrates by hydride vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Ahn, Yong Nam, E-mail: ynahn81@gmail.com; Lee, Sung Hoon, E-mail: sunghoon.lee@corning.com; Lim, Sung Keun, E-mail: sk96.lim@samsung.com; Woo, Kwang Je, E-mail: kwangje.woo@corning.com; Kim, Hyunbin, E-mail: hyunbin.kim@corning.com

    2015-03-15

    Highlights: • Atomistic simulations of inversion domain boundary (IDB) in GaN were performed. • The existence of IDBs in GaN films leads to the reduction of the film stiffness. • A sudden reduction of IDB density induces a strong tensile stress within the films. • The density of IDB in GaN film can be controlled by adjusting GaCl/NH{sub 3} flow ratio. • A microstructure of GaN buffer layer for minimization of stress was proposed. - Abstract: Inversion domain boundaries (IDBs) are frequently found in GaN films grown on sapphire substrates. However, the lack of atomic-level understandings about the effects of the IDBs on the properties of GaN films has hindered to utilize the IDBs for the stress release that minimizes the crack-formation in GaN films. This study performed atomistic computational analyses to fundamentally understand the roles of the IDBs in the development of the stresses in the GaN films. A sudden reduction of the IDB density induces a strong intrinsic stress in the GaN films, possibly leading to the mud-cracking of the films. A gradual decrease in the IDB density was achieved by slowly reducing the GaCl flux during the growth process of GaN buffer layer on sapphire substrates, and allowed us to experimentally demonstrate the successful fabrication of 4-in. crack-free GaN films. This approach may contribute to the fabrication of larger crack-free GaN films.

  16. Optically active centers in Eu implanted, Eu in situ doped GaN, and Eu doped GaN quantum dots

    International Nuclear Information System (INIS)

    Bodiou, L.; Braud, A.; Doualan, J.-L.; Moncorge, R.; Park, J. H.; Munasinghe, C.; Steckl, A. J.; Lorenz, K.; Alves, E.; Daudin, B.

    2009-01-01

    A comparison is presented between Eu implanted and Eu in situ doped GaN thin films showing that two predominant Eu sites are optically active around 620 nm in both types of samples with below and above bandgap excitation. One of these sites, identified as a Ga substitutional site, is common to both types of Eu doped GaN samples despite the difference in the GaN film growth method and in the doping technique. High-resolution photoluminescence (PL) spectra under resonant excitation reveal that in all samples these two host-sensitized sites are in small amount compared to the majority of Eu ions which occupy isolated Ga substitutional sites and thus cannot be excited through the GaN host. The relative concentrations of the two predominant host-sensitized Eu sites are strongly affected by the annealing temperature for Eu implanted samples and by the group III element time opening in the molecular beam epitaxy growth. Red luminescence decay characteristics for the two Eu sites reveal different excitation paths. PL dynamics under above bandgap excitation indicate that Eu ions occupying a Ga substitutional site are either excited directly into the 5 D 0 level or into higher excited levels such as 5 D 1 , while Eu ions sitting in the other site are only directly excited into the 5 D 0 level. These differences are discussed in terms of the spectral overlap between the emission band of a nearby bound exciton and the absorption bands of Eu ions. The study of Eu doped GaN quantum dots reveals the existence of only one type of Eu site under above bandgap excitation, with Eu PL dynamics features similar to Eu ions in Ga substitutional sites

  17. GaN nano-membrane for optoelectronic and electronic device applications

    KAUST Repository

    Ooi, Boon S.

    2014-01-01

    The ~25nm thick threading dislocation free GaN nanomembrane was prepared using ultraviolet electroless chemical etching method offering the possibility of flexible integration of (Al,In,Ga)N optoelectronic and electronic devices.

  18. Individual GaN nanowires exhibit strong piezoelectricity in 3D.

    Science.gov (United States)

    Minary-Jolandan, Majid; Bernal, Rodrigo A; Kuljanishvili, Irma; Parpoil, Victor; Espinosa, Horacio D

    2012-02-08

    Semiconductor GaN NWs are promising components in next generation nano- and optoelectronic systems. In addition to their direct band gap, they exhibit piezoelectricity, which renders them particularly attractive in energy harvesting applications for self-powered devices. Nanowires are often considered as one-dimensional nanostructures; however, the electromechanical coupling leads to a third rank tensor that for wurtzite crystals (GaN NWs) possesses three independent coefficients, d(33), d(13), and d(15). Therefore, the full piezoelectric characterization of individual GaN NWs requires application of electric fields in different directions and measurements of associated displacements on the order of several picometers. In this Letter, we present an experimental approach based on scanning probe microscopy to directly quantify the three-dimensional piezoelectric response of individual GaN NWs. Experimental results reveal that GaN NWs exhibit strong piezoelectricity in three dimensions, with up to six times the effect in bulk. Based on finite element modeling, this finding has major implication on the design of energy harvesting systems exhibiting unprecedented levels of power density production. The presented method is applicable to other piezoelectric NW materials as well as wires manufactured along different crystallographic orientations. © 2011 American Chemical Society

  19. Systematic study on dynamic atomic layer epitaxy of InN on/in +c-GaN matrix and fabrication of fine-structure InN/GaN quantum wells: Role of high growth temperature

    Science.gov (United States)

    Yoshikawa, Akihiko; Kusakabe, Kazuhide; Hashimoto, Naoki; Hwang, Eun-Sook; Imai, Daichi; Itoi, Takaomi

    2016-12-01

    The growth kinetics and properties of nominally 1-ML (monolayer)-thick InN wells on/in +c-GaN matrix fabricated using dynamic atomic layer epitaxy (D-ALEp) by plasma-assisted molecular beam epitaxy were systematically studied, with particular attention given to the effects of growth temperature. Attention was also given to how and where the ˜1-ML-thick InN layers were frozen or embedded on/in the +c-GaN matrix. The D-ALEp of InN on GaN was a two-stage process; in the 1st stage, an "In+N" bilayer/monolayer was formed on the GaN surface, while in the 2nd, this was capped by a GaN barrier layer. Each process was monitored in-situ using spectroscopic ellipsometry. The target growth temperature was above 620 °C and much higher than the upper critical epitaxy temperature of InN (˜500 °C). The "In+N" bilayer/monolayer tended to be an incommensurate phase, and the growth of InN layers was possible only when they were capped with a GaN layer. The InN layers could be coherently inserted into the GaN matrix under self-organizing and self-limiting epitaxy modes. The growth temperature was the most dominant growth parameter on both the growth process and the structure of the InN layers. Reflecting the inherent growth behavior of D-ALEp grown InN on/in +c-GaN at high growth temperature, the embedded InN layers in the GaN matrix were basically not full-ML in coverage, and the thickness of sheet-island-like InN layers was essentially either 1-ML or 2-ML. It was found that these InN layers tended to be frozen at the step edges on the GaN and around screw-type threading dislocations. The InN wells formed type-I band line-up heterostructures with GaN barriers, with exciton localization energies of about 300 and 500 meV at 15 K for the 1-ML and 2-ML InN wells, respectively.

  20. Lattice distortion and strain relaxation in epitaxial thin films of multiferroic TbMnO3 probed by X-ray diffractometry and micro-Raman spectroscopy

    Science.gov (United States)

    Hu, Y.; Stender, D.; Medarde, M.; Lippert, T.; Wokaun, A.; Schneider, C. W.

    2013-08-01

    A detailed structural XRD analysis of (1 1 0)-oriented TbMnO3 thin films grown on (1 1 0)-YAlO3 substrates shows the co-existence of a strained and relaxed "sublayer" within the films due to strain relaxation during epitaxial growth by pulsed laser deposition. The substrate-film lattice mismatch yields a compressive strain anisotropy along the two in-plane directions, i.e. [1 -1 0] and [0 0 1] and a monoclinic distortion. A further manifestation of the growth-induced strain is the hardening of Raman active modes as a result of changed atomic motions along the [1 -1 0] and [0 0 1] directions.

  1. Self-organization of dislocation-free, high-density, vertically aligned GaN nanocolumns involving InGaN quantum wells on graphene/SiO2 covered with a thin AlN buffer layer

    International Nuclear Information System (INIS)

    Hayashi, Hiroaki; Konno, Yuta; Kishino, Katsumi

    2016-01-01

    We demonstrated the self-organization of high-density GaN nanocolumns on multilayer graphene (MLG)/SiO 2 covered with a thin AlN buffer layer by RF-plasma-assisted molecular beam epitaxy. MLG/SiO 2 substrates were prepared by the transfer of CVD graphene onto thermally oxidized SiO 2 /Si [100] substrates. Employing the MLG with an AlN buffer layer enabled the self-organization of high-density and vertically aligned nanocolumns. Transmission electron microscopy observation revealed that no threading dislocations, stacking faults, or twinning defects were included in the self-organized nanocolumns. The photoluminescence (PL) peak intensities of the self-organized GaN nanocolumns were 2.0–2.6 times higher than those of a GaN substrate grown by hydride vapor phase epitaxy. Moreover, no yellow luminescence or ZB-phase GaN emission was observed from the nanocolumns. An InGaN/GaN MQW and p-type GaN were integrated into GaN nanocolumns grown on MLG, displaying a single-peak PL emission at a wavelength of 533 nm. Thus, high-density nitride p–i–n nanocolumns were fabricated on SiO 2 /Si using the transferred MLG interlayer, indicating the possibility of developing visible nanocolumn LEDs on graphene/SiO 2 . (paper)

  2. Synthesis, optical properties and residual strain effect of GaN nanowires generated via metal-assisted photochemical electroless etching

    KAUST Repository

    Najar, Adel

    2017-04-18

    Herein, we report on the studies of GaN nanowires (GaN NWs) prepared via a metal-assisted photochemical electroless etching method with Pt as the catalyst. It has been found that etching time greatly influences the growth of GaN NWs. The density and the length of nanowires increased with longer etching time, and excellent substrate coverage was observed. The average nanowire width and length are around 35 nm and 10 μm, respectively. Transmission electron microscopy (TEM) shows a single-crystalline wurtzite structure and is confirmed by X-ray measurements. The synthesis mechanism of GaN NWs using the metal-assisted photochemical electroless etching method was presented. Photoluminescence (PL) measurements of GaN NWs show red-shift PL peaks compared to the as-grown sample associated with the relaxation of compressive stress. Furthermore, a shift of the E2 peak to the lower frequency in the Raman spectra for the samples etched for a longer time confirms such a stress relaxation. Based on Raman measurements, the compressive stress σxx and the residual strain εxx were evaluated to be 0.23 GPa and 2.6 × 10−4, respectively. GaN NW synthesis using a low cost method might be used for the fabrication of power optoelectronic devices and gas sensors.

  3. Evidence for moving of threading dislocations during the VPE growth in GaN thin layers

    Energy Technology Data Exchange (ETDEWEB)

    Kuwano, Noriyuki [Art, Science and Technology Center for Cooperative Research, Kyushu University, Kasuga, Fukuoka 816-8580 (Japan); Department of Applied Science for Electronics and Materials, Kyushu University, Kasuga, Fukuoka 816-8580 (Japan); Miyake, Hideto; Hiramatsu, Kazumasa [Department of Electrical and Electronic Engineering, Mie University, Tsu, Mie 514-8507 (Japan); Amano, Hiroshi [Graduate School of Engineering, Akasaki Research Center, Nagoya University, Furo-cho, Chikusa, Nagoya 464-8603 (Japan); Akasaki, Isamu [Faculty of Science and Technology, Meijo University, 1-501 Shiogamaguchi, Tempaku, Nagoya 468-8502 (Japan)

    2011-05-15

    Cross-sectional transmission electron microscope (TEM) observation was performed in detail to analyze the morphology of threading dislocations (TDs) in GaN thin layers with various thicknesses. The GaN layers were overgrown on an Al{sub 0.28}Ga{sub 0.72}N layer by the metal-organic vapor-phase epitaxy (MOVPE) method. In a GaN layer about 50 nm in thickness, TDs running up in the AlGaN layer pass into the GaN layer and most of them reach the top surface without bending. In thicker GaN layers, on the other hand, many of TDs form a hairpin-configuration on or above the interface of GaN and AlGaN to be annihilated. This difference in morphology of TDs indicates that the TDs have moved down inside the GaN layer. Since the formation of hairpins is attributed to a stress-relief, there should be an extra half-plane between the paired TDs. Therefore, the movement of TDs should be of ''climb motion''. Another example of possible TD movement inside a GaN layer is also described. It is emphasized that the possibility of TD-movements inside the thin film crystal during the growth should be taken into account in analysis of thin-layer growth through the behavior of TDs (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Effect of lithographically-induced strain relaxation on the magnetic domain configuration in microfabricated epitaxially grown Fe81Ga19

    Science.gov (United States)

    Beardsley, R. P.; Parkes, D. E.; Zemen, J.; Bowe, S.; Edmonds, K. W.; Reardon, C.; Maccherozzi, F.; Isakov, I.; Warburton, P. A.; Campion, R. P.; Gallagher, B. L.; Cavill, S. A.; Rushforth, A. W.

    2017-02-01

    We investigate the role of lithographically-induced strain relaxation in a micron-scaled device fabricated from epitaxial thin films of the magnetostrictive alloy Fe81Ga19. The strain relaxation due to lithographic patterning induces a magnetic anisotropy that competes with the magnetocrystalline and shape induced anisotropies to play a crucial role in stabilising a flux-closing domain pattern. We use magnetic imaging, micromagnetic calculations and linear elastic modelling to investigate a region close to the edges of an etched structure. This highly-strained edge region has a significant influence on the magnetic domain configuration due to an induced magnetic anisotropy resulting from the inverse magnetostriction effect. We investigate the competition between the strain-induced and shape-induced anisotropy energies, and the resultant stable domain configurations, as the width of the bar is reduced to the nanoscale range. Understanding this behaviour will be important when designing hybrid magneto-electric spintronic devices based on highly magnetostrictive materials.

  5. X-ray reciprocal space mapping of dislocation-mediated strain relaxation during InGaAs/GaAs(001) epitaxial growth

    International Nuclear Information System (INIS)

    Sasaki, Takuo; Ohshita, Yoshio; Kamiya, Itaru; Yamaguchi, Masafumi; Suzuki, Hidetoshi; Takahasi, Masamitu

    2011-01-01

    Dislocation-mediated strain relaxation during lattice-mismatched InGaAs/GaAs(001) heteroepitaxy was studied through in situ x-ray reciprocal space mapping (in situ RSM). At the synchrotron radiation facility SPring-8, a hybrid system of molecular beam epitaxy and x-ray diffractometry with a two-dimensional detector enabled us to perform in situ RSM at high-speed and high-resolution. Using this experimental setup, four results in terms of film properties were simultaneously extracted as functions of film thickness. These were the lattice constants, the diffraction broadenings along in-plane and out-of-plane directions, and the diffuse scattering. Based on correlations among these results, the strain relaxation processes were classified into four thickness ranges with different dislocation behavior. In addition, the existence of transition regimes between the thickness ranges was identified. Finally, the dominant dislocation behavior corresponding to each of the four thickness ranges and transition regimes was noted.

  6. Luminescence properties of Si-capped β-FeSi{sub 2} nanodots epitaxially grown on Si(001) and (111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Amari, Shogo; Ichikawa, Masakazu [Department of Applied Physics, Graduate School of Engineering, The University of Tokyo, Bunkyo-ku, Tokyo 113-8656 (Japan); Nakamura, Yoshiaki, E-mail: nakamura@ee.es.osaka-u.ac.jp [Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama-cho, Toyonaka, Osaka 560-8531 (Japan); PRESTO, JST, 4-1-8 Honcho Kawaguchi, Saitama 332-0012 (Japan)

    2014-02-28

    We studied the luminescence properties of Si-capped β-FeSi{sub 2} nanodots (NDs) epitaxially grown on Si substrates by using photoluminescence (PL) and electroluminescence (EL) spectroscopies. Codepositing Fe and Si on ultrathin SiO{sub 2} films induced the self-assembly of epitaxial β-FeSi{sub 2} NDs. The PL spectra of the Si/β-FeSi{sub 2} NDs/Si structure depended on the crystal orientation of the Si substrate. These structures exhibited a broad PL peak near 0.8 eV on both Si(001) and (111) substrates. The PL intensity depended on the shape of the β-FeSi{sub 2} NDs. For the flat NDs, which exhibited higher PL intensity, we also recorded EL spectra. We explained the luminescence properties of these structures by the presence of nanostructured Si offering radiative electronic states in the Si cap layers, generated by nano-stressors for upper Si layer: the strain-relaxed β-FeSi{sub 2} NDs.

  7. Large-area, laterally-grown epitaxial semiconductor layers

    Science.gov (United States)

    Han, Jung; Song, Jie; Chen, Danti

    2017-07-18

    Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.

  8. Polarization of stacking fault related luminescence in GaN nanorods

    Directory of Open Access Journals (Sweden)

    G. Pozina

    2017-01-01

    Full Text Available Linear polarization properties of light emission are presented for GaN nanorods (NRs grown along [0001] direction on Si(111 substrates by direct-current magnetron sputter epitaxy. The near band gap photoluminescence (PL measured at low temperature for a single NR demonstrated an excitonic line at ∼3.48 eV and the stacking faults (SFs related transition at ∼3.43 eV. The SF related emission is linear polarized in direction perpendicular to the NR growth axis in contrast to a non-polarized excitonic PL. The results are explained in the frame of the model describing basal plane SFs as polymorphic heterostructure of type II, where anisotropy of chemical bonds at the interfaces between zinc blende and wurtzite GaN subjected to in-built electric field is responsible for linear polarization parallel to the interface planes.

  9. Fabrication of high quality GaN nanopillar arrays by dry and wet chemical etching

    OpenAIRE

    Paramanik, Dipak; Motayed, Abhishek; King, Matthew; Ha, Jong-Yoon; Kryluk, Sergi; Davydov, Albert V.; Talin, Alec

    2013-01-01

    We study strain relaxation and surface damage of GaN nanopillar arrays fabricated using inductively coupled plasma (ICP) etching and post etch wet chemical treatment. We controlled the shape and surface damage of such nanopillar structures through selection of etching parameters. We compared different substrate temperatures and different chlorine-based etch chemistries to fabricate high quality GaN nanopillars. Room temperature photoluminescence and Raman scattering measurements were carried ...

  10. Radiation sensors based on GaN microwires

    Science.gov (United States)

    Verheij, D.; Peres, M.; Cardoso, S.; Alves, L. C.; Alves, E.; Durand, C.; Eymery, J.; Lorenz, K.

    2018-05-01

    GaN microwires were shown to possess promising characteristics as building blocks for radiation resistant particle detectors. They were grown by metal organic vapour phase epitaxy with diameters between 1 and 2 μm and lengths around 20 μm. Devices were fabricated by depositing gold contacts at the extremities of the wires using photolithography. The response of these single wire radiation sensors was then studied under irradiation with 2 MeV protons. Severe degradation of the majority of devices only sets in for fluences above protons cm‑2 revealing good radiation resistance. During proton irradiation, a clear albeit small current gain was observed with a corresponding decay time below 1 s. Photoconductivity measurements upon irradiation with UV light were carried out before and after the proton irradiation. Despite a relatively low gain, attributed to significant dark currents caused by a high dopant concentration, fast response times of a few seconds were achieved comparable to state-of-the-art GaN nanowire photodetectors. Irradiation and subsequent annealing resulted in an overall improvement of the devices regarding their response to UV radiation. The photocurrent gain increased compared to the values that were obtained prior to the irradiation, without compromising the decay times. The results indicate the possibility of using GaN microwires not only as UV detectors, but also as particle detectors.

  11. Selective heteroepitaxy on deeply grooved substrate: A route to low cost semipolar GaN platforms of bulk quality

    Energy Technology Data Exchange (ETDEWEB)

    Tendille, Florian, E-mail: florian.tendille@crhea.cnrs.fr; Vennéguès, Philippe; De Mierry, Philippe [CRHEA - CNRS (Centre de Recherche sur l' Hétéro-Epitaxie et ses Applications), Rue Bernard Gregory, Parc de Sophia Antipolis, 06560 Valbonne (France); Martin, Denis; Grandjean, Nicolas [Institute of Physics, EPFL, CH-1015 Lausanne (Switzerland)

    2016-08-22

    Semipolar GaN crystal stripes larger than 100 μm with dislocation densities below 5 × 10{sup 6} cm{sup −2} are achieved using a low cost fabrication process. An original sapphire patterning procedure is proposed, enabling selective growth of semipolar oriented GaN stripes while confining the defects to specific areas. Radiative and non-radiative crystalline defects are investigated by cathodoluminescence and can be correlated to the development of crystal microstructure during the growth process. A dislocation reduction mechanism, supported by transmission electron microscopy, is proposed. This method represents a step forward toward low-cost quasi-bulk semipolar GaN epitaxial platforms with an excellent structural quality which will allow for even more efficient III-nitride based devices.

  12. Emission dynamics of hybrid plasmonic gold/organic GaN nanorods

    Science.gov (United States)

    Mohammadi, F.; Schmitzer, H.; Kunert, G.; Hommel, D.; Ge, J.; Duscher, G.; Langbein, W.; Wagner, H. P.

    2017-12-01

    We studied the emission of bare and aluminum quinoline (Alq3)/gold coated wurtzite GaN nanorods by temperature- and intensity-dependent time-integrated and time-resolved photoluminescence (PL). The GaN nanorods of ˜1.5 μm length and ˜250 nm diameter were grown by plasma-assisted molecular beam epitaxy. Gold/Alq3 coated GaN nanorods were synthesized by organic molecular beam deposition. The near band-edge and donor-acceptor pair luminescence was investigated in bare GaN nanorods and compared with multilevel model calculations providing the dynamical parameters for electron-hole pairs, excitons, impurity bound excitons, donors and acceptors. Subsequently, the influence of a 10 nm gold coating without and with an Alq3 spacer layer was studied and the experimental results were analyzed with the multilevel model. Without a spacer layer, a significant PL quenching and lifetime reduction of the near band-edge emission is found. The behavior is attributed to surface band-bending and Förster energy transfer from excitons to surface plasmons in the gold layer. Inserting a 5 nm Alq3 spacer layer reduces the PL quenching and lifetime reduction which is consistent with a reduced band-bending and Förster energy transfer. Increasing the spacer layer to 30 nm results in lifetimes which are similar to uncoated structures, showing a significantly decreased influence of the gold coating on the excitonic dynamics.

  13. Field plated 0.15 μm GaN HEMTs for millimeter-wave application

    International Nuclear Information System (INIS)

    Ren Chunjiang; Li Zhonghui; Yu Xuming; Wang Quanhui; Wang Wen; Chen Tangsheng; Zhang Bin

    2013-01-01

    SiN dielectrically-defined 0.15 μm field plated GaN HEMTs for millimeter-wave application have been presented. The AlGaN/GaN hetero-structure epitaxial material for HEMTs fabrication was grown on a 3-inch SiC substrate with an Fe doped GaN buffer layer by metal-organic chemical deposition. Electron beam lithography was used to define both the gate footprint and the cap of the gate with an integrated field plate. Gate recessing was performed to control the threshold voltage of the devices. The fabricated GaN HEMTs exhibited a unit current gain cut-off frequency of 39 GHz and a maximum frequency of oscillation of 63 GHz. Load-pull measurements carried out at 35 GHz showed a power density of 4 W/mm with associated power gain and power added efficiency of 5.3 dB and 35%, respectively, for a 0.15 mm gate width device operated at a 24 V drain bias. The developed 0.15 μm gate length GaN HEMT technology is suitable for Ka band applications and is ready for millimeter-wave power MMICs development. (semiconductor devices)

  14. Luminescence and Morphological Properties of GaN Layers Grown on SiC/Si(111) Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Garcia, M.A.; Ristic, J.; Calleja, E. [ISOM and Dpto. Ing. Electronica, ETSI Telecomunicacion, Univ. Politecnica de Madrid, Ciudad Universitaria s/n, 28040 Madrid (Spain); Perez-Rodriguez, A.; Serre, C.; Romano-Rodriguez, A.; Morante, J.R. [EME - Electronic Materials and Engineering, Department of Electronics, Universidad de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain); Koegler, R.; Skorupa, W. [Institute of Ion Beam Physics and Materials Research, Forschungszentrum Rossendorf e.V., 01314 Dresden (Germany); Trampert, A.; Ploog, K.H. [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2002-08-16

    This article describes the fabrication of SiC thin films on top of Si(111) substrates by means of a multiple C-ion implantation and the subsequent growth by plasma-assisted molecular beam epitaxy of GaN layers. The stoichiometry of the top SiC layer is controlled by reactive ion etching. Photoluminescence spectra reveal that all GaN layers are under biaxial tensile strain of thermal origin. The photoluminescence efficiency clearly depends on the stoichiometry of the initial SiC layer and on whether AlN buffer layers are used or not. GaN layers grown directly on bare non-stoichiometric SiC layers exhibit the best photoluminescence efficiency but also a high degree of mosaicity, as measured by X-ray diffraction techniques. The nucleation process involved in the initial stages of the growth leads to the formation of large dislocation-free grains with a high PL efficiency and with a higher tensile strain character. Despite the lack of a perfect monocrystalline SiC substrate lattice, high quality GaN microcrystals are obtained. (Abstract Copyright[2002], Wiley Periodicals, Inc.)

  15. Energy Assisted Epitaxy of GaN Using a Low Flux Nitrogen Atom Source

    National Research Council Canada - National Science Library

    Myers, Thomas

    1997-01-01

    .... The grant was successful in all three areas. As detailed in this report, we have performed high quality research on fundamental issues of growth in GaN, a strategic material, in addition to training many students...

  16. Structural and optical studies of GaN pn-junction with AlN buffer layer grown on Si (111) by RF plasma enhanced MBE

    Energy Technology Data Exchange (ETDEWEB)

    Yusoff, Mohd Zaki Mohd; Hassan, Zainuriah; Woei, Chin Che; Hassan, Haslan Abu; Abdullah, Mat Johar [Nano-Optoelectronics Research and Technology Laboratory School of Physics, Universiti Sains Malaysia, 11800 Penang, Malaysia and Department of Applied Sciences Universiti Teknologi MARA (UiTM) 13500 Permatang Pauh, Penang (Malaysia); Department of Applied Sciences Universiti Teknologi MARA (UiTM) 13500 Permatang Pauh, Penang (Malaysia)

    2012-06-29

    GaN pn-junction grown on silicon substrates have been the focus in a number of recent reports and further effort is still necessary to improve its crystalline quality for practical applications. GaN has the high n-type background carrier concentration resulting from native defects commonly thought to be nitrogen vacancies. In this work, we present the growth of pn-junction of GaN on Si (111) substrate using RF plasma-enhanced molecular beam epitaxy (MBE). Both of the layers show uniformity with an average thickness of 0.709 {mu}m and 0.095 {mu}m for GaN and AlN layers, respectively. The XRD spectra indicate that no sign of cubic phase of GaN are found, so it is confirmed that the sample possessed hexagonal structure. It was found that all the allowed Raman optical phonon modes of GaN, i.e. the E2 (low), E1 (high) and A1 (LO) are clearly visible.

  17. A study of the red-shift of a neutral donor bound exciton in GaN nanorods by hydrogenation.

    Science.gov (United States)

    Park, Byung-Guon; Lee, Sang-Tae; Reddeppa, Maddaka; Kim, Moon-Deock; Oh, Jae-Eung; Lee, Sang-Kwon

    2017-09-08

    In this paper we account for the physics behind the exciton peak shift in GaN nanorods (NRs) due to hydrogenation. GaN NRs were selectively grown on a patterned Ti/Si(111) substrate using plasma-assisted molecular beam epitaxy, and the effect of hydrogenation on their optical properties was investigated in detail using low-temperature photoluminescence measurements. Due to hydrogenation, the emissions corresponding to the donor-acceptor pair and yellow luminescence in GaN NRs were strongly suppressed, while the emission corresponding to the neutral to donor bound exciton (D 0 X) exhibited red-shift. Thermal annealing of hydrogenated GaN NRs demonstrated the recovery of the D 0 X and deep level emission. To determine the nature of the D 0 X peak shift due to hydrogenation, comparative studies were carried out on various diameters of GaN NRs, which can be controlled by different growth conditions and wet-etching times. Our experimental results reveal that the D 0 X shift depends on the diameter of the GaN NRs after hydrogenation. The results clearly demonstrate that the hydrogenation leads to band bending of GaN NRs as compensated by hydrogen ions, which causes a red-shift in the D 0 X emission.

  18. A study of the red-shift of a neutral donor bound exciton in GaN nanorods by hydrogenation

    Science.gov (United States)

    Park, Byung-Guon; Lee, Sang-Tae; Reddeppa, Maddaka; Kim, Moon-Deock; Oh, Jae-Eung; Lee, Sang-Kwon

    2017-09-01

    In this paper we account for the physics behind the exciton peak shift in GaN nanorods (NRs) due to hydrogenation. GaN NRs were selectively grown on a patterned Ti/Si(111) substrate using plasma-assisted molecular beam epitaxy, and the effect of hydrogenation on their optical properties was investigated in detail using low-temperature photoluminescence measurements. Due to hydrogenation, the emissions corresponding to the donor-acceptor pair and yellow luminescence in GaN NRs were strongly suppressed, while the emission corresponding to the neutral to donor bound exciton (D0X) exhibited red-shift. Thermal annealing of hydrogenated GaN NRs demonstrated the recovery of the D0X and deep level emission. To determine the nature of the D0X peak shift due to hydrogenation, comparative studies were carried out on various diameters of GaN NRs, which can be controlled by different growth conditions and wet-etching times. Our experimental results reveal that the D0X shift depends on the diameter of the GaN NRs after hydrogenation. The results clearly demonstrate that the hydrogenation leads to band bending of GaN NRs as compensated by hydrogen ions, which causes a red-shift in the D0X emission.

  19. GaN nanorods and LED structures grown on patterned Si and AlN/Si substrates by selective area growth

    Energy Technology Data Exchange (ETDEWEB)

    Li, Shunfeng; Fuendling, Soenke; Soekmen, Uensal; Neumann, Richard; Merzsch, Stephan; Peiner, Erwin; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Hinze, Peter; Weimann, Thomas [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany); Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2010-07-15

    GaN nanorods (NRs) show promising applications in high-efficiency light emitting diodes, monolithic white light emission and optical interconnection due to their superior properties. In this work, we performed GaN nanostructures growth by pre-patterning the Si and AlN/Si substrates. The pattern was transferred to Si and AlN/Si substrates by photolithography and inductively-coupled plasma etching. GaN NRs were grown on these templates by metal-organic vapour phase epitaxy (MOVPE). GaN grown on Si pillar templates show a truncated pyramidal structure. Transmission electron microscopy measurements demonstrated clearly that the threading dislocations bend to the side facets of the GaN nanostructures and terminate. GaN growth can also be observed on the sidewalls and bottom surface between the Si pillars. A simple phenomenological model is proposed to explain the GaN nanostructure growth on Si pillar templates. Based on this model, we developed another growth method, by which we grow GaN rod structures on pre-patterned AlN/Si templates. By in-situ nitridation and decreasing of the V/III ratio, we found that GaN rods only grew on the patterned AlN/Si dots with an aspect ratio of about 1.5 - 2. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Reduced-droop green III-nitride light-emitting diodes utilizing GaN tunnel junction

    Science.gov (United States)

    Alhassan, Abdullah I.; Young, Erin C.; Alyamani, Ahmed Y.; Albadri, Abdulrahman; Nakamura, Shuji; DenBaars, Steven P.; Speck, James S.

    2018-04-01

    We report the fabrication of low-droop high-efficiency green c-plane light-emitting diodes (LEDs) utilizing GaN tunnel junction (TJ) contacts. The LED epitaxial layers with a top p-GaN layer were grown by metal organic chemical vapor deposition and an n++-GaN layer was deposited by molecular beam epitaxy to form a TJ. The TJ LEDs were then compared with equivalent LEDs having a tin-doped indium oxide (ITO) contact. The TJ LEDs exhibited a higher performance and a lower efficiency droop than did the ITO LEDs. At 35 A/cm2, the external quantum efficiencies for the TJ and ITO LEDs were 31.2 and 27%, respectively.

  1. The dependence of Raman scattering on Mg concentration in Mg-doped GaN grown by MBE

    International Nuclear Information System (INIS)

    Flynn, Chris; Lee, William

    2014-01-01

    Magnesium-doped GaN (GaN:Mg) films having Mg concentrations in the range 5 × 10 18 –5 × 10 20 cm −3 were fabricated by molecular beam epitaxy. Raman spectroscopy was employed to study the effects of Mg incorporation on the positions of the E 2 and A 1 (LO) lines identifiable in the Raman spectra. For Mg concentrations in excess of 2 × 10 19 cm −3 , increases in the Mg concentration shift both lines to higher wave numbers. The shifts of the Raman lines reveal a trend towards compressive stress induced by incorporation of Mg into the GaN films. The observed correlation between the Mg concentration and the Raman line positions establish Raman spectroscopy as a useful tool for optimizing growth of Mg-doped GaN. (papers)

  2. Increase the threshold voltage of high voltage GaN transistors by low temperature atomic hydrogen treatment

    Energy Technology Data Exchange (ETDEWEB)

    Erofeev, E. V., E-mail: erofeev@micran.ru [Tomsk State University of Control Systems and Radioelectronics, Research Institute of Electrical-Communication Systems (Russian Federation); Fedin, I. V.; Kutkov, I. V. [Research and Production Company “Micran” (Russian Federation); Yuryev, Yu. N. [National Research Tomsk Polytechnic University, Institute of Physics and Technology (Russian Federation)

    2017-02-15

    High-electron-mobility transistors (HEMTs) based on AlGaN/GaN epitaxial heterostructures are a promising element base for the fabrication of high voltage electronic devices of the next generation. This is caused by both the high mobility of charge carriers in the transistor channel and the high electric strength of the material, which makes it possible to attain high breakdown voltages. For use in high-power switches, normally off-mode GaN transistors operating under enhancement conditions are required. To fabricate normally off GaN transistors, one most frequently uses a subgate region based on magnesium-doped p-GaN. However, optimization of the p-GaN epitaxial-layer thickness and the doping level makes it possible to attain a threshold voltage of GaN transistors close to V{sub th} = +2 V. In this study, it is shown that the use of low temperature treatment in an atomic hydrogen flow for the p-GaN-based subgate region before the deposition of gate-metallization layers makes it possible to increase the transistor threshold voltage to V{sub th} = +3.5 V. The effects under observation can be caused by the formation of a dipole layer on the p-GaN surface induced by the effect of atomic hydrogen. The heat treatment of hydrogen-treated GaN transistors in a nitrogen environment at a temperature of T = 250°C for 12 h reveals no degradation of the transistor’s electrical parameters, which can be caused by the formation of a thermally stable dipole layer at the metal/p-GaN interface as a result of hydrogenation.

  3. Increase the threshold voltage of high voltage GaN transistors by low temperature atomic hydrogen treatment

    International Nuclear Information System (INIS)

    Erofeev, E. V.; Fedin, I. V.; Kutkov, I. V.; Yuryev, Yu. N.

    2017-01-01

    High-electron-mobility transistors (HEMTs) based on AlGaN/GaN epitaxial heterostructures are a promising element base for the fabrication of high voltage electronic devices of the next generation. This is caused by both the high mobility of charge carriers in the transistor channel and the high electric strength of the material, which makes it possible to attain high breakdown voltages. For use in high-power switches, normally off-mode GaN transistors operating under enhancement conditions are required. To fabricate normally off GaN transistors, one most frequently uses a subgate region based on magnesium-doped p-GaN. However, optimization of the p-GaN epitaxial-layer thickness and the doping level makes it possible to attain a threshold voltage of GaN transistors close to V_t_h = +2 V. In this study, it is shown that the use of low temperature treatment in an atomic hydrogen flow for the p-GaN-based subgate region before the deposition of gate-metallization layers makes it possible to increase the transistor threshold voltage to V_t_h = +3.5 V. The effects under observation can be caused by the formation of a dipole layer on the p-GaN surface induced by the effect of atomic hydrogen. The heat treatment of hydrogen-treated GaN transistors in a nitrogen environment at a temperature of T = 250°C for 12 h reveals no degradation of the transistor’s electrical parameters, which can be caused by the formation of a thermally stable dipole layer at the metal/p-GaN interface as a result of hydrogenation.

  4. The growth of noble metals in (112-bar0)-oriented hexagonal close-packed nano-films by epitaxy on Nb(001)

    International Nuclear Information System (INIS)

    Hueger, E.; Osuch, K.

    2005-01-01

    The morphology and crystal structure of noble metal nano-films deposited on oxygen contaminated and oxygen-free Nb(001) surfaces have been studied with angle-resolved ultraviolet photoelectron spectroscopy, X-ray photo-electron diffraction, and reflection high energy electron diffraction. In the both cases a deposited noble metal film aligns its direction with the [110] direction of the Nb(001) surface. But, while a noble metal grows on an oxygen contaminated Nb(001) surface with the hexagonal close-packed (hcp) (111) planes parallel to the surface (i.e. in the (111)-oriented face centred cubic phase (fcc)), on a non-contaminated Nb(001) it grows with its hcp planes perpendicular to the surface. The latter happens because in the initial stages of the epitaxy the first two monolayers (MLs) of the noble metal grow pseudomorphically on a contamination-free Nb(001). The pseudomorphic layer is strongly extended parallel to the Nb(001) surface in comparison to its natural fcc (001) plane. As a consequence of the atomic volume conservation principle the out-of-plane lattice of the pseudomorphic layer is contracted. Thus, its body centred tetragonal (110) planes, which stay perpendicular to the surface, contract into denser-packed planes, i.e. in hcp ones. In the direction perpendicular to the surface, where the substrate does not have a direct influence on the film, the pseudomorphic layer relaxes into its natural close-packed phase, i.e. into hcp atomic planes. These planes appear as soon as the third pseudomorphic ML begins to grow. The stacking axis of the planes lies in the (100) surface of Nb and is locked by it. The fact that thick nano-films of Cu (up to 50 MLs), Ag and Au (up to 100 MLs) grow in the (112-bar0)-oriented hcp phase can be attributed to a much better fit of the hcp than of fcc stacking sequence to the four-fold symmetry of the Nb(001) surface

  5. Ultraviolet Laser SQUID Microscope for GaN Blue Light Emitting Diode Testing

    International Nuclear Information System (INIS)

    Daibo, M; Kamiwano, D; Kurosawa, T; Yoshizawa, M; Tayama, N

    2006-01-01

    We carried out non-contacting measurements of photocurrent distributions in GaN blue light emitting diode (LED) chips using our newly developed ultraviolet (UV) laser SQUID microscope. The UV light generates the photocurrent, and then the photocurrent induces small magnetic fields around the chip. An off-axis arranged HTS-SQUID magnetometer is employed to detect a vector magnetic field whose typical amplitude is several hundred femto-tesla. Generally, it is difficult to obtain Ohmic contacts for p-type GaN because of the low hole concentration in the p-type epitaxial layer and the lack of any available metal with a higher work function compared with the p-type GaN. Therefore, a traditional probecontacted electrical test is difficult to conduct for wide band gap semiconductors without an adequately annealed electrode. Using the UV-laser SQUID microscope, the photocurrent can be measured without any electrical contact. We show the photocurrent vector map which was reconstructed from measured magnetic fields data. We also demonstrate how we found the position of a defect of the electrical short circuits in the LED chip

  6. Dry etching of MgCaO gate dielectric and passivation layers on GaN

    International Nuclear Information System (INIS)

    Hlad, M.; Voss, L.; Gila, B.P.; Abernathy, C.R.; Pearton, S.J.; Ren, F.

    2006-01-01

    MgCaO films grown by rf plasma-assisted molecular beam epitaxy and capped with Sc 2 O 3 are promising candidates as surface passivation layers and gate dielectrics on GaN-based high electron mobility transistors (HEMTs) and metal-oxide semiconductor HEMTs (MOS-HEMTs), respectively. Two different plasma chemistries were examined for etching these thin films on GaN. Inductively coupled plasmas of CH 4 /H 2 /Ar produced etch rates only in the range 20-70 A/min, comparable to the Ar sputter rates under the same conditions. Similarly slow MgCaO etch rates (∼100 A/min) were obtained with Cl 2 /Ar discharges under the same conditions, but GaN showed rates almost an order of magnitude higher. The MgCaO removal rates are limited by the low volatilities of the respective etch products. The CH 4 /H 2 /Ar plasma chemistry produced a selectivity of around 2 for etching the MgCaO with respect to GaN

  7. Influence of substrate quality on structural properties of AlGaN/GaN superlattices grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schubert, F. [NaMLab gGmbH, Nöthnitzer Straße 64, 01187 Dresden (Germany); Merkel, U.; Schmult, S. [TU Dresden, Institute of Semiconductors and Microsystems, Nöthnitzer Straße 64, 01187 Dresden (Germany); Mikolajick, T. [NaMLab gGmbH, Nöthnitzer Straße 64, 01187 Dresden (Germany); TU Dresden, Institute of Semiconductors and Microsystems, Nöthnitzer Straße 64, 01187 Dresden (Germany)

    2014-02-28

    Short-period AlGaN/GaN superlattices were established as versatile test structures to investigate the structural properties of molecular beam epitaxy (MBE)-grown GaN and AlGaN layers and their dependence on the GaN substrate quality. X-ray diffractometry data of the investigated superlattices allow access to relevant structural parameters such as aluminum mole fraction and layer thicknesses. The occurrence of theoretically predicted intense high-order satellite peaks and pronounced interface fringes in the diffraction pattern reflects abrupt interfaces and perfect 2-dimensional growth resulting in smooth surfaces. The data unambiguously demonstrate that the structural quality of the MBE grown layers is limited by the structural properties of the GaN substrate.

  8. Ion blocking and channeling studies of heteroepitaxial GaN layers

    International Nuclear Information System (INIS)

    Flagmeyer, R.; Ehrlich, C.; Geist, V.; Otto, G.

    1978-01-01

    Ion channeling and blocking in backscattering measurements were used for the characterization of thin epitaxial GaN layers, which have varied lattice imperfections involved by different growth conditions. In particular, the following characteristics were examined: (1) the thickness and the uniformity of the layers, (2) the depth dependence of the crystalline imperfection, (3) the dislocation density, (4) the spread in the orientation distribution of tilted crystallites, and (5) some other types of imperfections, such as stacking faults, double positioning, twins and bending of the layer

  9. Direct Measurements of Island Growth and Step-Edge Barriers in Colloidal Epitaxy

    KAUST Repository

    Ganapathy, R.

    2010-01-21

    Epitaxial growth, a bottom-up self-assembly process for creating surface nano- and microstructures, has been extensively studied in the context of atoms. This process, however, is also a promising route to self-assembly of nanometer- and micrometer-scale particles into microstructures that have numerous technological applications. To determine whether atomic epitaxial growth laws are applicable to the epitaxy of larger particles with attractive interactions, we investigated the nucleation and growth dynamics of colloidal crystal films with single-particle resolution. We show quantitatively that colloidal epitaxy obeys the same two-dimensional island nucleation and growth laws that govern atomic epitaxy. However, we found that in colloidal epitaxy, step-edge and corner barriers that are responsible for film morphology have a diffusive origin. This diffusive mechanism suggests new routes toward controlling film morphology during epitaxy.

  10. Lattice distortion and strain relaxation in epitaxial thin films of multiferroic TbMnO{sub 3} probed by X-ray diffractometry and micro-Raman spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Hu, Y.; Stender, D. [Paul Scherrer Institute, General Energy Research Department, 5232 Villigen-PSI (Switzerland); Medarde, M. [Paul Scherrer Institute, Laboratory for Developments and Methods, 5232 Villigen-PSI (Switzerland); Lippert, T., E-mail: thomas.lippert@psi.ch [Paul Scherrer Institute, General Energy Research Department, 5232 Villigen-PSI (Switzerland); Wokaun, A.; Schneider, C.W. [Paul Scherrer Institute, General Energy Research Department, 5232 Villigen-PSI (Switzerland)

    2013-08-01

    A detailed structural XRD analysis of (1 1 0)-oriented TbMnO{sub 3} thin films grown on (1 1 0)-YAlO{sub 3} substrates shows the co-existence of a strained and relaxed “sublayer” within the films due to strain relaxation during epitaxial growth by pulsed laser deposition. The substrate-film lattice mismatch yields a compressive strain anisotropy along the two in-plane directions, i.e. [1 −1 0] and [0 0 1] and a monoclinic distortion. A further manifestation of the growth-induced strain is the hardening of Raman active modes as a result of changed atomic motions along the [1 −1 0] and [0 0 1] directions.

  11. GaN-on-Si blue/white LEDs: epitaxy, chip, and package

    Science.gov (United States)

    Qian, Sun; Wei, Yan; Meixin, Feng; Zengcheng, Li; Bo, Feng; Hanmin, Zhao; Hui, Yang

    2016-04-01

    The dream of epitaxially integrating III-nitride semiconductors on large diameter silicon is being fulfilled through the joint R&D efforts of academia and industry, which is driven by the great potential of GaN-on-silicon technology in improving the efficiency yet at a much reduced manufacturing cost for solid state lighting and power electronics. It is very challenging to grow high quality GaN on Si substrates because of the huge mismatch in the coefficient of thermal expansion (CTE) and the large mismatch in lattice constant between GaN and silicon, often causing a micro-crack network and a high density of threading dislocations (TDs) in the GaN film. Al-composition graded AlGaN/AlN buffer layers have been utilized to not only build up a compressive strain during the high temperature growth for compensating the tensile stress generated during the cool down, but also filter out the TDs to achieve crack-free high-quality n-GaN film on Si substrates, with an X-ray rocking curve linewidth below 300 arcsec for both (0002) and (101¯2) diffractions. Upon the GaN-on-Si templates, prior to the deposition of p-AlGaN and p-GaN layers, high quality InGaN/GaN multiple quantum wells (MQWs) are overgrown with well-engineered V-defects intentionally incorporated to shield the TDs as non-radiative recombination centers and to enhance the hole injection into the MQWs through the via-like structures. The as-grown GaN-on-Si LED wafers are processed into vertical structure thin film LED chips with a reflective p-electrode and the N-face surface roughened after the removal of the epitaxial Si(111) substrates, to enhance the light extraction efficiency. We have commercialized GaN-on-Si LEDs with an average efficacy of 150-160 lm/W for 1mm2 LED chips at an injection current of 350 mA, which have passed the 10000-h LM80 reliability test. The as-produced GaN-on-Si LEDs featured with a single-side uniform emission and a nearly Lambertian distribution can adopt the wafer-level phosphor

  12. Optical and magnetic resonance studies of Mg-doped GaN homoepitaxial layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Glaser, E.R.; Murthy, M.; Freitas, J.A.; Storm, D.F.; Zhou, L.; Smith, D.J.

    2007-01-01

    Low-temperature photoluminescence (PL) and optically detected magnetic resonance (ODMR) at 24 GHz have been performed on a series of MBE-grown Mg-doped (10 17 -10 20 cm -3 ) GaN homoepitaxial layers. High-resolution PL at 5 K revealed intense bandedge emission with narrow linewidths (0.2-0.4 meV) attributed to annihilation of excitons bound to shallow Mg acceptors. In contrast to many previous reports for GaN heteroepitaxial layers doped with [Mg]>3x10 18 cm -3 , the only visible PL observed was strong shallow donor-shallow acceptor recombination with zero phonon line at 3.27 eV. Most notably, ODMR on this emission from a sample doped with [Mg] of 1x10 17 cm -3 revealed the first evidence for the highly anisotropic g-tensor (g parallel ∼2.19, g perpendicular ∼0) expected for Mg shallow acceptors in wurtzite GaN. This result is attributed to the much reduced dislocation densities (≤5x10 6 cm -3 ) and Mg impurity concentrations compared to those characteristic of the more conventional investigated Mg-doped GaN heteroepitaxial layers

  13. Novel oxide buffer approach for GaN integration on Si(111) platform through Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} bi-layer

    Energy Technology Data Exchange (ETDEWEB)

    Tarnawska, Lidia

    2012-12-19

    Motivation: Preparation of GaN virtual substrates on large-scale Si wafers is intensively pursued as a cost-effective approach for high power/high frequency electronics (HEMT's etc.) and optoelectronic applications (LED, LASER). However, the growth of high quality GaN layers on Si is hampered by several difficulties mainly related to a large lattice mismatch (-17%) and a huge difference in the thermal expansion coefficient (56%). As a consequence, GaN epitaxial layers grown on Si substrates show a high number of defects (threading dislocations etc.), which severely deteriorate the overall quality of the GaN films. Additionally, due to the different thermal expansion coefficients of the substrate and the film, um-thick GaN layers crack during post-growth cooling. To solve these integration problems, different semiconducting (e.g. AlN, GaAs, ZnO, HfN) and insulating (e.g. Al{sub 2}O{sub 3}, MgO, LiGaO{sub 2}) buffer layers, separating the Si substrate from the GaN film, are applied. Goal: In this thesis, a novel buffer approach for the integration of GaN on Si is proposed and investigated. The new approach employs Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} bilayer templates as a step-graded buffer to reduce the lattice mismatch between GaN and the Si(111) substrate. According to the bulk crystal lattices, since the Y{sub 2}O{sub 3} has an in-plane lattice misfit of -2% to Si, Sc{sub 2}O{sub 3} -7% to Y{sub 2}O{sub 3}, the lattice misfit between GaN and the substrate can be theoretically reduced by about 50% from -17% (GaN/Si) to -8% (GaN/Sc{sub 2}O{sub 3}). Experimental: The GaN/Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3}/Si(111) heterostructures are prepared in a multichamber molecular beam epitaxy system on 4 inch Si(111) wafers. In order to obtain complete information on the structural quality of the oxide buffer as well as the GaN layer, synchrotron- and laboratory-based X-ray diffraction, transmission electron microscopy and photoluminescence measurements are performed. The

  14. Modeling the Non-Equilibrium Process of the Chemical Adsorption of Ammonia on GaN(0001) Reconstructed Surfaces Based on Steepest-Entropy-Ascent Quantum Thermodynamics

    OpenAIRE

    Kusaba, Akira; Li, Guanchen; von Spakovsky, Michael R.; Kangawa, Yoshihiro; Kakimoto, Koichi

    2017-01-01

    Clearly understanding elementary growth processes that depend on surface reconstruction is essential to controlling vapor-phase epitaxy more precisely. In this study, ammonia chemical adsorption on GaN(0001) reconstructed surfaces under metalorganic vapor phase epitaxy (MOVPE) conditions (3Ga-H and Nad-H + Ga-H on a 2 × 2 unit cell) is investigated using steepest-entropy-ascent quantum thermodynamics (SEAQT). SEAQT is a thermodynamic-ensemble based, first-principles framework that can predict...

  15. Probing defect states in polycrystalline GaN grown on Si(111) by sub-bandgap laser-excited scanning tunneling spectroscopy

    Science.gov (United States)

    Hsiao, F.-M.; Schnedler, M.; Portz, V.; Huang, Y.-C.; Huang, B.-C.; Shih, M.-C.; Chang, C.-W.; Tu, L.-W.; Eisele, H.; Dunin-Borkowski, R. E.; Ebert, Ph.; Chiu, Y.-P.

    2017-01-01

    We demonstrate the potential of sub-bandgap laser-excited cross-sectional scanning tunneling microscopy and spectroscopy to investigate the presence of defect states in semiconductors. The characterization method is illustrated on GaN layers grown on Si(111) substrates without intentional buffer layers. According to high-resolution transmission electron microscopy and cathodoluminescence spectroscopy, the GaN layers consist of nanoscale wurtzite and zincblende crystallites with varying crystal orientations and hence contain high defect state densities. In order to discriminate between band-to-band excitation and defect state excitations, we use sub-bandgap laser excitation. We probe a clear increase in the tunnel current at positive sample voltages during sub-bandgap laser illumination for the GaN layer with high defect density, but no effect is found for high quality GaN epitaxial layers. This demonstrates the excitation of free charge carriers at defect states. Thus, sub-bandgap laser-excited scanning tunneling spectroscopy is a powerful complimentary characterization tool for defect states.

  16. Scanning tunneling microscopy and spectroscopy on GaN and InGaN surfaces

    International Nuclear Information System (INIS)

    Krueger, David

    2009-01-01

    Optelectronic devices based on gallium nitride (GaN) and indium gallium nitride (InGaN) are in the focus of research since more than 20 years and still have great potential for optical applications. In the first part of this work non-polar surfaces of GaN are investigated by scanning electron microscopy (SEM), atomic force microscopy (AFM) and scanning tunneling microscopy (STM). In SEM and AFM, the (1 anti 100)- and especially the (anti 2110)-plane are quite corrugated. For the first time, the (anti 2110)-plane of GaN is atomically resolved in STM. In the second part InGaN quantum dot layers are investigated by X-ray photoelectron spectroscopy (XPS), scanning tunneling spectroscopy (STS) and STM. The STMmeasurements show the dependency of surface morphology on growth conditions in the metalorganic vapour phase epitaxy (MOVPE). Nucleation, a new MOVPE-strategy, is based on phase separations on surfaces. It is shown that locally varying density of states and bandgaps can be detected by STS, that means bandgap histograms and 2D-bandgap-mapping. (orig.)

  17. Impact of substrate temperature on the incorporation of carbon-related defects and mechanism for semi-insulating behavior in GaN grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Armstrong, A.; Poblenz, C.; Green, D.S.; Mishra, U.K.; Speck, J.S.; Ringel, S.A.

    2006-01-01

    The electrical conductivity and deep level spectrum of GaN grown by molecular beam epitaxy and codoped with carbon and silicon were investigated for substrate temperatures T s of 650 and 720 deg. C as a function relative carbon and silicon doping levels. With sufficiently high carbon doping, semi-insulating behavior was observed for films grown at both temperatures, and growth at T s =720 deg. C enhanced the carbon compensation ratio. Similar carbon-related band gap states were observed via deep level optical spectroscopy for films grown at both substrate temperatures. Due to the semi-insulating nature of the films, a lighted capacitance-voltage technique was required to determine individual deep level concentrations. Carbon-related band gap states underwent substantial redistribution between deep level and shallow acceptor configurations with change in T s . In light of a T s dependence for the preferential site of carbon incorporation, a model of semi-insulating behavior in terms of carbon impurity state incorporation mediated by substrate temperature is proposed

  18. Highly biocompatible TiO2:Gd3+ nano-contrast agent with enhanced longitudinal relaxivity for targeted cancer imaging

    Science.gov (United States)

    Chandran, Parwathy; Sasidharan, Abhilash; Ashokan, Anusha; Menon, Deepthy; Nair, Shantikumar; Koyakutty, Manzoor

    2011-10-01

    We report the development of a novel magnetic nano-contrast agent (nano-CA) based on Gd3+ doped amorphous TiO2 of size ~25 nm, exhibiting enhanced longitudinal relaxivity (r1) and magnetic resonance (MR) contrasting together with excellent biocompatibility. Quantitative T1 mapping of phantom samples using a 1.5 T clinical MR imaging system revealed that the amorphous phase of doped titania has the highest r1 relaxivity which is ~2.5 fold higher than the commercially used CA Magnevist™. The crystalline (anatase) samples formed by air annealing at 250 °C and 500 °C showed significant reduction in r1 values and MR contrast, which is attributed to the loss of proton-exchange contribution from the adsorbed water and atomic re-arrangement of Gd3+ ions in the crystalline host lattice. Nanotoxicity studies including cell viability, plasma membrane integrity, reactive oxygen stress and expression of pro-inflammatory cytokines, performed on human primary endothelial cells (HUVEC), human blood derived peripheral blood mononuclear cells (PBMC) and nasopharyngeal epidermoid carcinoma (KB) cell line showed excellent biocompatibility up to relatively higher doses of 200 μg ml-1. The potential of this nano-CA to cause hemolysis, platelet aggregation and plasma coagulation were studied using human peripheral blood samples and found no adverse effects, illustrating the possibility of the safe intravenous administration of these agents for human applications. Furthermore, the ability of these agents to specifically detect cancer cells by targeting molecular receptors on the cell membrane was demonstrated on folate receptor (FR) positive oral carcinoma (KB) cells, where the folic acid conjugated nano-CA showed receptor specific accumulation on cell membrane while leaving the normal fibroblast cells (L929) unstained. This study reveals that the Gd3+ doped amorphous TiO2 nanoparticles having enhanced magnetic resonance contrast and high biocompatibility is a promising candidate for

  19. Epitaxial Graphene: A New Material for Electronics

    Science.gov (United States)

    de Heer, Walt A.

    2007-10-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persists above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high mobility epitaxial graphene. It appears that the effect is suppressed due to absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low dissipation high-speed nano-electronics.

  20. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    Science.gov (United States)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  1. Surface morphology of homoepitaxial GaN grown on non- and semipolar GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, Tim; Ploch, Simon [Institute of Solid State Physics, Technische Universitaet Berlin, Hardenbergstr. 36, 10623 Berlin (Germany); Hoffmann, Veit; Knauer, Arne; Weyers, Markus [Ferdinand-Braun-Institut, Leibniz Institut fuer Hoechstfrequenztechnik, Gustav-Kirchhoff-Str. 4, 12489 Berlin (Germany); Kneissl, Michael [Institute of Solid State Physics, Technische Universitaet Berlin, Hardenbergstr. 36, 10623 Berlin (Germany); Ferdinand-Braun-Institut, Leibniz Institut fuer Hoechstfrequenztechnik, Gustav-Kirchhoff-Str. 4, 12489 Berlin (Germany)

    2011-03-15

    GaN layers on bulk m-plane, (11 anti 22), (10 anti 12) and (10 anti 11) GaN substrates were grown by metal organic vapor phase epitaxy. XRD rocking curves have a FWHM of less than 150'', indicating excellent crystalline quality. However in many cases surface morphology exhibits hillocks with a height of 1-2 {mu}m and a lateral extension of 50-200 {mu}m whereas a smooth surface would be desirable for optoelectronic devices. The influence of growth parameters on the surface morphology was studied. The goal was, to constrain the material redistribution, that is necessary to form large hillocks. This was achieved by lowering the adatom diffusion length by a reduction of temperature and an increased reactor pressure. In the case of the (10 anti 11) and (10 anti 12) semipolar planes a reduction of the adatom diffusion length leads to a reduction of hillock density, hillock size and a smoother surface between hillocks. However, the m-plane surface does not react to a reduction of adatom mobility. Even at 890 C and 400 mbar rectangular pyramids cover the surface. In contrast to the other planes, the (11 anti 22) becomes instable, when the adatom diffusion length is reduced. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  2. Effect of Mg Doping on the Photoluminescence of GaN:Mg Films by Radio-Frequency Plasma-Assisted Molecular Beam Epitaxy

    International Nuclear Information System (INIS)

    Sui Yan-Ping; Yu Guang-Hui

    2011-01-01

    We investigate undoped GaN and Mg-doped GaN grown by rf plasma-assisted molecular beam epitaxy (MBE) with different Mg concentrations by photoluminescence (PL) at low temperature, Hall-effect and XRD measurements. In the PL spectra of lightly Mg-doped GaN films, a low intensity near band edge (NBE) emission and strong donor-acceptor pair (DAP) emission with its phonon replicas are observed. As the Mg concentration is increased, the DAP and NBE bands become weaker and a red shift of these bands is observed in the PL spectra. Yellow luminescence (YL) is observed in heavily Mg-doped GaN. The x-ray diffraction is employed to study the structure of the films. Hall measurement shows that there is a maximum value (3.9 × 10 18 cm −3 ) of hole concentration with increasing Mg source temperature for compensation effect. PL spectra of undoped GaN are also studied under N-rich and Ga-rich growth conditions. Yellow luminescences of undoped Ga-rich GaN and heavily Mg-doped GaN are compared, indicating the different origins of the YL bands. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  3. Resonant Raman and FTIR spectra of carbon doped GaN

    Science.gov (United States)

    Ito, S.; Kobayashi, H.; Araki, K.; Suzuki, K.; Sawaki, N.; Yamashita, K.; Honda, Y.; Amano, H.

    2015-03-01

    Intentionally carbon (C) doped (0 0 0 1)GaN was grown using C2H2 on a sapphire substrate by metalorganic vapor phase epitaxy. Optical spectra of the heavily doped samples were investigated at room temperature. In Raman spectra excited by the 325 nm line of a He-Cd laser, multiple LO phonon scattering signals up to 7th order were observed, and the A1(LO) phonon energy was determined to be 737.5 cm-1 (91.45 meV). In infrared reflectance spectra, on the other hand, a local vibration mode was found at 777.5 cm-1, which is attributed to a Ga-C bond in the GaN matrix suggesting that the C sits on an N site (CN). In spite of the strong suggestion of CN, the samples did not show p-type conduction. Possible origin of the carrier compensation is discussed in relation to the enhancement of defect related yellow luminescence in the photoluminescence spectra.

  4. Electron beam and optical depth profiling of quasibulk GaN

    International Nuclear Information System (INIS)

    Chernyak, L.; Osinsky, A.; Nootz, G.; Schulte, A.; Jasinski, J.; Benamara, M.; Liliental-Weber, Z.; Look, D. C.; Molnar, R. J.

    2000-01-01

    Electron beam and optical depth profiling of thick (5.5--64 μm) quasibulk n-type GaN samples, grown by hydride vapor-phase epitaxy, were carried out using electron beam induced current (EBIC), microphotoluminescence (PL), and transmission electron microscopy (TEM). The minority carrier diffusion length, L, was found to increase linearly from 0.25 μm, at a distance of about 5 μm from the GaN/sapphire interface, to 0.63 μm at the GaN surface, for a 36-μm-thick sample. The increase in L was accompanied by a corresponding increase in PL band-to-band radiative transition intensity as a function of distance from the GaN/sapphire interface. We attribute the latter changes in PL intensity and minority carrier diffusion length to a reduced carrier mobility and lifetime at the interface, due to scattering at threading dislocations. The results of EBIC and PL measurements are in good agreement with the values for dislocation density obtained using TEM

  5. Structural characterization of selective area growth GaN nanowires by non-destructive optical and electrical techniques

    International Nuclear Information System (INIS)

    Secco, Eleonora; Minj, Albert; Garro, Núria; Cantarero, Andrés; Colchero, Jaime; Urban, Arne; Oppo, Carla Ivana; Malindretos, Joerg; Rizzi, Angela

    2015-01-01

    The growth selectivity and structural quality of GaN nanowires obtained by plasma-assisted molecular beam epitaxy on pre-patterned GaN(0001) templates are investigated by means of non-destructive techniques. Optimum control over the nanowire arrangement and size requires a pitch between the mask apertures below twice the diffusion length of Ga atoms. Lower pitches, however, seem to slightly diminish the structural quality of the material, as revealed by the increase of the Raman peak linewidths. The photoluminescence spectra of the nanowires show a considerable presence of basal plane stacking faults, whose density increases for decreasing nanowire diameter. The capabilities of Kelvin probe force microscopy for imaging these kind of defects are also demonstrated. (paper)

  6. Structural characterization of selective area growth GaN nanowires by non-destructive optical and electrical techniques

    Science.gov (United States)

    Secco, Eleonora; Minj, Albert; Garro, Núria; Cantarero, Andrés; Colchero, Jaime; Urban, Arne; Ivana Oppo, Carla; Malindretos, Joerg; Rizzi, Angela

    2015-08-01

    The growth selectivity and structural quality of GaN nanowires obtained by plasma-assisted molecular beam epitaxy on pre-patterned GaN(0001) templates are investigated by means of non-destructive techniques. Optimum control over the nanowire arrangement and size requires a pitch between the mask apertures below twice the diffusion length of Ga atoms. Lower pitches, however, seem to slightly diminish the structural quality of the material, as revealed by the increase of the Raman peak linewidths. The photoluminescence spectra of the nanowires show a considerable presence of basal plane stacking faults, whose density increases for decreasing nanowire diameter. The capabilities of Kelvin probe force microscopy for imaging these kind of defects are also demonstrated.

  7. Characterisation of Cs ion implanted GaN by DLTS

    Science.gov (United States)

    Ngoepe, P. N. M.; Meyer, W. E.; Auret, F. D.; Omotoso, E.; Hlatshwayo, T. T.; Diale, M.

    2018-04-01

    Deep level transient spectroscopy (DLTS) was used to characterise Cs implanted GaN grown by hydride vapour phase epitaxy (HVPE). This implantation was done at room temperature using energy of 360 keV to a fluence of 10-11 cm-2. A defect with activation energy of 0.19 eV below the conduction band and an apparent capture cross section of 1.1 × 10-15 cm2 was induced. This defect has previously been observed after rare earth element (Eu, Er and Pr) implantation. It has also been reported after electron, proton and He ion implantation.

  8. Optoelectronic Properties and Structural Characterization of GaN Thick Films on Different Substrates through Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Wei-Kai Wang

    2017-01-01

    Full Text Available Approximately 4-μm-thick GaN epitaxial films were directly grown onto a GaN/sapphire template, sapphire, Si(111, and Si(100 substrates by high-temperature pulsed laser deposition (PLD. The influence of the substrate type on the crystalline quality, surface morphology, microstructure, and stress states was investigated by X-ray diffraction (XRD, photoluminescence (PL, atomic force microscopy (AFM, transmission electron microscopy (TEM, and Raman spectroscopy. Raman scattering spectral analysis showed a compressive film stress of −0.468 GPa for the GaN/sapphire template, whereas the GaN films on sapphire, Si(111, and Si(100 exhibited a tensile stress of 0.21, 0.177, and 0.081 GPa, respectively. Comparative analysis indicated the growth of very close to stress-free GaN on the Si(100 substrate due to the highly directional energetic precursor migration on the substrate’s surface and the release of stress in the nucleation of GaN films during growth by the high-temperature (1000 °C operation of PLD. Moreover, TEM images revealed that no significant GaN meltback (Ga–Si etching process was found in the GaN/Si sample surface. These results indicate that PLD has great potential for developing stress-free GaN templates on different substrates and using them for further application in optoelectronic devices.

  9. Influence of the interface on growth rates in AlN/GaN short period superlattices via metal organic vapor phase epitaxy

    Science.gov (United States)

    Rodak, L. E.; Korakakis, D.

    2011-11-01

    AlN/GaN short period superlattices are well suited for a number of applications including, but not limited to, digital alloys, intersubband devices, and emitters. In this work, AlN/GaN superlattices with periodicities ranging from 10 to 20 Å have been grown via metal organic vapor phase epitaxy in order to investigate the influence of the interface on the binary alloy growth rates. The GaN growth rate at the interface was observed to decrease with increasing GaN thickness while the AlN growth rate remained constant. This has been attributed to a decrease in the decomposition rate of GaN at the hetero-interface as seen in other III-V hetero-structures.

  10. Axial p-n junction and space charge limited current in single GaN nanowire

    Science.gov (United States)

    Fang, Zhihua; Donatini, Fabrice; Daudin, Bruno; Pernot, Julien

    2018-01-01

    The electrical characterizations of individual basic GaN nanostructures, such as axial nanowire (NW) p-n junctions, are becoming indispensable and crucial for the fully controlled realization of GaN NW based devices. In this study, electron beam induced current (EBIC) measurements were performed on two single axial GaN p-n junction NWs grown by plasma-assisted molecular beam epitaxy. I-V characteristics revealed that both ohmic and space charge limited current (SCLC) regimes occur in GaN p-n junction NW. Thanks to an improved contact process, both the electric field induced by the p-n junction and the SCLC in the p-part of GaN NW were disclosed and delineated by EBIC signals under different biases. Analyzing the EBIC profiles in the vicinity of the p-n junction under 0 V and reverse bias, we deduced a depletion width in the range of 116-125 nm. Following our previous work, the acceptor N a doping level was estimated to be 2-3 × 1017 at cm-3 assuming a donor level N d of 2-3 × 1018 at cm-3. The hole diffusion length in n-GaN was determined to be 75 nm for NW #1 and 43 nm for NW #2, demonstrating a low surface recombination velocity at the m-plane facet of n-GaN NW. Under forward bias, EBIC imaging visualized the electric field induced by the SCLC close to p-side contact, in agreement with unusual SCLC previously reported in GaN NWs.

  11. Axial p-n junction and space charge limited current in single GaN nanowire.

    Science.gov (United States)

    Fang, Zhihua; Donatini, Fabrice; Daudin, Bruno; Pernot, Julien

    2018-01-05

    The electrical characterizations of individual basic GaN nanostructures, such as axial nanowire (NW) p-n junctions, are becoming indispensable and crucial for the fully controlled realization of GaN NW based devices. In this study, electron beam induced current (EBIC) measurements were performed on two single axial GaN p-n junction NWs grown by plasma-assisted molecular beam epitaxy. I-V characteristics revealed that both ohmic and space charge limited current (SCLC) regimes occur in GaN p-n junction NW. Thanks to an improved contact process, both the electric field induced by the p-n junction and the SCLC in the p-part of GaN NW were disclosed and delineated by EBIC signals under different biases. Analyzing the EBIC profiles in the vicinity of the p-n junction under 0 V and reverse bias, we deduced a depletion width in the range of 116-125 nm. Following our previous work, the acceptor N a doping level was estimated to be 2-3 × 10 17 at cm -3 assuming a donor level N d of 2-3 × 10 18 at cm -3 . The hole diffusion length in n-GaN was determined to be 75 nm for NW #1 and 43 nm for NW #2, demonstrating a low surface recombination velocity at the m-plane facet of n-GaN NW. Under forward bias, EBIC imaging visualized the electric field induced by the SCLC close to p-side contact, in agreement with unusual SCLC previously reported in GaN NWs.

  12. Low p-type contact resistance by field-emission tunneling in highly Mg-doped GaN

    Science.gov (United States)

    Okumura, Hironori; Martin, Denis; Grandjean, Nicolas

    2016-12-01

    Mg-doped GaN with a net acceptor concentration (NA-ND) in the high 1019 cm-3 range was grown using ammonia molecular-beam epitaxy. Electrical properties of NiO contact on this heavily doped p-type GaN were investigated. A potential-barrier height of 0.24 eV was extracted from the relationship between NA-ND and the specific contact resistivity (ρc). We found that there is an optimum NA-ND value of 5 × 1019 cm-3 for which ρc is as low as 2 × 10-5 Ω cm2. This low ρc is ascribed to hole tunneling through the potential barrier at the NiO/p+-GaN interface, which is well accounted for by the field-emission model.

  13. Topical Review: Development of overgrown semi-polar GaN for high efficiency green/yellow emission

    Science.gov (United States)

    Wang, T.

    2016-09-01

    The most successful example of large lattice-mismatched epitaxial growth of semiconductors is the growth of III-nitrides on sapphire, leading to the award of the Nobel Prize in 2014 and great success in developing InGaN-based blue emitters. However, the majority of achievements in the field of III-nitride optoelectronics are mainly limited to polar GaN grown on c-plane (0001) sapphire. This polar orientation poses a number of fundamental issues, such as reduced quantum efficiency, efficiency droop, green and yellow gap in wavelength coverage, etc. To date, it is still a great challenge to develop longer wavelength devices such as green and yellow emitters. One clear way forward would be to grow III-nitride device structures along a semi-/non-polar direction, in particular, a semi-polar orientation, which potentially leads to both enhanced indium incorporation into GaN and reduced quantum confined Stark effects. This review presents recent progress on developing semi-polar GaN overgrowth technologies on sapphire or Si substrates, the two kinds of major substrates which are cost-effective and thus industry-compatible, and also demonstrates the latest achievements on electrically injected InGaN emitters with long emission wavelengths up to and including amber on overgrown semi-polar GaN. Finally, this review presents a summary and outlook on further developments for semi-polar GaN based optoelectronics.

  14. Enhanced piezoelectric output of NiO/nanoporous GaN by suppression of internal carrier screening

    Science.gov (United States)

    Waseem, Aadil; Jeong, Dae Kyung; Johar, Muhammad Ali; Kang, Jin-Ho; Ha, Jun-Seok; Key Lee, June; Ryu, Sang-Wan

    2018-06-01

    The efficiency of piezoelectric nanogenerators (PNGs) significantly depends on the free carrier concentration of semiconductors. In the presence of a mechanical stress, piezoelectric charges are generated at both ends of the PNG, which are rapidly screened by the free carriers. The screening effect rapidly decreases the piezoelectric output within fractions of a second. In this study, the piezoelectric outputs of bulk- and nanoporous GaN-based heterojunction PNGs are compared. GaN thin films were epitaxially grown on sapphire substrates using metal organic chemical vapor deposition. Nanoporous GaN was fabricated using electrochemical etching, depleted of free carriers owing to the surface Fermi-level pinning. A highly resistive NiO thin film was deposited on bulk- and nanoporous GaN using radio frequency magnetron sputter. The NiO/nanoporous GaN PNG (NPNG) under a periodic compressive stress of 4 MPa exhibited an output voltage and current of 0.32 V and 1.48 μA cm‑2, respectively. The output voltage and current of the NiO/thin film-GaN PNG (TPNG) were three and five times smaller than those of the NPNG, respectively. Therefore, the high-resistivity of NiO and nanoporous GaN depleted by the Fermi-level pinning are advantageous and provide a better piezoelectric performance of the NPNG, compared with that of the TPNG.

  15. Engineering and Scaling the Spontaneous Magnetization Reversal of Faraday Induced Magnetic Relaxation in Nano-Sized Amorphous Ni Coated on Crystalline Au.

    Science.gov (United States)

    Li, Wen-Hsien; Lee, Chi-Hung; Kuo, Chen-Chen

    2016-05-28

    We report on the generation of large inverse remanent magnetizations in nano-sized core/shell structure of Au/Ni by turning off the applied magnetic field. The remanent magnetization is very sensitive to the field reduction rate as well as to the thermal and field processes before the switching off of the magnetic field. Spontaneous reversal in direction and increase in magnitude of the remanent magnetization in subsequent relaxations over time were found. All of the various types of temporal relaxation curves of the remanent magnetizations are successfully scaled by a stretched exponential decay profile, characterized by two pairs of relaxation times and dynamic exponents. The relaxation time is used to describe the reduction rate, while the dynamic exponent describes the dynamical slowing down of the relaxation through time evolution. The key to these effects is to have the induced eddy current running beneath the amorphous Ni shells through Faraday induction.

  16. Vapor-solid-solid growth mechanism driven by an epitaxial match between solid Au Zn alloy catalyst particle and Zn O nano wire at low temperature

    International Nuclear Information System (INIS)

    Campos, Leonardo C.; Tonezzer, Matteo; Ferlauto, Andre S.; Magalhaes-Paniago, Rogerio; Oliveira, Sergio; Ladeira, Luiz O.; Lacerda, Rodrigo G.

    2008-01-01

    Nowadays, the growth of nano materials, like nano wires and nano tubes, is one of the key research areas of nano technology. However, a full picture of the growth mechanism of these quasi-one dimensional systems still needs to be achieved if these materials are to be applied electronics, biology and medicinal fields. Nevertheless, in spite of considerable advances on the growth of numerous nano wires, a clear understanding of the growth mechanism is still controversial and highly discussed. The present work provides a comprehensive picture of the precise mechanism of Zn O vapor-solid-solid (VSS) nano wire growth at low temperatures and gives the fundamental reasons responsible. We demonstrate by using a combination of synchrotron XRD and high resolution TEM that the growth dynamics at low temperatures is not governed by the well-known vapor-liquid solid (VLS) mechanisms. A critical new insight on the driving factor of VSS growth is proposed in which the VSS process occurs by a solid diffusion mechanism that is driven by a preferential oxidation process of the Zn inside the alloy catalyst induced by an epitaxial match between the Zn O(10-10) plane and the γ-Au Zn(222) plane. We believe that these results are not only important for the understanding of Zn O nano wire growth but could also have significant impact on the understanding of growth mechanisms of other nano wire systems. (author)

  17. Basic Equations for the Modeling of Gallium Nitride (gan) High Electron Mobility Transistors (hemts)

    Science.gov (United States)

    Freeman, Jon C.

    2003-01-01

    Gallium nitride (GaN) is a most promising wide band-gap semiconductor for use in high-power microwave devices. It has functioned at 320 C, and higher values are well within theoretical limits. By combining four devices, 20 W has been developed at X-band. GaN High Electron Mobility Transistors (HEMTs) are unique in that the two-dimensional electron gas (2DEG) is supported not by intentional doping, but instead by polarization charge developed at the interface between the bulk GaN region and the AlGaN epitaxial layer. The polarization charge is composed of two parts: spontaneous and piezoelectric. This behavior is unlike other semiconductors, and for that reason, no commercially available modeling software exists. The theme of this document is to develop a self-consistent approach to developing the pertinent equations to be solved. A Space Act Agreement, "Effects in AlGaN/GaN HEMT Semiconductors" with Silvaco Data Systems to implement this approach into their existing software for III-V semiconductors, is in place (summer of 2002).

  18. MOVPE growth of violet GaN LEDs on β-Ga2O3 substrates

    Science.gov (United States)

    Li, Ding; Hoffmann, Veit; Richter, Eberhard; Tessaro, Thomas; Galazka, Zbigniew; Weyers, Markus; Tränkle, Günther

    2017-11-01

    We report that a H2-free atmosphere is essential for the initial stage of metalorganic vapour phase epitaxy (MOVPE) growth of GaN on β-Ga2O3 to prevent the surface from damage. A simple growth method is proposed that can easily transfer established GaN growth recipes from sapphire to β-Ga2O3 with both (-2 0 1) and (1 0 0) orientations. This method features a thin AlN nucleation layer grown below 900 °C in N2 atmosphere to protect the surface of β-Ga2O3 from deterioration during further growth under the H2 atmosphere. Based on this, we demonstrate working violet vertical light emitting diodes (VLEDs) on n-conductive β-Ga2O3 substrates.

  19. Thermally induced magnetic relaxation in square artificial spin ice

    Science.gov (United States)

    Andersson, M. S.; Pappas, S. D.; Stopfel, H.; Östman, E.; Stein, A.; Nordblad, P.; Mathieu, R.; Hjörvarsson, B.; Kapaklis, V.

    2016-11-01

    The properties of natural and artificial assemblies of interacting elements, ranging from Quarks to Galaxies, are at the heart of Physics. The collective response and dynamics of such assemblies are dictated by the intrinsic dynamical properties of the building blocks, the nature of their interactions and topological constraints. Here we report on the relaxation dynamics of the magnetization of artificial assemblies of mesoscopic spins. In our model nano-magnetic system - square artificial spin ice - we are able to control the geometrical arrangement and interaction strength between the magnetically interacting building blocks by means of nano-lithography. Using time resolved magnetometry we show that the relaxation process can be described using the Kohlrausch law and that the extracted temperature dependent relaxation times of the assemblies follow the Vogel-Fulcher law. The results provide insight into the relaxation dynamics of mesoscopic nano-magnetic model systems, with adjustable energy and time scales, and demonstrates that these can serve as an ideal playground for the studies of collective dynamics and relaxations.

  20. Photoluminescence studies of cubic phase GaN grown by molecular beam epitaxy on (001) silicon covered with SiC layer

    International Nuclear Information System (INIS)

    Godlewski, M.; Ivanov, V.Yu.; Bergman, J.P.; Monemar, B.; Barski, A.; Langer, R.

    1997-01-01

    In this work we evaluate optical properties of cubic phase GaN epilayers grown on top of (001) silicon substrate prepared by new process. Prior to the growth Si substrate was annealed at 1300-1400 o C in propane. The so-prepared substrate is covered within a thin (∼ 4 nm) SiC wafer, which allowed a successful growth of good morphological quality cubic phase GaN epilayers. The present results confirm recent suggestion on smaller ionization energies of acceptors in cubic phase GaN epilayers. (author)

  1. Investigations of Relaxation Dynamics and Observation of Nearly Constant Loss Phenomena in PEO_2_0-LiCF_3SO_3-ZrO_2 Based Polymer Nano-Composite Electrolyte

    International Nuclear Information System (INIS)

    Dam, Tapabrata; Tripathy, Satya N.; Paluch, Marian; Jena, Sidhartha S.; Pradhan, Dillip K.

    2016-01-01

    Highlights: • Ion conduction mechanism is studied using broad band dielectric spectroscopy. • Existence and cause of Nearly Constant Loss is explored. • The crossover between UDR to NCL phenomena is investigated. • Effect of filler concentration on ion transport using scaling approach is discussed. - Abstract: The conduction mechanism of polymer nano-composite electrolytes are studied using broadband dielectric spectroscopy over a wide range of frequency and temperature. The polymer nano-composites consisting of polyethylene oxide as polymer host, lithium trifluoromethanesulfonate as salt, and nano-crystalline zirconia as filler are prepared using solution casting method. Formation of polymer salt complex and nano-composites are confirmed from x-ray diffraction studies. The electrical conductivity and relaxation phenomena of the polymer salt complex as well as the composites are studied using broadband dielectric spectroscopy. At room temperature, the dc conductivity of the polymer nano-composites are found higher by two orders of magnitude than that of corresponding polymer salt complex. Temperature dependence of dc conductivity is following Vogel-Tamman-Fulcher trend, suggesting strong coupling between ionic conductivity and segmental relaxation in polymer electrolytes. Relaxation phenomena are studied with dielectric and modulus formalism. Frequency dependent ac conductivity show universal dielectric response and nearly constant loss features at high and low temperature regions respectively. The origin of universal dielectric response and nearly constant loss are analysed and discussed using different approaches. Kramer - Krönig approach suggests the origin of nearly constant loss is due to caged ion dynamics feature.

  2. Effect of 60Co γ-irradiation on the nature of electronic transport in heavily doped n-type GaN based Schottky photodetectors

    Science.gov (United States)

    Chatterjee, Abhishek; Khamari, Shailesh K.; Porwal, S.; Kher, S.; Sharma, T. K.

    2018-04-01

    GaN Schottky photodetectors are fabricated on heavily doped n-type GaN epitaxial layers grown by the hydride vapour phase epitaxy technique. The effect of 60Co γ-radiation on the electronic transport in GaN epilayers and Schottky detectors is studied. In contrast to earlier observations, a steady rise in the carrier concentration with increasing irradiation dose is clearly seen. By considering a two layer model, the contribution of interfacial dislocations in carrier transport is isolated from that of the bulk layer for both the pristine and irradiated samples. The bulk carrier concentration is fitted by using the charge balance equation which indicates that no new electrically active defects are generated by γ-radiation even at 500 kGy dose. The irradiation induced rise in the bulk carrier concentration is attributed to the activation of native Si impurities that are already present in an electrically inert form in the pristine sample. Further, the rise in interfacial contribution in the carrier concentration is governed by the enhanced rate of formation of nitrogen vacancies by irradiation, which leads to a larger diffusion of oxygen impurities. A large value of the characteristic tunnelling energy for both the pristine and irradiated Au/Ni/GaN Schottky devices confirms that the dislocation-assisted tunnelling dominates the low temperature current transport even after irradiation. The advantage of higher displacement energy and larger bandgap of GaN as compared to GaAs is evident from the change in leakage current after irradiation. Further, a fast recovery of the photoresponse of GaN photodetectors after irradiation signifies their compatibility to operate in high radiation zones. The results presented here are found to be crucial in understanding the interaction of 60Co γ-irradiation with n+-GaN epilayers.

  3. Engineering and Scaling the Spontaneous Magnetization Reversal of Faraday Induced Magnetic Relaxation in Nano-Sized Amorphous Ni Coated on Crystalline Au

    Science.gov (United States)

    Li, Wen-Hsien; Lee, Chi-Hung; Kuo, Chen-Chen

    2016-01-01

    We report on the generation of large inverse remanent magnetizations in nano-sized core/shell structure of Au/Ni by turning off the applied magnetic field. The remanent magnetization is very sensitive to the field reduction rate as well as to the thermal and field processes before the switching off of the magnetic field. Spontaneous reversal in direction and increase in magnitude of the remanent magnetization in subsequent relaxations over time were found. All of the various types of temporal relaxation curves of the remanent magnetizations are successfully scaled by a stretched exponential decay profile, characterized by two pairs of relaxation times and dynamic exponents. The relaxation time is used to describe the reduction rate, while the dynamic exponent describes the dynamical slowing down of the relaxation through time evolution. The key to these effects is to have the induced eddy current running beneath the amorphous Ni shells through Faraday induction. PMID:28773549

  4. Misfit strain relaxation in (Ba0.60Sr0.40)TiO3 epitaxial thin films on orthorhombic NdGaO3 substrates

    Science.gov (United States)

    Simon, W. K.; Akdogan, E. K.; Safari, A.

    2006-07-01

    Strain relaxation in (Ba0.60Sr0.40)TiO3 (BST) thin films on ⟨110⟩ orthorhombic NdGaO3 substrates is investigated by x-ray diffractometry. Pole figure analysis indicates a [010]BST∥[1¯10]NGO and [001]BST∥[001]NGO in-plane and [100]BST∥[100]NGO out-of-plane epitaxial relationship. The residual strains are relaxed at h ˜200nm, and for h >600nm, films are essentially strain free. Two independent dislocations mechanisms operate to relieve the anisotropic misfit strains along the principal directions. The critical thickness for misfit dislocation formation along [001] and [010] are 11 and 15nm, respectively. Stress analysis indicates deviation from linear elasticity for h <200. The films with 10

  5. Strain in epitaxial high-index Bi{sub 2}Se{sub 3}(221) films grown by molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Li, Bin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Chen, Weiguang [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Guo, Xin; Ho, Wingkin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Dai, Xianqi [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Jia, Jinfeng [Key Laboratory of Artificial Structures and Quantum Control (Ministry of Education), Collaborative Innovation Center of Advanced Microstructures, Department of Physics and Astronomy, Shanghai Jiaotong University, 800 Dongchuan Road, Shanghai 200240 (China); Xie, Maohai, E-mail: mhxie@hku.hk [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong)

    2017-02-28

    Highlights: • High-index, off c-axis, Bi{sub 2}Se{sub 3} has been grown by molecular beam epitaxy on In{sub 2}Se{sub 3}. • A retarded strain relaxation process in such high-index Bi{sub 2}Se{sub 3} is observed, enabling experimentally probe strain effect on topological insulators. • It has been shown by calculation that the Dirac electrons participate in chemical bonding at the heterointerface. - Abstract: High-index Bi{sub 2}Se{sub 3}(221) film has been grown on In{sub 2}Se{sub 3}-buffered GaAs(001), in which a much retarded strain relaxation dynamics is recorded. The slow strain-relaxation process of in epitaxial Bi{sub 2}Se{sub 3}(221) can be attributed to the layered structure of Bi{sub 2}Se{sub 3} crystal, where the epifilm grown along [221] is like a pile of weakly-coupled quintuple layer slabs stacked side-by-side on substrate. Finally, we reveal strong chemical bonding at the interface of Bi{sub 2}Se{sub 3} and In{sub 2}Se{sub 3} by plotting differential charge contour calculated by first-principle method. This study points to the feasibility of achieving strained TIs for manipulating the properties of topological systems.

  6. Anomalously temperature-dependent thermal conductivity of monolayer GaN with large deviations from the traditional 1 /T law

    Science.gov (United States)

    Qin, Guangzhao; Qin, Zhenzhen; Wang, Huimin; Hu, Ming

    2017-05-01

    Efficient heat dissipation, which is featured by high thermal conductivity, is one of the crucial issues for the reliability and stability of nanodevices. However, due to the generally fast 1 /T decrease of thermal conductivity with temperature increase, the efficiency of heat dissipation quickly drops down at an elevated temperature caused by the increase of work load in electronic devices. To this end, pursuing semiconductor materials that possess large thermal conductivity at high temperature, i.e., slower decrease of thermal conductivity with temperature increase than the traditional κ ˜1 /T relation, is extremely important to the development of disruptive nanoelectronics. Recently, monolayer gallium nitride (GaN) with a planar honeycomb structure emerges as a promising new two-dimensional material with great potential for applications in nano- and optoelectronics. Here, we report that, despite the commonly established 1 /T relation of thermal conductivity in plenty of materials, monolayer GaN exhibits anomalous behavior that the thermal conductivity almost decreases linearly over a wide temperature range above 300 K, deviating largely from the traditional κ ˜1 /T law. The thermal conductivity at high temperature is much larger than the expected thermal conductivity that follows the general κ ˜1 /T trend, which would be beneficial for applications of monolayer GaN in nano- and optoelectronics in terms of efficient heat dissipation. We perform detailed analysis on the mechanisms underlying the anomalously temperature-dependent thermal conductivity of monolayer GaN in the framework of Boltzmann transport theory and further get insight from the view of electronic structure. Beyond that, we also propose two required conditions for materials that would exhibit similar anomalous temperature dependence of thermal conductivity: large difference in atom mass (huge phonon band gap) and electronegativity (LO-TO splitting due to strong polarization of bond). Our

  7. Epitaxial Sb-doped SnO_2 and Sn-doped In_2O_3 transparent conducting oxide contacts on GaN-based light emitting diodes

    International Nuclear Information System (INIS)

    Tsai, Min-Ying; Bierwagen, Oliver; Speck, James S.

    2016-01-01

    We demonstrate the growth of epitaxial (100)-oriented, rutile Sb-doped SnO_2 (ATO) and (111)-oriented, cubic Sn-doped In_2O_3 (ITO) transparent conducting oxide (TCO) contacts on top of an InGaN/GaN(0001) light emitting diode (LED) by plasma-assisted molecular beam epitaxy (PAMBE). Both oxides form rotational domains. The in-plane epitaxial alignment of the two ITO(111) rotational domains to the GaN(0001) was: GaN [21-10]|| ITO_D_o_m_a_i_n_1[‐ 211]|| ITO_D_o_m_a_i_n_2[‐ 1‐12]. A growth temperature as low as 600 °C was necessary to realize a low contact resistance between ATO and the top p-GaN layer of the LED but resulted in non-optimal resistivity (3.4 × 10"− "3 Ω cm) of the ATO. The current–voltage characteristics of a processed LED, however, were comparable to that of a reference LED with a standard electron-beam evaporated ITO top contact. At short wavelengths, the optical absorption of ATO was lower than that of ITO, which is beneficial even for blue LEDs. Higher PAMBE growth temperatures resulted in lower resistive ATO but higher contact resistance to the GaN, likely by the formation of an insulating Ga_2O_3 interface layer. The ITO contact grown by PAMBE at 600 °C showed extremely low resistivity (10"−"4 Ω cm) and high crystalline and morphological quality. These proof-of-principle results may lead to the development of epitaxial TCO contacts with low resistivity, well-defined interfaces to the p-GaN to help minimize contact losses, and enable further epitaxy on top of the TCO. - Highlights: • Plasma-assisted molecular beam epitaxy of SnO_2:Sb (ATO) and In_2O_3:Sn (ITO) contacts • Working light emitting diodes processed with the ATO contact on the top p-GaN layer • Low growth temperature ensures low contact resistance (limiting interface reaction). • ITO showed significantly better structural and transport properties than ATO. • ATO showed higher optical transmission at short wavelengths than ITO.

  8. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures.

    Science.gov (United States)

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-11-13

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices.

  9. Epitaxial hexagonal materials on IBAD-textured substrates

    Science.gov (United States)

    Matias, Vladimir; Yung, Christopher

    2017-08-15

    A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substrates to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.

  10. Cu-doped AlN: A possible spinaligner at room-temperature grown by molecular beam epitaxy?

    Science.gov (United States)

    Ganz, P. R.; Schaadt, D. M.

    2011-12-01

    Cu-doped AlN was prepared by plasma assisted molecular beam epitaxy on C-plane sapphire substrates. The growth conditions were investigated for different Cu to Al flux ratios from 1.0% to 4.0%. The formation of Cu-Al alloys on the surface was observed for all doping level. In contrast to Cu-doped GaN, all samples showed diamagnetic behavior determined by SQUID measurements.

  11. Interface bond relaxation on the thermal conductivity of Si/Ge core-shell nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Weifeng; He, Yan; Ouyang, Gang, E-mail: gangouy@hunnu.edu.cn [Key Laboratory of Low-Dimensional Quantum Structures and Quantum Control of Ministry of Education, Synergetic Innovation Center for Quantum Effects and Applications(SICQEA), Hunan Normal University, Changsha 410081 (China); Sun, Changqing [School of Electrical & Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore)

    2016-01-15

    The thermal conductivity of Si/Ge core-shell nanowires (CSNWs) is investigated on the basis of atomic-bond-relaxation consideration and continuum mechanics. An analytical model is developed to clarify the interface bond relaxation of Si/Ge CSNWs. It is found that the thermal conductivity of Si core can be modulated through covering with Ge epitaxial layers. The change of thermal conductivity in Si/Ge CSNWs should be attributed to the surface relaxation and interface mismatch between inner Si nanowire and outer Ge epitaxial layer. Our results are in well agreement with the experimental measurements and simulations, suggesting that the presented method provides a fundamental insight of the thermal conductivity of CSNWs from the atomistic origin.

  12. Microstructure of laterally overgrown GaN layers

    International Nuclear Information System (INIS)

    Liliental-Weber, Z.; Cherns, David

    2001-01-01

    Transmission electron microscopy study of plan-view and cross-section samples of epitaxial laterally overgrown (ELOG) GaN samples is described. Two types of dislocation with the same type of Burgers vector but different line direction have been observed. It is shown that threading edge dislocations bend to form dislocation segments in the c plane as a result of shear stresses developed in the wing material along the stripe direction. It is shown that migration of these dislocations involves both glide and climb. Propagation of threading parts over the wing area is an indication of high density of point defects present in the wing areas on the ELOG samples. This finding might shed light on the optical properties of such samples. [copyright] 2001 American Institute of Physics

  13. High Temperature Terahertz Detectors Realized by a GaN High Electron Mobility Transistor

    Science.gov (United States)

    Hou, H. W.; Liu, Z.; Teng, J. H.; Palacios, T.; Chua, S. J.

    2017-04-01

    In this work, a high temperature THz detector based on a GaN high electron mobility transistor (HEMT) with nano antenna structures was fabricated and demonstrated to be able to work up to 200 °C. The THz responsivity and noise equivalent power (NEP) of the device were characterized at 0.14 THz radiation over a wide temperature range from room temperature to 200 °C. A high responsivity Rv of 15.5 and 2.7 kV/W and a low NEP of 0.58 and 10 pW/Hz0.5 were obtained at room temperature and 200 °C, respectively. The advantages of the GaN HEMT over other types of field effect transistors for high temperature terahertz detection are discussed. The physical mechanisms responsible for the temperature dependence of the responsivity and NEP of the GaN HEMT are also analyzed thoroughly.

  14. High optical and structural quality of GaN epilayers grown on ( 2¯01) β-Ga2O3

    KAUST Repository

    Mumthaz Muhammed, Mufasila; Peres, M.; Yamashita, Y.; Morishima, Y.; Sato, S.; Franco, N.; Lorenz, K.; Kuramata, A.; Roqan, Iman S.

    2014-01-01

    Producing highly efficient GaN-based optoelectronic devices has been a challenge for a long time due to the large lattice mismatch between III-nitride materials and the most common substrates, which causes a high density of threading dislocations. Therefore, it is essential to obtain alternative substrates with small lattice mismatches, appropriate structural, thermal and electrical properties, and a competitive price. Our results show that (2̄01) oriented β-Ga2O3 has the potential to be used as a transparent and conductive substrate for GaN-growth. Photoluminescence spectra of thick GaN layers grown on (2̄01) oriented β-Ga 2O3 are found to be dominated by intense bandedge emission. Atomic force microscopy studies show a modest threading dislocation density of ∼108cm-2. X-ray diffraction studies show the high quality of the single-phase wurtzite GaN thin film on (2̄01) β-Ga2O3 with in-plane epitaxial orientation relationships between the β-Ga2O3 and the GaN thin film defined by (010) β-Ga2O3 || (112̄0) GaN and (2̄01) β-Ga2O3 || (0001) GaN leading to a lattice mismatch of ∼4.7%. Complementary Raman spectroscopy indicates that the quality of the GaN epilayer is high. © 2014 AIP Publishing LLC.

  15. High optical and structural quality of GaN epilayers grown on ( 2¯01) β-Ga2O3

    KAUST Repository

    Mumthaz Muhammed, Mufasila

    2014-07-28

    Producing highly efficient GaN-based optoelectronic devices has been a challenge for a long time due to the large lattice mismatch between III-nitride materials and the most common substrates, which causes a high density of threading dislocations. Therefore, it is essential to obtain alternative substrates with small lattice mismatches, appropriate structural, thermal and electrical properties, and a competitive price. Our results show that (2̄01) oriented β-Ga2O3 has the potential to be used as a transparent and conductive substrate for GaN-growth. Photoluminescence spectra of thick GaN layers grown on (2̄01) oriented β-Ga 2O3 are found to be dominated by intense bandedge emission. Atomic force microscopy studies show a modest threading dislocation density of ∼108cm-2. X-ray diffraction studies show the high quality of the single-phase wurtzite GaN thin film on (2̄01) β-Ga2O3 with in-plane epitaxial orientation relationships between the β-Ga2O3 and the GaN thin film defined by (010) β-Ga2O3 || (112̄0) GaN and (2̄01) β-Ga2O3 || (0001) GaN leading to a lattice mismatch of ∼4.7%. Complementary Raman spectroscopy indicates that the quality of the GaN epilayer is high. © 2014 AIP Publishing LLC.

  16. ac Conductivity analysis and dielectric relaxation behaviour of NaNO3-Al2O3 composites

    International Nuclear Information System (INIS)

    Anantha, P.S.; Hariharan, K.

    2005-01-01

    The electrical conductivity of NaNO 3 -xAl 2 O 3 composites has been studied over the wide range of temperature and frequency by means of impedance spectroscopy. The real part of the frequency dependent conductivity exhibits a simple power law feature and the dimensionless frequency exponent n has been determined. The conductivity spectra show scaling behaviour when the conductivity spectra are scaled by σ dc T, where T is temperature in Kelvin. The real part of dielectric permittivity shows saturation at higher frequencies and a strong dispersion at lower frequencies. The imaginary part of permittivity varies inversely with frequency, due to the presence of dc conductivity. The frequency dependent plots of M' and Z' show that the conductivity relaxation is non-Debye in nature. The Kohlrausch-Williams-Watts stretched exponential function was used to describe the modulus spectra and the stretching exponent β is found to be temperature independent. The conductivity relaxation time has been estimated from the modulus spectra. The activation energy responsible for relaxation has been evaluated and it was found to be almost same as that of dc conductivity

  17. Capacitor-less memory cell fabricated on nano-scale strained Si on a relaxed SiGe layer-on-insulator

    International Nuclear Information System (INIS)

    Kim, Tae-Hyun; Park, Jea-Gun

    2013-01-01

    We investigated the combined effect of the strained Si channel and hole confinement on the memory margin enhancement for a capacitor-less memory cell fabricated on nano-scale strained Si on a relaxed SiGe layer-on-insulator (ε-Si SGOI). The memory margin for the ε-Si SGOI capacitor-less memory cell was higher than that of the memory cell fabricated on an unstrained Si-on-insulator (SOI) and increased with increasing Ge concentration of the relaxed SiGe layer; i.e. the memory margin for the ε-Si SGOI capacitor-less memory cell (138.6 µA) at a 32 at% Ge concentration was 3.3 times higher than the SOI capacitor-less memory cell (43 µA). (paper)

  18. GaN transistors on Si for switching and high-frequency applications

    Science.gov (United States)

    Ueda, Tetsuzo; Ishida, Masahiro; Tanaka, Tsuyoshi; Ueda, Daisuke

    2014-10-01

    In this paper, recent advances of GaN transistors on Si for switching and high-frequency applications are reviewed. Novel epitaxial structures including superlattice interlayers grown by metal organic chemical vapor deposition (MOCVD) relieve the strain and eliminate the cracks in the GaN over large-diameter Si substrates up to 8 in. As a new device structure for high-power switching application, Gate Injection Transistors (GITs) with a p-AlGaN gate over an AlGaN/GaN heterostructure successfully achieve normally-off operations maintaining high drain currents and low on-state resistances. Note that the GITs on Si are free from current collapse up to 600 V, by which the drain current would be markedly reduced after the application of high drain voltages. Highly efficient operations of an inverter and DC-DC converters are presented as promising applications of GITs for power switching. The high efficiencies in an inverter, a resonant LLC converter, and a point-of-load (POL) converter demonstrate the superior potential of the GaN transistors on Si. As for high-frequency transistors, AlGaN/GaN heterojuction field-effect transistors (HFETs) on Si designed specifically for microwave and millimeter-wave frequencies demonstrate a sufficiently high output power at these frequencies. Output powers of 203 W at 2.5 GHz and 10.7 W at 26.5 GHz are achieved by the fabricated GaN transistors. These devices for switching and high-frequency applications are very promising as future energy-efficient electronics because of their inherent low fabrication cost and superior device performance.

  19. Electronic structure analysis of GaN films grown on r- and a-plane sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Monu; Krishna TC, Shibin; Aggarwal, Neha [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Vihari, Saket [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Gupta, Govind, E-mail: govind@nplindia.org [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India)

    2015-10-05

    Graphical abstract: Substrate orientation induced changes in surface chemistry, band bending, hybridization states, electronic properties and surface morphology of epitaxially grown GaN were investigated via photoemission spectroscopic and Atomic Force Microscopic measurements. - Highlights: • Electronic structure and surface properties of GaN film grown on r/a-plane sapphire. • Downward band bending (0.5 eV) and high surface oxide is observed for GaN/a-sapphire. • Electron affinity and ionization energy is found to be higher for GaN/a-sapphire. - Abstract: The electronic structure and surface properties of epitaxial GaN films grown on r- and a-plane sapphire substrates were probed via spectroscopic and microscopic measurements. X-ray photoemission spectroscopic (XPS) measurements were performed to analyse the surface chemistry, band bending and valence band hybridization states. It was observed that GaN/a-sapphire display a downward band bending of 0.5 eV and possess higher amount of surface oxide compared to GaN/r-sapphire. The valence band (VB) investigation revealed that the hybridization corresponds to the interactions of Ga 4s and Ga 4p orbitals with N 2p orbital, and result in N2p–Ga4p, N2p–Ga4s{sup ∗}, mixed and N2p–Ga4s states. The energy band structure and electronic properties were measured via ultraviolet photoemission spectroscopic (UPS) experiments. The band structure analysis and electronic properties calculations divulged that the electron affinity and ionization energy of GaN/a-sapphire were 0.3 eV higher than GaN/r-sapphire film. Atomic Force Microscopic (AFM) measurements revealed faceted morphology of GaN/r-sapphire while a smooth pitted surface was observed for GaN/a-sapphire film, which is closely related to surface oxide coverage.

  20. GaN Bulk Growth and Epitaxy from Ca-Ga-N Solutions, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — The innovations proposed here are Ka-band (38 GHz) group III-nitride power FETs and the dislocation density reducing epitaxial growth methods (LPE) needed for their...

  1. Stress engineering in GaN structures grown on Si(111) substrates by SiN masking layer application

    Energy Technology Data Exchange (ETDEWEB)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bogdan; Paszkiewicz, Regina [The Faculty of Microsystem Electronics and Photonics, Wrocaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw (Poland); Drzik, Milan [International Laser Center, Ilkovicova 3, 841-04 Bratislava 4 (Slovakia)

    2015-07-15

    GaN layers without and with an in-situ SiN mask were grown by using metal organic vapor phase epitaxy for three different approaches used in GaN on silicon(111) growth, and the physical and optical properties of the GaN layers were studied. For each approach applied, GaN layers of 1.4 μm total thickness were grown, using silan SiH{sub 4} as Si source in order to grow Si{sub x}N{sub x} masking layer. The optical micrographs, scanning electron microscope images, and atomic force microscope images of the grown samples revealed cracks for samples without SiN mask, and micropits, which were characteristic for the samples grown with SiN mask. In situ reflectance signal traces were studied showing a decrease of layer coalescence time and higher degree of 3D growth mode for samples with SiN masking layer. Stress measurements were conducted by two methods—by recording micro-Raman spectra and ex-situ curvature radius measurement—additionally PLs spectra were obtained revealing blueshift of PL peak positions with increasing stress. The authors have shown that a SiN mask significantly improves physical and optical properties of GaN multilayer systems reducing stress in comparison to samples grown applying the same approaches but without SiN masking layer.

  2. Fabrications and application of single crystalline GaN for high-performance deep UV photodetectors

    Energy Technology Data Exchange (ETDEWEB)

    Velazquez, R.; Rivera, M.; Feng, P., E-mail: p.feng@upr.edu [Department of Physics, College of Natural Sciences, University of Puerto Rico, San Juan, 00936-8377, PR/USA (Puerto Rico); Aldalbahi, A. [Department of Chemistry, College of Science, King Saud University, Riyadh 11451 (Saudi Arabia)

    2016-08-15

    High-quality single crystalline Gallium Nitride (GaN) semiconductor has been synthesized using molecule beam epitaxy (MBE) technique for development of high-performance deep ultraviolet (UV) photodetectors. Thickness of the films was estimated by using surface profile meter and scanning electron microscope. Electronic states and elemental composition of the films were obtained using Raman scattering spectroscopy. The orientation, crystal structure and phase purity of the films were examined using a Siemens x-ray diffractometer radiation. The surface microstructure was studied using high resolution scanning electron microscopy (SEM). Two types of metal pairs: Al-Al, Al-Cu or Cu-Cu were used for interdigital electrodes on GaN film in order to examine the Schottky properties of the GaN based photodetector. The characterizations of the fabricated prototype include the stability, responsivity, response and recovery times. Typical time dependent photoresponsivity by switching different UV light source on and off five times for each 240 seconds at a bias of 2V, respectively, have been obtained. The detector appears to be highly sensitive to various UV wavelengths of light with very stable baseline and repeatability. The obtained photoresponsivity was up to 354 mA/W at the bias 2V. Higher photoresponsivity could be obtained if higher bias was applied but it would unavoidably result in a higher dark current. Thermal effect on the fabricated GaN based prototype was discussed.

  3. Anomalous misfit strain relaxation in ultrathin YBa2Cu3O7-δ epitaxial films

    International Nuclear Information System (INIS)

    Kamigaki, K.; Terauchi, H.; Terashima, T.; Bando, Y.; Iijima, K.; Yamamoto, K.; Hirata, K.; Hayashi, K.; Nakagawa, I.; Tomii, Y.

    1991-01-01

    Ultrathin YBa 2 Cu 3 O 7-δ epitaxial films were successfully grown in situ on (001) SrTiO 3 and MgO substrates by means of ozone-incorporating activated reactive evaporation. The x-ray-diffraction study was carefully examined to determine the structural properties of the grown films. Excellent crystallinity with no interfacial disorders was revealed by the appearance of the Laue oscillations. It was found that in a well lattice-matched YBa 2 Cu 3 O 7-δ /SrTiO 3 system, the crystallinity was deteriorated due to defect introduction at the critical layer thickness h c ( ∼ 130 A). Interestingly, also in a poorly lattice-matched YBa 2 Cu 3 O 7-δ /MgO system, excellent crystallinity was revealed even at above h c ( 2 Cu 3 O 7-δ /MgO system. In such a system, no crystal imperfection of the MgO substrate caused by defect introduction was elucidated by the grazing incidence x-ray scattering, which indicated that the MgO substrate did not contribute to the anomalous misfit relaxation. The anomalous growth manner was also found in YBa 2 Cu 3 O 7-δ /MgO according to surface morphology investigations. Below 40 A( > h c ), island nucleation growth was found. Above 40 A, it was observed that an atomically smooth surface was obtained and the crystallinity was simultaneously improved. It is suggested that YBa 2 Cu 3 O 7-δ possesses an anomalous misfit relaxation mechanism, and that especially in the growth on MgO, it couples with the characteristic growth behavior at the initial stage

  4. Effect of the out-of-plane stress on the properties of epitaxial SrTiO3 films with nano-pillar array on Si-substrate

    Science.gov (United States)

    Bai, Gang; Xie, Qiyun; Liu, Zhiguo; Wu, Dongmei

    2015-08-01

    A nonlinear thermodynamic formalism has been proposed to calculate the physical properties of the epitaxial SrTiO3 films containing vertical nano-pillar array on Si-substrate. The out-of-plane stress induced by the mismatch between film and nano-pillars provides an effective way to tune the physical properties of ferroelectric SrTiO3 films. Tensile out-of-plane stress raises the phase transition temperature and increases the out-of-plane polarization, but decreases the out-of-plane dielectric constant below Curie temperature, pyroelectric coefficient, and piezoelectric coefficient. These results showed that by properly controlling the out-of-plane stress, the out-of-plane stress induced paraelectric-ferroelectric phase transformation will appear near room temperature. Excellent dielectric, pyroelectric, piezoelectric properties of these SrTiO3 films similar to PZT and other lead-based ferroelectrics can be expected.

  5. Exciton Emission from Bare and Alq3/Gold Coated GaN Nanorods

    Science.gov (United States)

    Mohammadi, Fatemesadat; Kuhnert, Gerd; Hommel, Detlef; Schmitzer, Heidrun; Wagner, Hans-Peter

    We study the excitonic and impurity related emission in bare and aluminum quinoline (Alq3)/gold coated wurtzite GaN nanorods by temperature-dependent time-integrated (TI) and time-resolved (TR) photoluminescence (PL). The GaN nanorods were grown by molecular beam epitaxy. Alq3 as well as Alq3/gold covered nanorods were synthesized by organic molecular beam deposition. In the near-band edge region a donor-bound-exciton (D0X) emission is observed at 3.473 eV. Another emission band at 3.275 eV reveals LO-phonon replica and is attributed to a donor-acceptor-pair (DAP) luminescence. TR PL traces at 20 K show a nearly biexponential decay for the D0X with lifetimes of approximately 180 and 800 ps for both bare and Alq3 coated nanorods. In GaN nanorods which were coated with an Alq3 film and subsequently with a 10 nm thick gold layer we observe a PL quenching of D0X and DAP band and the lifetimes of the D0X transition shorten. The quenching behaviour is partially attributed to the energy-transfer from free excitons and donor-bound-excitons to plasmon oscillations in the gold layer.

  6. Increased p-type conductivity through use of an indium surfactant in the growth of Mg-doped GaN

    Science.gov (United States)

    Kyle, Erin C. H.; Kaun, Stephen W.; Young, Erin C.; Speck, James S.

    2015-06-01

    We have examined the effect of an indium surfactant on the growth of p-type GaN by ammonia-based molecular beam epitaxy. p-type GaN was grown at temperatures ranging from 700 to 780 °C with and without an indium surfactant. The Mg concentration in all films in this study was 4.5-6 × 1019 cm-3 as measured by secondary ion mass spectroscopy. All p-type GaN films grown with an indium surfactant had higher p-type conductivities and higher hole concentrations than similar films grown without an indium surfactant. The lowest p-type GaN room temperature resistivity was 0.59 Ω-cm, and the highest room temperature carrier concentration was 1.6 × 1018 cm-3. Fits of the temperature-dependent carrier concentration data showed a one to two order of magnitude lower unintentional compensating defect concentration in samples grown with the indium surfactant. Samples grown at higher temperature had a lower active acceptor concentration. Improvements in band-edge luminescence were seen by cathodoluminescence for samples grown with the indium surfactant, confirming the trends seen in the Hall data.

  7. Dependence of N-polar GaN rod morphology on growth parameters during selective area growth by MOVPE

    Science.gov (United States)

    Li, Shunfeng; Wang, Xue; Mohajerani, Matin Sadat; Fündling, Sönke; Erenburg, Milena; Wei, Jiandong; Wehmann, Hergo-Heinrich; Waag, Andreas; Mandl, Martin; Bergbauer, Werner; Strassburg, Martin

    2013-02-01

    Selective area growth of GaN rods by metalorganic vapor phase epitaxy has attracted great interest due to its novel applications in optoelectronic and photonics. In this work, we will present the dependence of GaN rod morphology on various growth parameters i.e. growth temperature, H2/N2 carrier gas concentration, V/III ratio, total carrier gas flow and reactor pressure. It is found that higher growth temperature helps to increase the aspect ratio of the rods, but reduces the height homogeneity. Furthermore, H2/N2 carrier gas concentration is found to be a critical factor to obtain vertical rod growth. Pure nitrogen carrier gas leads to irregular growth of GaN structure, while an increase of hydrogen carrier gas results in vertical GaN rod growth. Higher hydrogen carrier gas concentration also reduces the diameter and enhances the aspect of the GaN rods. Besides, increase of V/III ratio causes reduction of the aspect ratio of N-polar GaN rods, which could be explained by the relatively lower growth rate on (000-1) N-polar top surface when supplying more ammonia. In addition, an increase of the total carrier gas flow leads to a decrease in the diameter and the average volume of GaN rods. These phenomena are tentatively explained by the change of partial pressure of the source materials and boundary layer thickness in the reactor. Finally, it is shown that the average volume of the N-polar GaN rods keeps a similar value for a reactor pressure PR of 66 and 125 mbar, while an incomplete filling of the pattern opening is observed with PR of 250 mbar. Room temperature photoluminescence spectrum of the rods is also briefly discussed.

  8. In-situ transport and microstructural evolution in GaN Schottky diodes and epilayers exposed to swift heavy ion irradiation

    Science.gov (United States)

    Kumar, Ashish; Singh, R.; Kumar, Parmod; Singh, Udai B.; Asokan, K.; Karaseov, Platon A.; Titov, Andrei I.; Kanjilal, D.

    2018-04-01

    A systematic investigation of radiation hardness of Schottky barrier diodes and GaN epitaxial layers is carried out by employing in-situ electrical resistivity and cross sectional transmission electron microscopy (XTEM) microstructure measurements. The change in the current transport mechanism of Au/n-GaN Schottky barrier diodes due to irradiation is reported. The role of irradiation temperature and ion type was also investigated. Creation of damage is studied in low and medium electron energy loss regimes by selecting different ions, Ag (200 MeV) and O (100 MeV) at various fluences at two irradiation temperatures (80 K and 300 K). GaN resistivity increases up to 6 orders of magnitude under heavy Ag ions. Light O ion irradiation has a much lower influence on sheet resistance. The presence of isolated defect clusters in irradiated GaN epilayers is evident in XTEM investigation which is explained on the basis of the thermal spike model.

  9. Integration of epitaxial Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} films on GaN/AlGaN/GaN/Si(111) substrates using rutile TiO{sub 2} buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    Elibol, K. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); Nguyen, M.D. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); SolMateS B.V., Drienerlolaan 5, Building 6, 7522NB Enschede (Netherlands); International Training Institute for Materials Science, Hanoi University of Science and Technology, No.1 Dai Co Viet road, Hanoi 10000 (Viet Nam); Hueting, R.J.E. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); Gravesteijn, D.J. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); NXP Semiconductors Research, High Tech Campus 46, 5656AE Eindhoven (Netherlands); Koster, G., E-mail: g.koster@utwente.nl [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); Rijnders, G. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands)

    2015-09-30

    The integration of ferroelectric layers on gallium nitride (GaN) offers a great potential for various applications. Lead zirconate titanate (PZT), in particular Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3}, is an interesting candidate. For that a suitable buffer layer should be grown on GaN in order to prevent the reaction between PZT and GaN, and to obtain PZT with a preferred orientation and phase. Here, we study pulsed laser deposited (100) rutile titanium oxide (R-TiO{sub 2}) as a potential buffer layer candidate for ferroelectric PZT. For this purpose, the growth, morphology and the surface chemical composition of R-TiO{sub 2} films were analyzed by reflection high-energy electron diffraction, atomic force microscopy, X-ray diffraction, and X-ray photoelectron spectroscopy. We find optimally (100) oriented R-TiO{sub 2} growth on GaN (0002) using a 675 °C growth temperature and 2 Pa O{sub 2} deposition pressure as process conditions. More importantly, the R-TiO{sub 2} buffer layer grown on GaN/Si substrates prevents the unwanted formation of the PZT pyrochlore phase. Finally, the remnant polarization and coercive voltage of the PZT film on TiO{sub 2}/GaN/Si with an interdigitated-electrode structure were found to be 25.6 μC/cm{sup 2} and 8.1 V, respectively. - Highlights: • Epitaxial rutile TiO{sub 2} films were grown on GaN layer buffered Si substrate using pulsed laser deposition. • The rutile-TiO{sub 2} layer suppresses the formation of the pyrochlore phase in the epitaxial PZT film grown on GaN/Si. • An epitaxial PZT film on GaN/Si substrate with rutile TiO{sub 2} buffer layer exhibits good ferroelectric properties.

  10. Anisotropic strain relaxation in (Ba0.6Sr0.4)TiO3 epitaxial thin films

    Science.gov (United States)

    Simon, W. K.; Akdogan, E. K.; Safari, A.

    2005-05-01

    We have studied the evolution of anisotropic epitaxial strains in ⟨110⟩-oriented (Ba0.60Sr0.40)TiO3 paraelectric (m3m) thin films grown on orthorhombic (mm2) ⟨100⟩-oriented NdGaO3 by high-resolution x-ray diffractometry. All the six independent components of the three-dimensional strain tensor were measured in films with 25-1200-nm thickness, from which the principal stresses and strains were obtained. Pole figure analysis indicated that the epitaxial relations are [001]m3m‖[001]mm2 and [1¯10]m3m‖[010]mm2 in the plane of the film, and [110]m3m‖[100]mm2 along the growth direction. The dislocation system responsible for strain relief along [001] has been determined to be ∣b ∣(001)=3/4∣b∣. Strain relief along the [1¯10] direction, on the other hand, has been determined to be due to a coupled mechanism given by ∣b∣(1¯10)=∣b∣ and ∣b∣(1¯10)=√3 /4∣b∣. Critical thicknesses, as determined from nonlinear regression using the Matthews-Blakeslee equation, for misfit dislocation formation along [001] and [1¯10] direction were found to be 5 and 7 nm, respectively. The residual strain energy density was calculated as ˜2.9×106J/m3 at 25 nm, which was found to relax an order of magnitude by 200 nm. At 200 nm, the linear dislocation density along [001] and [1¯10] are ˜6.5×105 and ˜6×105cm-1, respectively. For films thicker than 600 nm, additional strain relief occurred through surface undulations, indicating that this secondary strain-relief mechanism is a volume effect that sets in upon cooling from the growth temperature.

  11. Self-assembled growth and structural analysis of inclined GaN nanorods on nanoimprinted m-sapphire using catalyst-free metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Kyuseung; Chae, Sooryong; Jang, Jongjin; Min, Daehong; Kim, Jaehwan; Nam, Okhyun, E-mail: ohnam@kpu.ac.kr [Convergence Center for Advanced Nano Semiconductor (CANS), Department of Nano-Optical Engineering, Korea Polytechnic University, Siheung, 15073 (Korea, Republic of)

    2016-04-15

    In this study, self-assembled inclined (1-10-3)-oriented GaN nanorods (NRs) were grown on nanoimprinted (10-10) m-sapphire substrates using catalyst-free metal-organic chemical vapor deposition. According to X-ray phi-scans, the inclined GaN NRs were tilted at an angle of ∼57.5° to the [10-10]{sub sapp} direction. Specifically, the GaN NRs grew in a single inclined direction to the [11-20]{sub sapp}. Uni-directionally inclined NRs were formed through the one-sided (10-11)-faceted growth of the interfacial a-GaN plane layer. It was confirmed that a thin layer of a-GaN was formed on r-facet nanogrooves of the m-sapphire substrate by nitridation. The interfacial a-GaN nucleation affected both the inclined angle and the growth direction of the inclined GaN NRs. Using X-ray diffraction and selective area electron diffraction, the epitaxial relationship between the inclined (1-10-3) GaN NRs and interfacial a-GaN layer on m-sapphire substrates was systematically investigated. Moreover, the inclined GaN NRs were observed to be mostly free of stacking fault-related defects using high-resolution transmission electron microscopy.

  12. Epitaxial Sb-doped SnO{sub 2} and Sn-doped In{sub 2}O{sub 3} transparent conducting oxide contacts on GaN-based light emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Tsai, Min-Ying [Department of Electrical and Computer Engineering, University of California, Santa Barbara, CA 93106 (United States); Bierwagen, Oliver, E-mail: bierwagen@pdi-berlin.de [Materials Department, University of California, Santa Barbara, CA 93106 (United States); Paul-Drude-Insitut für Festkörperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany); Speck, James S. [Materials Department, University of California, Santa Barbara, CA 93106 (United States)

    2016-04-30

    We demonstrate the growth of epitaxial (100)-oriented, rutile Sb-doped SnO{sub 2} (ATO) and (111)-oriented, cubic Sn-doped In{sub 2}O{sub 3} (ITO) transparent conducting oxide (TCO) contacts on top of an InGaN/GaN(0001) light emitting diode (LED) by plasma-assisted molecular beam epitaxy (PAMBE). Both oxides form rotational domains. The in-plane epitaxial alignment of the two ITO(111) rotational domains to the GaN(0001) was: GaN [21-10]|| ITO{sub Domain1}[‐ 211]|| ITO{sub Domain2}[‐ 1‐12]. A growth temperature as low as 600 °C was necessary to realize a low contact resistance between ATO and the top p-GaN layer of the LED but resulted in non-optimal resistivity (3.4 × 10{sup −} {sup 3} Ω cm) of the ATO. The current–voltage characteristics of a processed LED, however, were comparable to that of a reference LED with a standard electron-beam evaporated ITO top contact. At short wavelengths, the optical absorption of ATO was lower than that of ITO, which is beneficial even for blue LEDs. Higher PAMBE growth temperatures resulted in lower resistive ATO but higher contact resistance to the GaN, likely by the formation of an insulating Ga{sub 2}O{sub 3} interface layer. The ITO contact grown by PAMBE at 600 °C showed extremely low resistivity (10{sup −4} Ω cm) and high crystalline and morphological quality. These proof-of-principle results may lead to the development of epitaxial TCO contacts with low resistivity, well-defined interfaces to the p-GaN to help minimize contact losses, and enable further epitaxy on top of the TCO. - Highlights: • Plasma-assisted molecular beam epitaxy of SnO{sub 2}:Sb (ATO) and In{sub 2}O{sub 3}:Sn (ITO) contacts • Working light emitting diodes processed with the ATO contact on the top p-GaN layer • Low growth temperature ensures low contact resistance (limiting interface reaction). • ITO showed significantly better structural and transport properties than ATO. • ATO showed higher optical transmission at short

  13. Epitaxial patterning of thin-films: conventional lithographies and beyond

    International Nuclear Information System (INIS)

    Zhang, Wei; Krishnan, Kannan M

    2014-01-01

    Thin-film based novel magnetic and electronic devices have entered a new era in which the film crystallography, structural coherence, and epitaxy play important roles in determining their functional properties. The capabilities of controlling such structural and functional properties are being continuously developed by various physical deposition technologies. Epitaxial patterning strategies further allow the miniaturization of such novel devices, which incorporates thin-film components into nanoscale architectures while keeping their functional properties unmodified from their ideal single-crystal values. In the past decade, epitaxial patterning methods on the laboratory scale have been reported to meet distinct scientific inquires, in which the techniques and processes used differ from one to the other. In this review we summarize many of these pioneering endeavors in epitaxial patterning of thin-film devices that use both conventional and novel lithography techniques. These methods demonstrate epitaxial patterning for a broad range of materials (metals, oxides, and semiconductors) and cover common device length scales from micrometer to sub-hundred nanometer. Whilst we have been motivated by magnetic materials and devices, we present our outlook on developing systematic-strategies for epitaxial patterning of functional materials which will pave the road for the design, discovery and industrialization of next-generation advanced magnetic and electronic nano-devices. (topical review)

  14. Counting Tm dopant atoms around GaN dots using high-angle annular dark field images

    International Nuclear Information System (INIS)

    Rouvière, J-L; Okuno, H; Jouneau, P H; Bayle-Guillemaud, P; Daudin, B

    2011-01-01

    High resolution Z-contrast STEM imaging is used to study the Tm doping of GaN quantum dots grown in AlN by molecular beam epitaxy (MBE). High-angle annular dark field (HAADF) imaging allows us to visualize directly individual Tm atoms in the AlN matrix and even to count the number of Tm atoms in a given AlN atomic column. A new visibility coefficient to determine quantitatively the number of Tm atoms in a given atomic column is introduced. It is based on locally integrated intensities rather than on peak intensities of HAADF images. STEM image simulations shows that this new visibility is less sensitive to the defocus-induced blurring or to the position of the Tm atom within the thin lamella. Most of the Tm atoms diffuse out of GaN dots. Tm atoms are found at different positions in the AlN matrix, (i) Above the wetting layer, Tm atoms are spread within a thickness of 14 AlN monolayers (MLs). (ii) Above the quantum dots all the Tm are located in the same plane situated at 2-3 MLs above the apex of the GaN dot, i.e. at a distance of 14 MLs from the wetting layer, (iii) In addition, Tm can diffuse very far from the GaN dot by following threading dislocations lines.

  15. Epitaxy of Polar Oxides and Semiconductors

    Science.gov (United States)

    Shelton, Christopher Tyrel

    Integrating polar oxide materials with wide-bandgap nitride semiconductors offers the possibility of a tunable 2D carrier gas (2DCG) - provided defect densities are low and interfaces are abrupt. This dissertation investigates a portion of the synthesis science necessary to produce a "semiconductor-grade" interface between these highly dissimilar materials. A significant portion of this work is aligned with efforts to engineer a step-free GaN substrate to produce single in-plane oriented rocksalt oxide films. Initially, we explore the homoepitaxial MOCVD growth conditions necessary to produce highquality GaN films on ammonothermally grown substrates. Ammono substrates are only recently available for purchase and are the market leader in low-dislocation density material. Their novelty requires development of an understanding of morphology trade-offs in processing space. This includes preservation of the epi-polished surface in aggressive MOCVD environments and an understanding of the kinetic barriers affecting growth morphologies. Based on several factors, it was determined that GaN exhibits an 'uphill' diffusion bias that may likely be ascribed to a positive Ehrlich-Schwoebel (ES) barrier. This barrier should have a stabilizing effect against step-bunching but, for many growth conditions, regular step bunching was observed. One possible explanation for the step-bunching instability is the presence of impurities. Experimentally, conditions which incorporate more carbon into GaN homoepitaxial layers are correlated with step-bunching while conditions that suppress carbon produce bilayer stepped morphologies. These observations lead us to the conclusion that GaN homoepitaxial morphology is a competition between impurity induced step-bunching and a stabilizing diffusion bias due to a positive ES barrier. Application of the aforementioned homoepitaxial growth techniques to discrete substrate regions using selected- and confined area epitaxy (SAE,CAE) produces some

  16. Spin Relaxation in III-V Semiconductors in various systems: Contribution of Electron-Electron Interaction

    Science.gov (United States)

    Dogan, Fatih; Kesserwan, Hasan; Manchon, Aurelien

    2015-03-01

    In spintronics, most of the phenomena that we are interested happen at very fast time scales and are rich in structure in time domain. Our understanding, on the other hand, is mostly based on energy domain calculations. Many of the theoretical tools use approximations and simplifications that can be perceived as oversimplifications. We compare the structure, material, carrier density and temperature dependence of spin relaxation time in n-doped III-V semiconductors using Elliot-Yafet (EY) and D'yakanov-Perel'(DP) with real time analysis using kinetic spin Bloch equations (KSBE). The EY and DP theories fail to capture details as the system investigated is varied. KSBE, on the other hand, incorporates all relaxation sources as well as electron-electron interaction which modifies the spin relaxation time in a non-linear way. Since el-el interaction is very fast (~ fs) and spin-conserving, it is usually ignored in the analysis of spin relaxation. Our results indicate that electron-electron interaction cannot be neglected and its interplay with the other (spin and momentum) relaxation mechanisms (electron-impurity and electron-phonon scattering) dramatically alters the resulting spin dynamics. We use each interaction explicitly to investigate how, in the presence of others, each relaxation source behaves. We use GaAs and GaN for zinc-blend structure, and GaN and AlN for the wurtzite structure.

  17. Multilayer porous structures of HVPE and MOCVD grown GaN for photonic applications

    Science.gov (United States)

    Braniste, T.; Ciers, Joachim; Monaico, Ed.; Martin, D.; Carlin, J.-F.; Ursaki, V. V.; Sergentu, V. V.; Tiginyanu, I. M.; Grandjean, N.

    2017-02-01

    In this paper we report on a comparative study of electrochemical processes for the preparation of multilayer porous structures in hydride vapor phase epitaxy (HVPE) and metal organic chemical vapor phase deposition (MOCVD) grown GaN. It was found that in HVPE-grown GaN, multilayer porous structures are obtained due to self-organization processes leading to a fine modulation of doping during the crystal growth. However, these processes are not totally under control. Multilayer porous structures with a controlled design have been produced by optimizing the technological process of electrochemical etching in MOCVD-grown samples, consisting of five pairs of thin layers with alternating-doping profiles. The samples have been characterized by SEM imaging, photoluminescence spectroscopy, and micro-reflectivity measurements, accompanied by transfer matrix analysis and simulations by a method developed for the calculation of optical reflection spectra. We demonstrate the applicability of the produced structures for the design of Bragg reflectors.

  18. Influence of strain relaxation on the optical properties of InGaN/GaN multiple quantum well nanorods

    International Nuclear Information System (INIS)

    Wang, Q; Bai, J; Gong, Y P; Wang, T

    2011-01-01

    Optical investigation has been carried out on InGaN/GaN nanorod structures with different indium compositions, fabricated from InGaN/GaN multiple quantum well (MQW) epitaxial wafers using a self-organized nickel nano-mask and subsequent dry etching techniques. In comparison with the as-grown InGaN/GaN MQWs, the internal quantum efficiencies of the nanorods are significantly improved, in particular, for the green InGaN/GaN nanorods with a high indium composition, the internal quantum efficiency is enhanced by a factor of 8, much larger than the enhancement factor of 3.4 for the blue InGaN/GaN nanorods. X-ray reciprocal space mapping (RSM) measurements have been performed in order to quantitatively evaluate the stain relaxation in the nanorods, demonstrating that the majority of strain in InGaN/GaN MQWs can be relaxed as a result of fabrication into nanorods. The excitation-power-dependent photoluminescence measurements have also clearly shown that a significant reduction in the strain-induced quantum confined stark effect has occurred to the nanorod structures.

  19. Growth and characterization of Ge nanostructures selectively grown on patterned Si

    International Nuclear Information System (INIS)

    Cheng, M.H.; Ni, W.X.; Luo, G.L.; Huang, S.C.; Chang, J.J.; Lee, C.Y.

    2008-01-01

    By utilizing different distribution of strain fields around the edges of oxide, which are dominated by a series of sizes of oxide-patterned windows, long-range ordered self-assembly Ge nanostructures, such as nano-rings, nano-disks and nano-dots, were selectively grown by ultra high vacuum chemical vapor deposition (UHV-CVD) on Si (001) substrates. High-resolution double-crystal symmetrical ω/2θ scans and two-dimensional reciprocal space mapping (2D-RSM) technologies employing the triple axis X-ray diffractometry have been used to evaluate the quality and strain status of as-deposited as well as in-situ annealed Ge nanostructures. Furthermore, we also compare the quality and strain status of Ge epilayers grown on planar unpatterned Si substrates. It was found that the quality of all Ge epitaxial structures is improved after in-situ annealing process and the quality of Ge nano-disk structures is better than that of Ge epilayers on planar unpatterned Si substrates, because oxide sidewalls are effective dislocation sinks. We also noted that the degree of relaxation for as-deposited Ge epilayers on planar unpatterned Si substrates is less than that for as-deposited Ge nano-disk structures. After in-situ annealing process, all Ge epitaxial structures are almost at full relaxation whatever Ge epitaxial structures grew on patterned or unpatterned Si substrates

  20. The MOVPE growth mechanism of catalyst-free self-organized GaN columns in H2 and N2 carrier gases

    Science.gov (United States)

    Wang, Xue; Jahn, Uwe; Ledig, Johannes; Wehmann, Hergo-H.; Mandl, Martin; Straßburg, Martin; Waag, Andreas

    2013-12-01

    Columnar structures of III-V semiconductors recently attract considerable attention because of their potential applications in novel optoelectronic and electronic devices. In the present study, the mechanisms for the growth of catalyst-free self-organized GaN columns on sapphire substrate by metal organic vapor phase epitaxy have been thoroughly investigated. The growth behaviours are strongly affected by the choice of carrier gas. If pure nitrogen is used, Ga droplets are able to accumulate on the top of columns during growth, and they are converted into a high quality GaN layer during the cool down phase due to nitridation. Hydrogen as the carrier gas can improve the optical quality of the overall GaN columns substantially, and in addition increase the vertical growth rate. In this case, no indication of Ga droplets could be detected. Furthermore, silane doping during the growth promotes the vertical growth in both cases either pure nitrogen or pure hydrogen as the carrier gas.

  1. 3C-SiC nanocrystal growth on 10° miscut Si(001) surface

    Energy Technology Data Exchange (ETDEWEB)

    Deokar, Geetanjali, E-mail: gitudeo@gmail.com [INSP, UPMC, CNRS UMR 7588, 4 place Jussieu, Paris F-75005 (France); D' Angelo, Marie; Demaille, Dominique [INSP, UPMC, CNRS UMR 7588, 4 place Jussieu, Paris F-75005 (France); Cavellin, Catherine Deville [INSP, UPMC, CNRS UMR 7588, 4 place Jussieu, Paris F-75005 (France); Faculté des Sciences et Technologie UPEC, 61 av. De Gaulle, Créteil F-94010 (France)

    2014-04-01

    The growth of 3C-SiC nano-crystal (NC) on 10° miscut Si(001) substrate by CO{sub 2} thermal treatment is investigated by scanning and high resolution transmission electron microscopies. The vicinal Si(001) surface was thermally oxidized prior to the annealing at 1100 °C under CO{sub 2} atmosphere. The influence of the atomic steps at the vicinal SiO{sub 2}/Si interface on the SiC NC growth is studied by comparison with the results obtained for fundamental Si(001) substrates in the same conditions. For Si miscut substrate, a substantial enhancement in the density of the SiC NCs and a tendency of preferential alignment of them along the atomic step edges is observed. The SiC/Si interface is abrupt, without any steps and epitaxial growth with full relaxation of 3C-SiC occurs by domain matching epitaxy. The CO{sub 2} pressure and annealing time effect on NC growth is analyzed. The as-prepared SiC NCs can be engineered further for potential application in optoelectronic devices and/or as a seed for homoepitaxial SiC or heteroepitaxial GaN film growth. - Highlights: • Synthesis of 3C-SiC nanocrystals epitaxied on miscut-Si using a simple technique • Evidence of domain matching epitaxy at the SiC/Si interface • SiC growth proceeds along the (001) plane of host Si. • Substantial enhancement of the SiC nanocrystal density due to the miscut • Effect of the process parameters (CO{sub 2} pressure and annealing duration)

  2. InN/GaN short-period superlattices as ordered InGaN ternary alloys

    International Nuclear Information System (INIS)

    Kusakabe, Kazuhide; Imai, Daichi; Wang, Ke; Yoshikawa, Akihiko

    2016-01-01

    Coherent (InN) 1 /(GaN) n short-period superlattices (SPSs) were successfully grown through dynamic atomic layer epitaxy (D-ALEp) mode by RF-plasma molecular beam epitaxy (MBE), where GaN layer thicknesses n were thinned down to 4 monolayer (ML). After this achievement, we demonstrated quasi-ternary InGaN behavior in their photoluminescence (PL) spectra for the first time. It was found interestingly that GaN layer thickness of n = 4 ML was the criterion both for structural control and continuum-band formation. Although highly lattice-mismatched InN/GaN interfaces easily introduce relaxation in (InN) 1 /(GaN) 4 SPSs during growth depending on the dynamic surface stoichiometry condition, this problem was overcome by precise control/removal of fluid-like residual In/Ga metals on the growth front with in-situ monitoring method. The (InN) 1 /(GaN) n SPSs with n ≥ 7 ML showed a constant PL peak energy around 3.2 eV at 12 K, reflecting discrete electron/hole wavefunctions. On the other hand, the (InN) 1 /(GaN) 4 SPSs indicated the red-shifted PL peak at 2.93 eV at 12 K, which was attributed to the continuum-band state with increasing in the overlap of electrons/hole wavefunctions. This result is concluded that the (InN) 1 /(GaN) 4 SPSs can be considered as ordered InGaN alloys. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Epitaxial hexagonal materials on IBAD-textured substrates

    Energy Technology Data Exchange (ETDEWEB)

    Matias, Vladimir; Yung, Christopher

    2017-08-15

    A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a <111> oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substrates to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.

  4. Physical origin of the incubation time of self-induced GaN nanowires

    International Nuclear Information System (INIS)

    Consonni, V.; Trampert, A.; Geelhaar, L.; Riechert, H.

    2011-01-01

    The nucleation process of self-induced GaN nanowires grown by molecular beam epitaxy has been investigated by reflection high-energy electron diffraction measurements. It is found that stable nuclei in the form of spherical cap-shaped islands develop only after an incubation time that is strongly dependent upon the growth conditions. Its evolution with the growth temperature and gallium rate has been described within standard island nucleation theory, revealing a nucleation energy of 4.9 ± 0.1 eV and a very small nucleus critical size. The consideration of the incubation time is critical for the control of the nanowire morphology.

  5. Transport and optical properties of c-axis oriented wedge shaped GaN nanowall network grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Bhasker, H. P.; Dhar, S. [Department of Physics, Indian Institute of Technology Bombay, Powai, Mumbai, Maharashtra-400076 (India); Thakur, Varun; Kesaria, Manoj; Shivaprasad, S. M. [Jawaharlal Nehru Centre for Advanced Scientific Research (JNCASR) Bangalore- 560064 (India)

    2014-02-21

    The transport and optical properties of wedge-shaped nanowall network of GaN grown spontaneously on cplane sapphire substrate by Plasma-Assisted Molecular Beam Epitaxy (PAMBE) show interesting behavior. The electron mobility at room temperature in these samples is found to be orders of magnitude higher than that of a continuous film. Our study reveals a strong correlation between the mobility and the band gap in these nanowall network samples. However, it is seen that when the thickness of the tips of the walls increases to an extent such that more than 70% of the film area is covered, it behaves close to a flat sample. In the sample with lower surface coverage (≈40% and ≈60%), it was observed that the conductivity, mobility as well as the band gap increase with the decrease in the average tip width of the walls. Photoluminescence (PL) experiments show a strong and broad band edge emission with a large (as high as ≈ 90 meV) blue shift, compared to that of a continuous film, suggesting a confinement of carriers on the top edges of the nanowalls. The PL peak width remains wide at all temperatures suggesting the existence of a high density of tail states at the band edge, which is further supported by the photoconductivity result. The high conductivity and mobility observed in these samples is believed to be due to a “dissipation less” transport of carriers, which are localized at the top edges (edge states) of the nanowalls.

  6. Transport and optical properties of c-axis oriented wedge shaped GaN nanowall network grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Bhasker, H. P.; Dhar, S.; Thakur, Varun; Kesaria, Manoj; Shivaprasad, S. M.

    2014-01-01

    The transport and optical properties of wedge-shaped nanowall network of GaN grown spontaneously on cplane sapphire substrate by Plasma-Assisted Molecular Beam Epitaxy (PAMBE) show interesting behavior. The electron mobility at room temperature in these samples is found to be orders of magnitude higher than that of a continuous film. Our study reveals a strong correlation between the mobility and the band gap in these nanowall network samples. However, it is seen that when the thickness of the tips of the walls increases to an extent such that more than 70% of the film area is covered, it behaves close to a flat sample. In the sample with lower surface coverage (≈40% and ≈60%), it was observed that the conductivity, mobility as well as the band gap increase with the decrease in the average tip width of the walls. Photoluminescence (PL) experiments show a strong and broad band edge emission with a large (as high as ≈ 90 meV) blue shift, compared to that of a continuous film, suggesting a confinement of carriers on the top edges of the nanowalls. The PL peak width remains wide at all temperatures suggesting the existence of a high density of tail states at the band edge, which is further supported by the photoconductivity result. The high conductivity and mobility observed in these samples is believed to be due to a “dissipation less” transport of carriers, which are localized at the top edges (edge states) of the nanowalls

  7. A Rapid Method for Deposition of Sn-Doped GaN Thin Films on Glass and Polyethylene Terephthalate Substrates

    Science.gov (United States)

    Pat, Suat; Özen, Soner; Korkmaz, Şadan

    2018-01-01

    We report the influence of Sn doping on microstructure, surface, and optical properties of GaN thin films deposited on glass and polyethylene terephthalate (PET) substrate. Sn-doped GaN thin films have been deposited by thermionic vacuum arc (TVA) at low temperature. TVA is a rapid deposition technology for thin film growth. Surface and optical properties of the thin films were presented. Grain size, height distribution, roughness values were determined. Grain sizes were calculated as 20 nm and 13 nm for glass and PET substrates, respectively. Nano crystalline forms were shown by field emission scanning electron microscopy. Optical band gap values were determined by optical methods and photoluminescence measurement. The optical band gap values of Sn doped GaN on glass and PET were determined to be approximately ˜3.40 eV and ˜3.47 eV, respectively. As a result, TVA is a rapid and low temperature deposition technology for the Sn doped GaN deposited on glass and PET substrate.

  8. Micro Raman and photoluminescence spectroscopy of nano-porous n and p type GaN/sapphire(0001).

    Science.gov (United States)

    Ingale, Alka; Pal, Suparna; Dixit, V K; Tiwari, Pragya

    2007-06-01

    Variation of depth within a single etching spot (3 mm circular diameter) was observed in nanoporous GaN epilayer obtained on photo-assisted electrochemical etching of n and p-type GaN. The different etching depth regions were studied using microRaman and PL(yellow region) for both n-type and p-type GaN. From Raman spectroscopy, we observed that increase in disorder is accompanied by stress relaxation, as depth of etching increases for n-type GaN epilayer. This is well corroborated with scanning electron microscopy results. Contrarily, for p-type GaN epilayer we found that for minimum etching depth, stress in epilayer increases with increase in disorder. This is understood with the fact that as grown p-type GaN is more disordered compared to n-type GaN due to heavy Mg doping and further disorder leads to lattice distortion leading to increase in stress.

  9. Increased p-type conductivity through use of an indium surfactant in the growth of Mg-doped GaN

    Energy Technology Data Exchange (ETDEWEB)

    Kyle, Erin C. H., E-mail: erinkyle@engineering.ucsb.edu; Kaun, Stephen W.; Young, Erin C.; Speck, James S. [Materials Department, University of California, Santa Barbara, California 93106 (United States)

    2015-06-01

    We have examined the effect of an indium surfactant on the growth of p-type GaN by ammonia-based molecular beam epitaxy. p-type GaN was grown at temperatures ranging from 700 to 780 °C with and without an indium surfactant. The Mg concentration in all films in this study was 4.5–6 × 10{sup 19} cm{sup −3} as measured by secondary ion mass spectroscopy. All p-type GaN films grown with an indium surfactant had higher p-type conductivities and higher hole concentrations than similar films grown without an indium surfactant. The lowest p-type GaN room temperature resistivity was 0.59 Ω-cm, and the highest room temperature carrier concentration was 1.6 × 10{sup 18} cm{sup −3}. Fits of the temperature-dependent carrier concentration data showed a one to two order of magnitude lower unintentional compensating defect concentration in samples grown with the indium surfactant. Samples grown at higher temperature had a lower active acceptor concentration. Improvements in band-edge luminescence were seen by cathodoluminescence for samples grown with the indium surfactant, confirming the trends seen in the Hall data.

  10. Investigation of microstructure and morphology for the Ge on porous silicon/Si substrate hetero-structure obtained by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gouder, S.; Mahamdi, R.; Aouassa, M.; Escoubas, S.; Favre, L.; Ronda, A.; Berbezier, I.

    2014-01-01

    Thick porous silicon (PS) buffer layers are used as sacrificial layers to epitaxially grow planar and fully relaxed Ge membranes. The single crystal Ge layers have been deposited by molecular beam epitaxy (MBE) on PS substrate. During deposition, the pore network of PS layers has been filled with Ge. We investigate the structure and morphology of PS as fabricated and after annealing at various temperatures. We show that the PS crystalline lattice is distorted and expanded in the direction perpendicular to the substrate plane due to the presence of chemisorbed –OH. An annealing at high temperature (> 500 °C), greatly changes the PS morphology and structure. This change is marked by an increase of the pore diameter while the lattice parameter becomes tensily strained in the plane (compressed in the direction perpendicular). The morphology and structure of Ge layers are investigated by transmission electron microscopy, high resolution X-ray diffraction and atomic force microscopy as a function of the deposition temperature and deposited thickness. The results show that the surface roughness, level of relaxation and Si-Ge intermixing (Ge content) depend on the growth temperature and deposited thickness. Two sub-layers are distinguished: the layer incorporated inside the PS pores (high level of intermixing) and the layer on top of the PS surface (low level of intermixing). When deposited at temperature > 500 °C, the Ge layers are fully relaxed with a top Si 1−x Ge x layer x = 0.74 and a very flat surface. Such layer can serve as fully relaxed ultra-thin SiGe pseudo-substrate with high Ge content. The epitaxy of Ge on sacrificial soft PS pseudo-substrate in the experimental conditions described here provides an easy way to fabricate fully relaxed SiGe pseudo-substrates. Moreover, Ge thin films epitaxially deposited by MBE on PS could be used as relaxed pseudo-substrate in conventional microelectronic technology. - Highlights: • We have developed a rapid and low

  11. Influence of growth conditions and surface reaction byproducts on GaN grown via metal organic molecular beam epitaxy: Toward an understanding of surface reaction chemistry

    Science.gov (United States)

    Pritchett, David; Henderson, Walter; Burnham, Shawn D.; Doolittle, W. Alan

    2006-04-01

    The surface reaction byproducts during the growth of GaN films via metal organic molecular beam epitaxy (MOMBE) were investigated as a means to optimize material properties. Ethylene and ethane were identified as the dominant surface reaction hydrocarbon byproducts, averaging 27.63% and 7.15% of the total gas content present during growth. Intense ultraviolet (UV) photoexcitation during growth was found to significantly increase the abundance of ethylene and ethane while reducing the presence of H2 and N2. At 920°C, UV excitation was shown to enhance growth rate and crystalline quality while reducing carbon incorporation. Over a limited growth condition range, a 4.5×1019-3.4×1020 cm-3 variation in carbon incorporation was achieved at constant high vacuum. Coupled with growth rate gains, UV excitation yielded films with ˜58% less integrated carbon content. Structural material property variations are reported for various ammonia flows and growth temperatures. The results suggest that high carbon incorporation can be achieved and regulated during MOMBE growth and that in-situ optimization through hydrocarbon analysis may provide further enhancement in the allowable carbon concentration range.

  12. Zero lattice mismatch and twin-free single crystalline ScN buffer layers for GaN growth on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Lupina, L.; Zoellner, M. H.; Dietrich, B.; Capellini, G. [IHP, Im Technologiepark 25, 15236 Frankfurt, Oder (Germany); Niermann, T.; Lehmann, M. [Technische Universität Berlin, Institut für Optik und Atomare Physik, Straße des 17. Juni 135, 10623 Berlin (Germany); Thapa, S. B.; Haeberlen, M.; Storck, P. [SILTRONIC AG, Hanns-Seidel-Platz 4, 81737 München (Germany); Schroeder, T. [IHP, Im Technologiepark 25, 15236 Frankfurt, Oder (Germany); BTU Cottbus, Konrad-Zuse-Str. 1, 03046 Cottbus (Germany)

    2015-11-16

    We report the growth of thin ScN layers deposited by plasma-assisted molecular beam epitaxy on Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3}/Si(111) substrates. Using x-ray diffraction, Raman spectroscopy, and transmission electron microscopy, we find that ScN films grown at 600 °C are single crystalline, twin-free with rock-salt crystal structure, and exhibit a direct optical band gap of 2.2 eV. A high degree of crystalline perfection and a very good lattice matching between ScN and GaN (misfit < 0.1%) makes the ScN/Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} buffer system a very promising template for the growth of high quality GaN layers on silicon.

  13. InGaN/GaN multilayer quantum dots yellow-green light-emitting diode with optimized GaN barriers.

    Science.gov (United States)

    Lv, Wenbin; Wang, Lai; Wang, Jiaxing; Hao, Zhibiao; Luo, Yi

    2012-11-07

    InGaN/GaN multilayer quantum dot (QD) structure is a potential type of active regions for yellow-green light-emitting diodes (LEDs). The surface morphologies and crystalline quality of GaN barriers are critical to the uniformity of InGaN QD layers. While GaN barriers were grown in multi-QD layers, we used improved growth parameters by increasing the growth temperature and switching the carrier gas from N2 to H2 in the metal organic vapor phase epitaxy. As a result, a 10-layer InGaN/GaN QD LED is demonstrated successfully. The transmission electron microscopy image shows the uniform multilayer InGaN QDs clearly. As the injection current increases from 5 to 50 mA, the electroluminescence peak wavelength shifts from 574 to 537 nm.

  14. III-nitride integration on ferroelectric materials of lithium niobate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Namkoong, Gon; Lee, Kyoung-Keun; Madison, Shannon M.; Henderson, Walter; Ralph, Stephen E.; Doolittle, W. Alan

    2005-01-01

    Integration of III-nitride electrical devices on the ferroelectric material lithium niobate (LiNbO 3 ) has been demonstrated. As a ferroelectric material, lithium niobate has a polarization which may provide excellent control of the polarity of III-nitrides. However, while high temperature, 1000 deg. C, thermal treatments produce atomically smooth surfaces, improving adhesion of GaN epitaxial layers on lithium niobate, repolarization of the substrate in local domains occurs. These effects result in multi domains of mixed polarization in LiNbO 3 , producing inversion domains in subsequent GaN epilayers. However, it is found that AlN buffer layers suppress inversion domains of III-nitrides. Therefore, two-dimensional electron gases in AlGaN/GaN heterojunction structures are obtained. Herein, the demonstration of the monolithic integration of high power devices with ferroelectric materials presents possibilities to control LiNbO 3 modulators on compact optoelectronic/electronic chips

  15. Effect of the out-of-plane stress on the properties of epitaxial SrTiO{sub 3} films with nano-pillar array on Si-substrate

    Energy Technology Data Exchange (ETDEWEB)

    Bai, Gang, E-mail: baigang@njupt.edu.cn [Jiangsu Provincial Engineering Laboratory for RF Integration and Micropackaging and College of Electronic Science and Engineering, Nanjing University of Posts and Telecommunications, Nanjing 210023 (China); Laboratory of Solid State Microstructures, Nanjing University, Nanjing 210093 (China); Xie, Qiyun [Jiangsu Provincial Engineering Laboratory for RF Integration and Micropackaging and College of Electronic Science and Engineering, Nanjing University of Posts and Telecommunications, Nanjing 210023 (China); Liu, Zhiguo [Laboratory of Solid State Microstructures, Nanjing University, Nanjing 210093 (China); Wu, Dongmei [School of Automation, Nanjing University of Posts and Telecommunications, Nanjing 210023 (China)

    2015-08-21

    A nonlinear thermodynamic formalism has been proposed to calculate the physical properties of the epitaxial SrTiO{sub 3} films containing vertical nano-pillar array on Si-substrate. The out-of-plane stress induced by the mismatch between film and nano-pillars provides an effective way to tune the physical properties of ferroelectric SrTiO{sub 3} films. Tensile out-of-plane stress raises the phase transition temperature and increases the out-of-plane polarization, but decreases the out-of-plane dielectric constant below Curie temperature, pyroelectric coefficient, and piezoelectric coefficient. These results showed that by properly controlling the out-of-plane stress, the out-of-plane stress induced paraelectric-ferroelectric phase transformation will appear near room temperature. Excellent dielectric, pyroelectric, piezoelectric properties of these SrTiO{sub 3} films similar to PZT and other lead-based ferroelectrics can be expected.

  16. Closed-loop MBE growth of droplet-free GaN with very metal rich conditions using Metal Modulated Epitaxy with Mg and In

    Energy Technology Data Exchange (ETDEWEB)

    Burnham, S.D.; Henderson, W.; Doolittle, W.A. [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States)

    2008-07-01

    Improvements to the Metal Modulated Epitaxy (MME) technique are achieved through computer controlled shutter transitions based on feedback from RHEED transients (S. D. Burnham and W. A. Doolittle, J. Vac. Sci. Technol. B 24, 2100 (2006)), thus creating a closed-loop control system for nitride MBE, the first of its kind. A high-sensitivity 22 bit camera is used to determine when RHEED transients have subsided, upon which a shutter transition is initiated allowing the efficient buildup and depletion of the metal bilayer, which improves surface morphology and growth rate compared to the standard MME technique. RMS surface roughness was reduced by 41% by using this 'Smart Shuttering' improvement to MME. A substantially higher peak concentration of Mg, approaching 2% atomic concentration, was achieved using the MME technique. As expected, a negligible amount of In was incorporated into the very Ga-rich films. Using the new closed loop control system developed for MBE, the surface morphology was improved for GaN films while highly repeatable holes concentrations as high as 4.7 x 10{sup 18} cm{sup -3} with 1.07 cm{sup 2}/V-sec mobility was obtained. This approach offers great promise for improved reproducibility and improved material quality. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Micro and nano-structured green gallium indium nitride/gallium nitride light-emitting diodes

    Science.gov (United States)

    Stark, Christoph J. M.

    Light-emitting diodes (LEDs) are commonly designed and studied based on bulk material properties. In this thesis different approaches based on patterns in the nano and micrometer length scale range are used to tackle low efficiency in the green spectral region, which is known as “green gap”. Since light generation and extraction are governed by microscopic processes, it is instructive to study LEDs with lateral mesa sizes scaled to the nanometer range. Besides the well-known case of the quantum size effect along the growth direction, a continuous lateral scaling could reveal the mechanisms behind the purported absence of a green gap in nanowire LEDs and the role of their extraction enhancement. Furthermore the possibility to modulate strain and piezoelectric polarization by post growth patterning is of practical interest, because the internal electric fields in conventional wurtzite GaN LEDs cause performance problems. A possible alternative is cubic phase GaN, which is free of built-in polarization fields. LEDs on cubic GaN could show the link between strong polarization fields and efficiency roll-off at high current densities, also known as droop. An additional problem for all nitride-based LEDs is efficient light extraction. For a planar GaN LED only roughly 8% of the generated light can be extracted. Novel lightextraction structures with extraction-favoring geometry can yield significant increase in light output power. To investigate the effect of scaling the mesa dimension, micro and nano-sized LED arrays of variable structure size were fabricated. The nano-LEDs were patterned by electron beam lithography and dry etching. They contained up to 100 parallel nano-stripe LEDs connected to one common contact area. The mesa width was varied over 1 μm, 200 nm, and 50 nm. These LEDs were characterized electrically and optically, and the peak emission wavelength was found to depend on the lateral structure size. An electroluminescence (EL) wavelength shift of 3 nm

  18. Formation of gallium nitride templates and freestanding substrates by hydride vapor phase epitaxy for homoepitaxial growth of III-nitride devices

    Science.gov (United States)

    Williams, Adrian Daniel

    Gallium nitride (GaN) is a direct wide band gap semiconductor currently under heavy development worldwide due to interest in its applications in ultra-violet optoelectronics, power electronics, devices operating in harsh environments (high temperature or corrorsive), etc. While a number of devices have been demonstrated with this material and its related alloys, the unavailability of GaN substrates is seen as one of the current major bottlenecks to both material quality and device performance. This dissertation is concerned with the synthesis of high quality GaN substrates by the hydride vapor phase epitaxy method (HVPE). In this work, the flow of growth precursors in a home-built HVPE reactor was modeled by the Navier-Stokes equation and solved by finite element analysis to promote uniformity of GaN on 2'' sapphire substrates. Kinetics of growth was studied and various regimes of growth were identified to establish a methodology for HVPE GaN growth, independent of reactor geometry. GaN templates as well as bulk substrates were fabricated in this work. Realization of freestanding GaN substrates was achieved through discovery of a natural stress-induced method of separating bulk GaN from sapphire via mechanical failure of a low-temperature GaN buffer layer. Such a process eliminates the need for pre- or post-processing of sapphire substrates, as is currently the standard. Stress in GaN-on-sapphire is discussed, with the dominant contributor identified as thermal stress due to thermal expansion coefficient mismatch between the two materials. This thermal stress is analyzed using Stoney's equation and conditions for crack-free growth of thick GaN substrates were identified. An etch-back process for planarizing GaN templates was also developed and successfully applied to rough GaN templates. The planarization of GaN has been mainly addressed by chemo-mechanical polishing (CMP) methods in the literature, with notable shortcomings including the inability to effectively

  19. MOVPE growth of position-controlled InGaN / GaN core-shell nanorods

    Energy Technology Data Exchange (ETDEWEB)

    Mandl, Martin [Osram Opto Semiconductors GmbH, Regensburg (Germany); Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Schimpke, Tilman; Binder, Michael; Galler, Bastian; Lugauer, Hans-Juergen; Strassburg, Martin [Osram Opto Semiconductors GmbH, Regensburg (Germany); Wang, Xue; Ledig, Johannes; Ehrenburg, Milena; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Kong, Xiang; Trampert, Achim [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2013-07-01

    Core-shell group III-nitride nano- and microrods (NAMs) enable a significant increase of the active layer area by exploiting the non-polar side facets (m-planes) and thus can potentially contribute to mitigating the so-called efficiency droop in LEDs. GaN NAMs exhibiting high aspect ratios were grown in a production-type MOVPE system. Low V/III ratio, hydrogen-rich carrier gas mixture and surfactants supported the 3D growth of the pencil-shape n-type GaN core. Desired narrow distributions of shape, diameter and height were achieved. The arrangement of the NAMs was controlled by patterns etched into SiO{sub 2} masks deposited on GaN templates. The active layer (InGaN/GaN SQW and MQWs) and the layer for the p-side were deposited with 2D-like conditions wrapped around the core. The crystalline quality of the NAMs, shell growth rates and the Indium distribution were investigated by high resolution transmission electron microscopy. Furthermore, optical emission was studied using density-dependent photoluminescence spectroscopy.

  20. Linearly polarized photoluminescence of anisotropically strained c-plane GaN layers on stripe-shaped cavity-engineered sapphire substrate

    Science.gov (United States)

    Kim, Jongmyeong; Moon, Daeyoung; Lee, Seungmin; Lee, Donghyun; Yang, Duyoung; Jang, Jeonghwan; Park, Yongjo; Yoon, Euijoon

    2018-05-01

    Anisotropic in-plane strain and resultant linearly polarized photoluminescence (PL) of c-plane GaN layers were realized by using a stripe-shaped cavity-engineered sapphire substrate (SCES). High resolution X-ray reciprocal space mapping measurements revealed that the GaN layers on the SCES were under significant anisotropic in-plane strain of -0.0140% and -0.1351% along the directions perpendicular and parallel to the stripe pattern, respectively. The anisotropic in-plane strain in the GaN layers was attributed to the anisotropic strain relaxation due to the anisotropic arrangement of cavity-incorporated membranes. Linearly polarized PL behavior such as the observed angle-dependent shift in PL peak position and intensity comparable with the calculated value based on k.p perturbation theory. It was found that the polarized PL behavior was attributed to the modification of valence band structures induced by anisotropic in-plane strain in the GaN layers on the SCES.

  1. Identification of yellow luminescence centers in Be-doped GaN through pressure-dependent studies

    Science.gov (United States)

    Teisseyre, Henryk; Lyons, John L.; Kaminska, Agata; Jankowski, Dawid; Jarosz, Dawid; Boćkowski, Michał; Suchocki, Andrzej; Van de Walle, Chris G.

    2017-06-01

    Effective acceptor doping of wide-band-gap semiconductors is still an outstanding problem. Beryllium has been suggested as a shallow acceptor in GaN, but despite sporadic announcements, Be-induced p-type doping has never been practically realized. Be-doped GaN possesses two luminescence bands; one at 3.38 eV and a second near 2.2 eV at an energy close to that of the parasitic yellow luminescence often found in undoped GaN crystals. We have performed high hydrostatic pressure studies of bulk, Be-doped gallium nitride crystals using the diamond anvil cell technique. We observed a splitting of the yellow luminescence line under hydrostatic pressure into two components, one which is strongly dependent on applied pressure and another whose pressure dependence is more modest. Together with hybrid functional calculations, we attribute the strongly-varying component to the beryllium-oxygen complex. The second component of the yellow luminescence possesses very similar pressure behavior to the yellow luminescence observed in undoped samples grown by the same method, behavior which we find consistent with the CN acceptor. At higher pressure, we observe the vanishing of yellow luminescence and a rapid increase in luminescence intensity of the UV line. We explain this as the pressure-induced transformation of the Be-O complex from a highly localized state with large lattice relaxation to a delocalized state with limited lattice relaxation.

  2. Lateral polarity control of III-nitride thin film and application in GaN Schottky barrier diode

    Science.gov (United States)

    Li, Junmei; Guo, Wei; Sheikhi, Moheb; Li, Hongwei; Bo, Baoxue; Ye, Jichun

    2018-05-01

    N-polar and III-polar GaN and AlN epitaxial thin films grown side by side on single sapphire substrate was reported. Surface morphology, wet etching susceptibility and bi-axial strain conditions were investigated and the polarity control scheme was utilized in the fabrication of Schottky barrier diode where ohmic contact and Schottky contact were deposited on N-polar domains and Ga-polar domains, respectively. The influence of N-polarity on on-state resistivity and I–V characteristic was discussed, demonstrating that lateral polarity structure of GaN and AlN can be widely used in new designs of optoelectronic and electronic devices. Project partially supported by the National Key Research and Development Program of China (No. 2016YFB0400802), the National Natural Science Foundation of China (No. 61704176), and the Open project of Zhejiang Key Laboratory for Advanced Microelectronic Intelligent Systems and Applications (No. ZJUAMIS1704).

  3. Crystallization engineering as a route to epitaxial strain control

    Directory of Open Access Journals (Sweden)

    Andrew R. Akbashev

    2015-10-01

    Full Text Available The controlled synthesis of epitaxial thin films offers opportunities for tuning their functional properties via enabling or suppressing strain relaxation. Examining differences in the epitaxial crystallization of amorphous oxide films, we report on an alternate, low-temperature route for strain engineering. Thin films of amorphous Bi–Fe–O were grown on (001SrTiO3 and (001LaAlO3 substrates via atomic layer deposition. In situ X-ray diffraction and X-ray photoelectron spectroscopy studies of the crystallization of the amorphous films into the epitaxial (001BiFeO3 phase reveal distinct evolution profiles of crystallinity with temperature. While growth on (001SrTiO3 results in a coherently strained film, the same films obtained on (001LaAlO3 showed an unstrained, dislocation-rich interface, with an even lower temperature onset of the perovskite phase crystallization than in the case of (001SrTiO3. Our results demonstrate how the strain control in an epitaxial film can be accomplished via its crystallization from the amorphous state.

  4. Growth of InGaN multiple quantum wells and GaN eplilayer on GaN substrate

    International Nuclear Information System (INIS)

    Lee, Sung-Nam; Paek, H.S.; Son, J.K.; Sakong, T.; Yoon, E.; Nam, O.H.; Park, Y.

    2006-01-01

    We investigated that the surface morphology of GaN epilayers was significantly affected by the surface tilt orientation of GaN substrate. Surface morphologies of GaN epilayers on GaN substrates show three types: mirror, wavy, and hillock. These surface morphologies are dependent on the surface orientation of GaN substrates. It is found that the hillock morphology of GaN epilayer was formed on the GaN substrate with surface tilt orientation less than 0.1 o . As the surface tilt angle increased to 0.35 o , the surface morphology varied from hillock to wavy morphology. Above a surface tilt angle of 0.4 o , surface morphology changed to the mirror-like type morphology. Additionally, these three types of GaN surface morphology also affected the optical quality of GaN epilayers as well as InGaN multiple quantum wells on GaN substrates by non-uniform In incorporation on the different surface morphologies of GaN epilayers

  5. Planar Homojunction Gallium Nitride (GaN) P-i-N Device Evaluated for Betavoltaic Energy Conversion: Measurement and Analysis

    Science.gov (United States)

    2016-09-01

    REPORT DATE (DD-MM-YYYY) September 2016 2. REPORT TYPE Technical Report 3. DATES COVERED (From - To) 4. TITLE AND SUBTITLE Planar Homojunction...development of mass- production semiconductor processing methods of 4H-SiC. The ease of fabrication of thicker epitaxial layers make SiC a prime...the 0.1- and 1-nA current settings are very stable and represent the low intensity expected from radioisotope beta decay. 2.2 Planar GaN Device

  6. Ultralow nonalloyed Ohmic contact resistance to self aligned N-polar GaN high electron mobility transistors by In(Ga)N regrowth

    International Nuclear Information System (INIS)

    Dasgupta, Sansaptak; Nidhi,; Brown, David F.; Wu, Feng; Keller, Stacia; Speck, James S.; Mishra, Umesh K.

    2010-01-01

    Ultralow Ohmic contact resistance and a self-aligned device structure are necessary to reduce the effect of parasitic elements and obtain higher f t and f max in high electron mobility transistors (HEMTs). N-polar (0001) GaN HEMTs, offer a natural advantage over Ga-polar HEMTs, in terms of contact resistance since the contact is not made through a high band gap material [Al(Ga)N]. In this work, we extend the advantage by making use of polarization induced three-dimensional electron-gas through regrowth of graded InGaN and thin InN cap in the contact regions by plasma (molecular beam epitaxy), to obtain an ultralow Ohmic contact resistance of 27 Ω μm to a GaN 2DEG.

  7. Impact of barrier thickness on transistor performance in AlN/GaN high electron mobility transistors grown on free-standing GaN substrates

    International Nuclear Information System (INIS)

    Deen, David A.; Storm, David F.; Meyer, David J.; Bass, Robert; Binari, Steven C.; Gougousi, Theodosia; Evans, Keith R.

    2014-01-01

    A series of six ultrathin AlN/GaN heterostructures with varied AlN thicknesses from 1.5–6 nm have been grown by molecular beam epitaxy on free-standing hydride vapor phase epitaxy GaN substrates. High electron mobility transistors (HEMTs) were fabricated from the set in order to assess the impact of barrier thickness and homo-epitaxial growth on transistor performance. Room temperature Hall characteristics revealed mobility of 1700 cm 2 /V s and sheet resistance of 130 Ω/□ for a 3 nm thick barrier, ranking amongst the lowest room-temperature sheet resistance values reported for a polarization-doped single heterostructure in the III-Nitride family. DC and small signal HEMT electrical characteristics from submicron gate length HEMTs further elucidated the effect of the AlN barrier thickness on device performance.

  8. Impact of barrier thickness on transistor performance in AlN/GaN high electron mobility transistors grown on free-standing GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Deen, David A., E-mail: david.deen@alumni.nd.edu; Storm, David F.; Meyer, David J.; Bass, Robert; Binari, Steven C. [Electronics Science and Technology Division, Naval Research Laboratory, Washington, DC 20375-5347 (United States); Gougousi, Theodosia [Physics Department, University of Maryland Baltimore County, Baltimore, Maryland 21250 (United States); Evans, Keith R. [Kyma Technologies, Raleigh, North Carolina 27617 (United States)

    2014-09-01

    A series of six ultrathin AlN/GaN heterostructures with varied AlN thicknesses from 1.5–6 nm have been grown by molecular beam epitaxy on free-standing hydride vapor phase epitaxy GaN substrates. High electron mobility transistors (HEMTs) were fabricated from the set in order to assess the impact of barrier thickness and homo-epitaxial growth on transistor performance. Room temperature Hall characteristics revealed mobility of 1700 cm{sup 2}/V s and sheet resistance of 130 Ω/□ for a 3 nm thick barrier, ranking amongst the lowest room-temperature sheet resistance values reported for a polarization-doped single heterostructure in the III-Nitride family. DC and small signal HEMT electrical characteristics from submicron gate length HEMTs further elucidated the effect of the AlN barrier thickness on device performance.

  9. Self-catalyst growth of novel GaN nanowire flowers on Si (111) using thermal evaporation technique

    Energy Technology Data Exchange (ETDEWEB)

    Saron, K.M.A., E-mail: kamalmohammedabdalla@yahoo.com [Nano-Optoelectronics Research and Technology Laboratory (NOR), School of Physics, Universiti Sains Malaysia, Penang 11800 (Malaysia); Hashim, M.R., E-mail: roslan@usm.my [Nano-Optoelectronics Research and Technology Laboratory (NOR), School of Physics, Universiti Sains Malaysia, Penang 11800 (Malaysia)

    2013-05-15

    We investigated the effect of substrate temperature on nanowire (NW) flower GaN epitaxial layers grown on catalyst-free Si (111) through physical vapor deposition via the thermal evaporation of GaN powder at 1150 °C in the absence of NH{sub 3} gas. The NW flowers were grown at various substrate temperatures from 1000 °C to 1100 °C for 60 min in N{sub 2} ambient. The surface morphology as well as the structural and optical properties of GaN NW flowers were examined by scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy, X-ray diffraction, and photoluminescence (PL). The results showed that the increase in substrate temperature resulted in a variation in crystal quality and surface morphology. SEM showed that the substrate temperature has a stronger effect on NW density and growth rate with respect to time. The average length of GaN flowers is estimated to be longer than 300 μm after 1 h at 1100 °C, which corresponds to a fast growth rate of more than 200 μm h{sup −1} at all substrate temperatures. The PL measurements showed strong near-band-edge (NBE) emission with a weak deep level emission. The green-yellow emission (GYE) can be attributed to N vacancies or to the V{sub Ga}–O{sub N}-complexes. The NBE peak exhibited a redshift with increasing substrate temperature, which results from the increase in strain level. The growth mechanism of the polycrystalline GaN NWs was also discussed. - Highlights: ► GaN nanowired flowers were grown on free-catalysts Si (111) using PVD. ► A higher temperature, higher uniformity, larger lengths and diameters of the NW flowers. ► As substrate temperature increases the diameters and growth rate of NWs increases. ► A lower temperature resulted in a high density and good crystal quality of GaN NWs. ► The increase in substrate temperature increased the redshift in UV band emission.

  10. Self-catalyst growth of novel GaN nanowire flowers on Si (111) using thermal evaporation technique

    International Nuclear Information System (INIS)

    Saron, K.M.A.; Hashim, M.R.

    2013-01-01

    We investigated the effect of substrate temperature on nanowire (NW) flower GaN epitaxial layers grown on catalyst-free Si (111) through physical vapor deposition via the thermal evaporation of GaN powder at 1150 °C in the absence of NH 3 gas. The NW flowers were grown at various substrate temperatures from 1000 °C to 1100 °C for 60 min in N 2 ambient. The surface morphology as well as the structural and optical properties of GaN NW flowers were examined by scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy, X-ray diffraction, and photoluminescence (PL). The results showed that the increase in substrate temperature resulted in a variation in crystal quality and surface morphology. SEM showed that the substrate temperature has a stronger effect on NW density and growth rate with respect to time. The average length of GaN flowers is estimated to be longer than 300 μm after 1 h at 1100 °C, which corresponds to a fast growth rate of more than 200 μm h −1 at all substrate temperatures. The PL measurements showed strong near-band-edge (NBE) emission with a weak deep level emission. The green-yellow emission (GYE) can be attributed to N vacancies or to the V Ga –O N -complexes. The NBE peak exhibited a redshift with increasing substrate temperature, which results from the increase in strain level. The growth mechanism of the polycrystalline GaN NWs was also discussed. - Highlights: ► GaN nanowired flowers were grown on free-catalysts Si (111) using PVD. ► A higher temperature, higher uniformity, larger lengths and diameters of the NW flowers. ► As substrate temperature increases the diameters and growth rate of NWs increases. ► A lower temperature resulted in a high density and good crystal quality of GaN NWs. ► The increase in substrate temperature increased the redshift in UV band emission

  11. Demonstration of forward inter-band tunneling in GaN by polarization engineering

    International Nuclear Information System (INIS)

    Krishnamoorthy, Sriram; Park, Pil Sung; Rajan, Siddharth

    2011-01-01

    We report on the design, fabrication, and characterization of GaN interband tunnel junction showing forward tunneling characteristics. We have achieved very high forward tunneling currents (153 mA/cm 2 at 10 mV, and 17.7 A/cm 2 peak current) in polarization-engineered GaN/InGaN/GaN heterojunction diodes grown by plasma assisted molecular beam epitaxy. We also report the observation of repeatable negative differential resistance in interband III-Nitride tunnel junctions, with peak-valley current ratio of 4 at room temperature. The forward current density achieved in this work meets the typical current drive requirements of a multi-junction solar cell.

  12. Hollow-anode plasma source for molecular beam epitaxy of gallium nitride

    International Nuclear Information System (INIS)

    Anders, A.; Newman, N.; Rubin, M.; Dickinson, M.; Jones, E.; Phatak, P.; Gassmann, A.

    1996-01-01

    GaN films have been grown by molecular beam epitaxy (MBE) using a hollow-anode nitrogen plasma source. The source was developed to minimize defect formation as a result of contamination and ion damage. The hollow-anode discharge is a special form of glow discharge with very small anode area. A positive anode voltage drop of 30 endash 40 V and an increased anode sheath thickness leads to ignition of a relatively dense plasma in front of the anode hole. Driven by the pressure gradient, the open-quote open-quote anode close-quote close-quote plasma forms a bright plasma jet streaming with supersonic velocity towards the substrate. Films of GaN have been grown on (0001) SiC and (0001) Al 2 O 3 at 600 endash 800 degree C. The films were investigated by photoluminescence, cathodoluminescence, x-ray diffraction, Rutherford backscattering, and particle-induced x-ray emission. The film with the highest structural quality had a rocking curve width of 5 arcmin, the lowest reported value for MBE growth to date. copyright 1996 American Institute of Physics

  13. Structure guided GANs

    Science.gov (United States)

    Cao, Feidao; Zhao, Huaici; Liu, Pengfei

    2017-11-01

    Generative adversarial networks (GANs) has achieved success in many fields. However, there are some samples generated by many GAN-based works, whose structure is ambiguous. In this work, we propose Structure Guided GANs that introduce structural similar into GANs to overcome the problem. In order to achieve our goal, we introduce an encoder and a decoder into a generator to design a new generator and take real samples as part of the input of a generator. And we modify the loss function of the generator accordingly. By comparison with WGAN, experimental results show that our proposed method overcomes largely sample structure ambiguous and can generate higher quality samples.

  14. Growth of GaN nanostructures with polar and semipolar orientations for the fabrication of UV LEDs

    Science.gov (United States)

    Brault, Julien; Damilano, Benjamin; Courville, Aimeric; Leroux, Mathieu; Kahouli, Abdelkarim; Korytov, Maxim; Vennéguès, Philippe; Randazzo, Gaetano; Chenot, Sébastien; Vinter, Borge; De Mierry, Philippe; Massies, Jean; Rosales, Daniel; Bretagnon, Thierry; Gil, Bernard

    2014-03-01

    (Al,Ga)N light emitting diodes (LEDs), emitting over a large spectral range from 360 nm (GaN) down to 210 nm (AlN), have been successfully fabricated over the last decade. Clear advantages compared to the traditional mercury lamp technology (e.g. compactness, low-power operation, lifetime) have been demonstrated. However, LED efficiencies still need to be improved. The main problems are related to the structural quality and the p-type doping efficiency of (Al,Ga)N. Among the current approaches, GaN nanostructures, which confine carriers along both the growth direction and the growth plane, are seen as a solution for improving the radiative recombination efficiency by strongly reducing the impact of surrounding defects. Our approach, based on a 2D - 3D growth mode transition in molecular beam epitaxy, can lead to the spontaneous formation of GaN nanostructures on (Al,Ga)N over a broad range of Al compositions. Furthermore, the versatility of the process makes it possible to fabricate nanostructures on both (0001) oriented "polar" and (11 2 2) oriented "semipolar" materials. We show that the change in the crystal orientation has a strong impact on the morphological and optical properties of the nanostructures. The influence of growth conditions are also investigated by combining microscopy (SEM, TEM) and photoluminescence techniques. Finally, their potential as UV emitters will be discussed and the performances of GaN / (Al,Ga)N nanostructure-based LED demonstrators are presented.

  15. In situ ion channeling study of gallium disorder and gold profiles in Au-implanted GaN

    International Nuclear Information System (INIS)

    Jiang, W.; Weber, W. J.; Thevuthasan, S.

    2000-01-01

    Disorder accumulation and annealing behavior on the Ga sublattice in gallium nitride (GaN) implanted with 1.0 MeV Au 2+ (60 degree sign off surface normal) at 180 or 300 K have been studied using in situ Rutherford backscattering spectrometry in a -channeling geometry. Complete amorphization in GaN is attained at 6.0 and 20 Au 2+ /nm 2 for irradiation at 180 and 300 K, respectively. A saturation in the Ga disorder at and behind the damage peak was observed at intermediate ion fluences at both 180 and 300 K. No measurable thermal recovery was found at 300 K for the full range of damage produced at 180 K. However, distinct epitaxial regrowth in the bulk and Ga reordering at surface occurred after annealing at 870 K. The implanted Au readily diffuses into the highly damaged regions at elevated temperatures, and the redistribution of the Au atoms in the implanted GaN varies with the damage profiles. A double-peak Au profile developed with the maxima located in the amorphous surface region and near the Au mean projected range. The result is interpreted as Au atom diffusion into the amorphous regime near the surface and Au trapping at irradiation-induced defects in the crystal structure. This trapping effect is also evidenced in this study by the suppressed recovery of the Au-decorated disorder in GaN. (c) 2000 American Institute of Physics

  16. Synthesis of Vertically Aligned ZnO Nano rods on Various Substrates

    International Nuclear Information System (INIS)

    Hassan, J.J.; Hassan, Z.; Abu Hassan, H.; Mahdi, M.A.

    2011-01-01

    We successfully synthesized vertically aligned ZnO nano rods on Si, GaN, Sic, Al 2 O 3 , ITO, and quartz substrates using microwave assisted chemical bath deposition (MA-CBD) method. All these types of substrates were seeded with PVA-ZnO nano composites layer prior to the nano rods growth. The effect of substrate type on the morphology of the ZnO nano rods was studied. The diameter of grown ZnO nano rods ranged from 50 nm to 200 nm. Structural quality and morphology of ZnO nano rods were determined by x-ray diffraction and scanning electron microscopy, which revealed hexagonal wurtzite structures perpendicular to the substrate along the z-axis in the direction of (002). Photoluminescence measurements of grown ZnO nano rods on all substrates exhibited high UV peak intensity. Raman scattering studies were conducted to estimate the lattice vibration modes. (author)

  17. Polarity in GaN and ZnO: Theory, measurement, growth, and devices

    Science.gov (United States)

    Zúñiga-Pérez, Jesús; Consonni, Vincent; Lymperakis, Liverios; Kong, Xiang; Trampert, Achim; Fernández-Garrido, Sergio; Brandt, Oliver; Renevier, Hubert; Keller, Stacia; Hestroffer, Karine; Wagner, Markus R.; Reparaz, Juan Sebastián; Akyol, Fatih; Rajan, Siddharth; Rennesson, Stéphanie; Palacios, Tomás; Feuillet, Guy

    2016-12-01

    The polar nature of the wurtzite crystalline structure of GaN and ZnO results in the existence of a spontaneous electric polarization within these materials and their associated alloys (Ga,Al,In)N and (Zn,Mg,Cd)O. The polarity has also important consequences on the stability of the different crystallographic surfaces, and this becomes especially important when considering epitaxial growth. Furthermore, the internal polarization fields may adversely affect the properties of optoelectronic devices but is also used as a potential advantage for advanced electronic devices. In this article, polarity-related issues in GaN and ZnO are reviewed, going from theoretical considerations to electronic and optoelectronic devices, through thin film, and nanostructure growth. The necessary theoretical background is first introduced and the stability of the cation and anion polarity surfaces is discussed. For assessing the polarity, one has to make use of specific characterization methods, which are described in detail. Subsequently, the nucleation and growth mechanisms of thin films and nanostructures, including nanowires, are presented, reviewing the specific growth conditions that allow controlling the polarity of such objects. Eventually, the demonstrated and/or expected effects of polarity on the properties and performances of optoelectronic and electronic devices are reported. The present review is intended to yield an in-depth view of some of the hot topics related to polarity in GaN and ZnO, a fast growing subject over the last decade.

  18. Mechanisms of stress generation and relaxation during pulsed laser deposition of epitaxial Fe-Pd magnetic shape memory alloy films on MgO

    International Nuclear Information System (INIS)

    Edler, Tobias; Mayr, S G; Buschbeck, Joerg; Mickel, Christine; Faehler, Sebastian

    2008-01-01

    Mechanical stress generation during epitaxial growth of Fe-Pd thin films on MgO from pulsed laser deposition is a key parameter for the suitability in shape memory applications. By employing in situ substrate curvature measurements, we determine the stress states as a function of film thickness and composition. Depending on composition, different stress states are observed during initial film growth, which can be attributed to different misfits. Compressive stress generation by atomic peening is observed in the later stages of growth. Comparison with ex situ x-ray based strain measurements allows integral and local stress to be distinguished and yields heterogeneities of the stress state between coherent and incoherent regions. In combination with cross-sectional TEM measurements the relevant stress relaxation mechanism is identified to be stress-induced martensite formation with (111) twinning

  19. Carrier concentration dependence of donor activation energy in n-type GaN epilayers grown on Si (1 1 1) by plasma-assisted MBE

    International Nuclear Information System (INIS)

    Kumar, Mahesh; Bhat, Thirumaleshwara N.; Roul, Basanta; Rajpalke, Mohana K.; Kalghatgi, A.T.; Krupanidhi, S.B.

    2012-01-01

    Highlights: ► The n-type GaN layers were grown by plasma-assisted molecular beam epitaxy. ► The optical characteristics of a donor level in Si-doped GaN were studied. ► Activation energy of a Si-related donor was estimated from temperature dependent PL measurements. ► PL peak positions, FWHM of PL and activation energies are found to be proportional to the cube root of carrier density. ► The involvement of donor levels is supported by the temperature-dependent electron concentration measurements. -- Abstract: The n-type GaN layers were grown by plasma-assisted MBE and either intentionally doped with Si or unintentionally doped. The optical characteristics of a donor level in Si-doped, GaN were studied in terms of photoluminescence (PL) spectroscopy as a function of electron concentration. Temperature dependent PL measurements allowed us to estimate the activation energy of a Si-related donor from temperature-induced decay of PL intensity. PL peak positions, full width at half maximum of PL and activation energies are found to be proportional to the cube root of carrier density. The involvement of donor levels is supported by the temperature-dependent electron concentration measurements.

  20. Investigation of GaN LED with Be-implanted Mg-doped GaN layer

    International Nuclear Information System (INIS)

    Huang, H.-W.; Kao, C.C.; Chu, J.T.; Kuo, H.C.; Wang, S.C.; Yu, C.C.; Lin, C.F.

    2004-01-01

    We report the electrical and optical characteristics of GaN light emitting diode (LED) with beryllium (Be) implanted Mg-doped GaN layer. The p-type layer of Be-implanted GaN LED showed a higher hole carrier concentration of 2.3 x 10 18 cm -3 and low specific contact resistance value of 2.0 x 10 -4 Ωcm 2 than as-grown p-GaN LED samples without Be-implantation. The Be-implanted GaN LEDs with InGaN/GaN MQW show slightly lower light output (about 10%) than the as-grown GaN LEDs, caused by the high RTA temperature annealing process

  1. Effect of gas flow on the selective area growth of gallium nitride via metal organic vapor phase epitaxy

    Science.gov (United States)

    Rodak, L. E.; Kasarla, K. R.; Korakakis, D.

    2007-08-01

    The effect of gas flow on the selective area growth (SAG) of gallium nitride (GaN) grown via metal organic vapor phase epitaxy (MOVPE) has been investigated. In this study, the SAG of GaN was carried out on a silicon dioxide striped pattern along the GaN direction. SAG was initiated with the striped pattern oriented parallel and normal to the incoming gas flow in a horizontal reactor. The orientation of the pattern did not impact cross section of the structure after re-growth as both orientations resulted in similar trapezoidal structures bounded by the (0 0 0 1) and {1 1 2¯ n} facets ( n≈1.7-2.2). However, the growth rates were shown to depend on the orientation of the pattern as the normally oriented samples exhibited enhanced vertical and cross-sectional growth rates compared to the parallel oriented samples. All growths occurred under identical conditions and therefore the difference in growth rates must be attributed to a difference in mass transport of species.

  2. Influence of the GaN spacer thickness on the structural and photoluminescence properties of multi-stack InN/GaN quantum dots

    International Nuclear Information System (INIS)

    Ke, Wen-Cheng; Lee, Shuo-Jen; Chen, Shiow-Long; Kao, Chia-Yu; Houng, Wei-Chung; Wei, Chih-An; Su, Yi-Ru

    2012-01-01

    Highlights: ► We present structural and photoluminescence characteristics of multi-stack InN/GaN QDs. ► A single crystalline 10-nm thick GaN capping layer is grown on the InN QDs. ► The PL intensity of the three-layer stacked sample is about 3 times that of the single-layer sample. - Abstract: This paper reports the structural and photoluminescence (PL) characteristics of single-layer and multi-stack InN/GaN quantum dots (QDs) with varying spacer thickness. A single crystalline 10-nm thick GaN capping layer is grown on the InN QDs by the flow-rate modulation epitaxy (FME) method. The PL peak is red shifted down to 18 meV and its full width at half maximum (FWHM) was narrowed from 104 meV to 77 meV as increasing GaN capping layer thickness to 20-nm. The red-shift and the linewidth narrowing of the PL spectra for the single-layer InN QDs as a result of the increase in capping thickness are believed to be due to the fact that the GaN capping layer decreases the surface defect density thereby decreasing the surface electron concentration of the InN QDs. However, the PL intensity decreases rapidly with the increase in GaN spacer thickness for the three-layer stacked InN/GaN QDs. Because of kinetic roughening, the 20-nm thick GaN capping layer shows a roughened surface. This roughened GaN capping layer degrades the InN QDs growth in the next layer of multi-stack InN QDs. In addition, the increased compressive strain on the InN QDs with the increase in GaN spacer thickness increases the defect density at the InN/GaN capped interface and will further decrease the PL intensity. After the GaN spacer thickness is modified, the PL intensity of the three-layer stacked sample with a 10-nm thick GaN spacer layer is about 3 times that of the single-layer sample.

  3. Influencing factors of GaN growth uniformity through orthogonal test analysis

    International Nuclear Information System (INIS)

    Zhang, Zhi; Fang, Haisheng; Yan, Han; Jiang, Zhimin; Zheng, Jiang; Gan, Zhiyin

    2015-01-01

    Gallium nitride (GaN) is widely used in light-emitting diode (LED) devices due to its wide bandgap and excellently optoelectronic performance. The efficiency and lifetime of LEDs are critically determined by quality of GaN, for example, growth uniformity. Metal-organic chemical vapor deposition (MOCVD) is the most popular technique to grow high-quality GaN epitaxial layers. Growth uniformity is influenced by fluid flow, heat transfer and chemical reactions in the reactor. In this paper, the growth process in a close-coupled showerhead (CCS) MOCVD reactor is investigated based on 3D numerical simulation. Influences of the operating parameters on the growth uniformity are presented. To evaluate the role of the parameters systematically and efficiently on the growth uniformity, orthogonal test method is introduced. The results reveal that the growth rate and uniformity are strongly related to the total gas flow rate, the showerhead height and the inlet gas temperature, but are weakly affected by the isothermal wall temperature, the rotating speed and the susceptor temperature under the ranges of the current study. The optimized combination of the parameters is further proposed as a useful reference for obtaining the LED layers with a balance between the growth rate and the growth uniformity in industry. - Highlights: • Fluid flow, heat transfer, chemical reactions are calculated for a 3D CCS reactor. • The effects of process parameters on growth rate and uniformity are investigated. • Orthogonal test method is introduced to analyze the effect of multi-factors. • Optimal combinations can be obtained for the best growth rate and uniformity.

  4. Development of III-nitride semiconductors by molecular beam epitaxy and cluster beam epitaxy and fabrication of LEDs based on indium gallium nitride MQWs

    Science.gov (United States)

    Chen, Tai-Chou Papo

    The family of III-Nitrides (the binaries InN, GaN, AIN, and their alloys) is one of the most important classes of semiconductor materials. Of the three, Indium Nitride (InN) and Aluminum Nitride (AIN) have been investigated much less than Gallium Nitride (GaN). However, both of these materials are important for optoelectronic infrared and ultraviolet devices. In particular, since InN was found recently to be a narrow gap semiconductor (Eg=0.7eV), its development should extend the applications of nitride semiconductors to the spectral region appropriate to fiber optics communication and photovoltaic applications. Similarly, the development of AIN should lead to deep UV light emitting diodes (LEDs). The first part of this work addresses the evaluation of structural, optical and transport properties of InN films grown by two different deposition methods. In one method, active nitrogen was produced in the form of nitrogen radicals by a radio frequency (RF) plasma-assisted source. In an alternative method, active nitrogen was produced in the form of clusters containing approximately 2000 nitrogen molecules. These clusters were produced by adiabatic expansion from high stagnation pressure through a narrow nozzle into vacuum. The clusters were singly or doubly ionized with positive charge by electron impact and accelerated up to approximately 20 to 25 KV prior to their disintegration on the substrate. Due to the high local temperature produced during the impact of clusters with the substrate, this method is suitable for the deposition of InN at very low temperatures. The films are auto-doped n-type with carrier concentrations varying from 3 x 1018 to 1020 cm-3 and the electron effective mass of these films was determined to be 0.09m0. The majority of the AIN films was grown by the cluster beam epitaxy method and was doped n- and p- type by incorporating silicon (Si) and magnesium (Mg) during the film deposition. All films were grown under Al-rich conditions at relatively

  5. Mechanical responses of a-axis GaN nanowires under axial loads

    Science.gov (United States)

    Wang, R. J.; Wang, C. Y.; Feng, Y. T.; Tang, Chun

    2018-03-01

    Gallium nitride (GaN) nanowires (NWs) hold technological significance as functional components in emergent nano-piezotronics. However, the examination of their mechanical responses, especially the mechanistic understanding of behavior beyond elasticity (at failure) remains limited due to the constraints of in situ experimentation. We therefore performed simulations of the molecular dynamics (MD) of the mechanical behavior of [1\\bar{2}10]-oriented GaN NWs subjected to tension or compression loading until failure. The mechanical properties and critical deformation processes are characterized in relation to NW sizes and loading conditions. Detailed examinations revealed that the failure mechanisms are size-dependent and controlled by the dislocation mobility on shuffle-set pyramidal planes. The size dependence of the elastic behavior is also examined in terms of the surface structure determined modification of Young’s modulus. In addition, a comparison with c-axis NWs is made to show how size-effect trends vary with the growth orientation of NWs.

  6. The Study of Al0.29Ga0.71N-BASED Schottky Photodiodes Grown on Silicon by Plasma-Assisted Molecular Beam Epitaxy

    Science.gov (United States)

    Mohd Yusoff, M. Z.; Hassan, Z.; Chin, C. W.; Hassan, H. Abu; Abdullah, M. J.; Mohammad, N. N.; Ahmad, M. A.; Yusof, Y.

    2013-05-01

    In this paper, the growth and characterization of epitaxial Al0.29Ga0.71N grown on Si(111) by RF-plasma assisted molecular beam epitaxy (MBE) are described. The Al mole fraction was derived from the HR-XRD symmetric rocking curve (RC) ω/2θ scans of (0002) plane as x = 0.29. PL spectrum of sample has shown sharp and intense band edge emission of GaN without the existence of yellow emission band, showing that it is comparable in crystal quality of the sample when compared with previous reports. From the Raman measurement of as-grown Al0.29Ga0.71N layer on GaN/AlN/Si sample. We found that the dominant E2 (high) phonon mode of GaN appears at 572.7 cm-1. The E2 (high) mode of AlN appears at 656.7 cm-1 and deviates from the standard value of 655 cm-1 for unstrained AlN. Finally, AlGaN Schottky photodiode have been fabricated and analyzed by mean of electrical characterization, using current-voltage (I-V) measurement to evaluate the performance of this device.

  7. Electromechanical Characterization of Single GaN Nanobelt Probed with Conductive Atomic Force Microscope

    Science.gov (United States)

    Yan, X. Y.; Peng, J. F.; Yan, S. A.; Zheng, X. J.

    2018-04-01

    The electromechanical characterization of the field effect transistor based on a single GaN nanobelt was performed under different loading forces by using a conductive atomic force microscope (C-AFM), and the effective Schottky barrier height (SBH) and ideality factor are simulated by the thermionic emission model. From 2-D current image, the high value of the current always appears on the nanobelt edge with the increase of the loading force less than 15 nN. The localized (I-V) characteristic reveals a typical rectifying property, and the current significantly increases with the loading force at the range of 10-190 nN. The ideality factor is simulated as 9.8 within the scope of GaN nano-Schottky diode unity (6.5-18), therefore the thermionic emission current is dominant in the electrical transport of the GaN-tip Schottky junction. The SBH is changed through the piezoelectric effect induced by the loading force, and it is attributed to the enhanced current. Furthermore, a single GaN nanobelt has a high mechanical-induced current ratio that could be made use of in a nanoelectromechanical switch.

  8. Identification of Ag and Cd photoluminescence in $^{111}$Ag-doped GaN

    CERN Document Server

    Stötzler, A; Deicher, M

    1999-01-01

    In order to unambiguously identify the chemical nature of Cd and Ag related optical transitions in GaN, epitaxial GaN layers were implanted with the radioactive isotope $^{111}$Ag which decays into stable $^{111}$Cd. This chemical transmutation was monitored by photoluminescence (PL) spectroscopy. Being an element specific property, the half-life of this decay was used to establish the chemical assignment of the optical transitions to a specific defect. We found that the Ag related transitions consist of a series of four single lines (1.610, 1.600, 1.594, and 1.573 eV), each accompanied by two phonon replicas separated by 63 meV. Cd produces two PL bands centered at 2.7 and 3.2 eV. Additional Cd-related single transitions at 3.341, 3.328, and 3.249 eV have been observed. Exponential fits to the PL intensities yield half-lives of $t_{1/2}^{Ag}$= (7.61$\\pm$0.27) d and $t_{1/2}^{Cd}$=(7.60$\\pm$0.27) d, respectively, in good agreement with the half-life of $^{111}$Ag of 7.45 d. (13 refs).

  9. Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD.

    Science.gov (United States)

    Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro

    2013-04-07

    We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 10(7) cm(-2). The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).

  10. Epitaxial growth of zigzag PtAu alloy surface on Au nano-pentagrams with enhanced Pt utilization and electrocatalytic performance toward ethanol oxidation reaction

    International Nuclear Information System (INIS)

    Du, Cheng; Gao, Xiaohui; Zhuang, Zhihua; Cheng, Chunfeng; Zheng, Fuqin; Li, Xiaokun; Chen, Wei

    2017-01-01

    Highlights: • PtAu nanoalloy surface is heteroepitaxially grown on the pre-synthesized Au nano-pentagrams. • The PtAu/Au nano-pentagrams exhibit excellent electrocatalytic activity for ethanol oxidation. • The charge transfer resistance of PtAu/Au is lower than that of commercial Pt/C. • The durability and anti-poisoning ability of PtAu/Au is much better than those of commercial Pt/C - Abstract: Improving Pt utilization is of fundamental importance for many significant processes in energy conversion, which is strongly dependent on the surface structure of used catalysts. Based on the traditional Pt-on-Au system which has been proved to be an ideal nanostructure for improving the catalytic activity and stability of Pt, and the recent follow-up studies on this system, we introduce here a new strategy for fabricating Pt surface with high-index facets over the Pt-on-Au system. To achieve this goal, we elaborately designed and fabricated a unique zigzag PtAu alloy nanosurface on Au nano-pentagrams (PtAu/Au NPs) through epitaxial growth of Pt along the high-index facets on the pre-synthesized Au nano-pentagrams. Owing to the surface electronic interaction between Au and Pt and the exposed high-index facets from the unique morphology of zigzag PtAu alloy nanosurface, the as-prepared PtAu/Au NPs exhibited excellent electrocatalytic performance toward ethanol oxidation reaction (EOR) in alkaline condition. The specific activity (8.3 mA cm"−"2) and mass activity (4.4 A mg"−"1) obtained from PtAu/Au NPs are about 5.2 and 5.5 times, respectively, higher than those from commercial Pt/C for EOR.

  11. Nitrogen vacancies as a common element of the green luminescence and nonradiative recombination centers in Mg-implanted GaN layers formed on a GaN substrate

    Science.gov (United States)

    Kojima, Kazunobu; Takashima, Shinya; Edo, Masaharu; Ueno, Katsunori; Shimizu, Mitsuaki; Takahashi, Tokio; Ishibashi, Shoji; Uedono, Akira; Chichibu, Shigefusa F.

    2017-06-01

    The photoluminescences of ion-implanted (I/I) and epitaxial Mg-doped GaN (GaN:Mg) are compared. The intensities and lifetimes of the near-band-edge and ultraviolet luminescences associated with a MgGa acceptor of I/I GaN:Mg were significantly lower and shorter than those of the epilayers, respectively. Simultaneously, the green luminescence (GL) became dominant. These emissions were quenched far below room temperature. The results indicate the generation of point defects common to GL and nonradiative recombination centers (NRCs) by I/I. Taking the results of positron annihilation measurement into account, N vacancies are the prime candidate to emit GL and create NRCs with Ga vacancies, (VGa) m (VN) n , as well as to inhibit p-type conductivity.

  12. Stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy

    Science.gov (United States)

    Agrawal, M.; Ravikiran, L.; Dharmarasu, N.; Radhakrishnan, K.; Karthikeyan, G. S.; Zheng, Y.

    2017-01-01

    The stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy (PA-MBE) has been studied. AlN nucleation layer and GaN layer were grown as a function of III/V ratio. GaN/AlN structure is found to form buried cracks when AlN is grown in the intermediate growth regime(III/V˜1)and GaN is grown under N-rich growth regime (III/VHEMT) heterostructure was demonstrated on 2-inch SiC that showed good two dimensional electron gas (2DEG) properties with a sheet resistance of 480 Ω/sq, mobility of 1280 cm2/V.s and sheet carrier density of 1×1013 cm-2.

  13. Thinning of N-face GaN (0001) samples by inductively coupled plasma etching and chemomechanical polishing

    International Nuclear Information System (INIS)

    Rizzi, F.; Gu, E.; Dawson, M. D.; Watson, I. M.; Martin, R. W.; Kang, X. N.; Zhang, G. Y.

    2007-01-01

    The processing of N-polar GaN (0001) samples has been studied, motivated by applications in which extensive back side thinning of freestanding GaN (FS-GaN) substrates is required. Experiments were conducted on FS-GaN from two commercial sources, in addition to epitaxial GaN with the N-face exposed by a laser lift-off process. The different types of samples produced equivalent results. Surface morphologies were examined over relatively large areas, using scanning electron microscopy and stylus profiling. The main focus of this study was on inductively coupled plasma (ICP) etch processes, employing Cl 2 /Ar or Cl 2 /BCl 3 Ar gas mixtures. Application of a standard etch recipe, optimized for feature etching of Ga-polar GaN (0001) surfaces, caused severe roughening of N-polar samples and confirmed the necessity for specific optimization of etch conditions for N-face material. A series of recipes with a reduced physical (sputter-based) contribution to etching allowed average surface roughness values to be consistently reduced to below 3 nm. Maximum N-face etch rates of 370-390 nm/min have been obtained in recipes examined to date. These are typically faster than etch rates obtained on Ga-face samples under the same conditions and adequate for the process flows of interest. Mechanistic aspects of the ICP etch process and possible factors contributing to residual surface roughness are discussed. This study also included work on chemomechanical polishing (CMP). The optimized CMP process had stock removal rates of ∼500 nm/h on the GaN N face. This was much slower than the ICP etching but showed the important capability of recovering smooth surfaces on samples roughened in previous processing. In one example, a surface roughened by nonoptimized ICP etching was smoothed to give an average surface roughness of ∼2 nm

  14. Contributed Review: Experimental characterization of inverse piezoelectric strain in GaN HEMTs via micro-Raman spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Bagnall, Kevin R.; Wang, Evelyn N. [Department of Mechanical Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139 (United States)

    2016-06-15

    Micro-Raman thermography is one of the most popular techniques for measuring local temperature rise in gallium nitride (GaN) high electron mobility transistors with high spatial and temporal resolution. However, accurate temperature measurements based on changes in the Stokes peak positions of the GaN epitaxial layers require properly accounting for the stress and/or strain induced by the inverse piezoelectric effect. It is common practice to use the pinched OFF state as the unpowered reference for temperature measurements because the vertical electric field in the GaN buffer that induces inverse piezoelectric stress/strain is relatively independent of the gate bias. Although this approach has yielded temperature measurements that agree with those derived from the Stokes/anti-Stokes ratio and thermal models, there has been significant difficulty in quantifying the mechanical state of the GaN buffer in the pinched OFF state from changes in the Raman spectra. In this paper, we review the experimental technique of micro-Raman thermography and derive expressions for the detailed dependence of the Raman peak positions on strain, stress, and electric field components in wurtzite GaN. We also use a combination of semiconductor device modeling and electro-mechanical modeling to predict the stress and strain induced by the inverse piezoelectric effect. Based on the insights gained from our electro-mechanical model and the best values of material properties in the literature, we analyze changes in the E{sub 2} high and A{sub 1} (LO) Raman peaks and demonstrate that there are major quantitative discrepancies between measured and modeled values of inverse piezoelectric stress and strain. We examine many of the hypotheses offered in the literature for these discrepancies but conclude that none of them satisfactorily resolves these discrepancies. Further research is needed to determine whether the electric field components could be affecting the phonon frequencies apart from the

  15. Contributed Review: Experimental characterization of inverse piezoelectric strain in GaN HEMTs via micro-Raman spectroscopy

    International Nuclear Information System (INIS)

    Bagnall, Kevin R.; Wang, Evelyn N.

    2016-01-01

    Micro-Raman thermography is one of the most popular techniques for measuring local temperature rise in gallium nitride (GaN) high electron mobility transistors with high spatial and temporal resolution. However, accurate temperature measurements based on changes in the Stokes peak positions of the GaN epitaxial layers require properly accounting for the stress and/or strain induced by the inverse piezoelectric effect. It is common practice to use the pinched OFF state as the unpowered reference for temperature measurements because the vertical electric field in the GaN buffer that induces inverse piezoelectric stress/strain is relatively independent of the gate bias. Although this approach has yielded temperature measurements that agree with those derived from the Stokes/anti-Stokes ratio and thermal models, there has been significant difficulty in quantifying the mechanical state of the GaN buffer in the pinched OFF state from changes in the Raman spectra. In this paper, we review the experimental technique of micro-Raman thermography and derive expressions for the detailed dependence of the Raman peak positions on strain, stress, and electric field components in wurtzite GaN. We also use a combination of semiconductor device modeling and electro-mechanical modeling to predict the stress and strain induced by the inverse piezoelectric effect. Based on the insights gained from our electro-mechanical model and the best values of material properties in the literature, we analyze changes in the E_2 high and A_1 (LO) Raman peaks and demonstrate that there are major quantitative discrepancies between measured and modeled values of inverse piezoelectric stress and strain. We examine many of the hypotheses offered in the literature for these discrepancies but conclude that none of them satisfactorily resolves these discrepancies. Further research is needed to determine whether the electric field components could be affecting the phonon frequencies apart from the inverse

  16. Intermediate Nucleation State of GaN Growth

    Science.gov (United States)

    Zheng, L. X.; Xie, M. H.; Tong, S. Y.

    2001-03-01

    Homoexpitaxial nucleation of GaN during molecular-beam epitaxy is followed by scanning tunneling microcopy (STM). We observe a metastable nucleation state, which manifests as “ghost” islands in STM images. These “ghost” islands can be irreversibly driven into normal islands by continuous STM imaging. It is further established that the “ghost” island formation is related to the presence of excess Ga atoms on the surface: Normal islands are only seen under the N-rich or stoichiometric flux condition, whereas “ghost” islands are observed under Ga-rich conditions. For intermediate excess-Ga coverages, both normal and “ghost” islands are present, however, they show distinctly different sizes, suggesting different nucleation states for the two. A growth model is proposed to account for the formation of metastable, “ghost” islands. Kinetic Monte Carlo simulation is carried out and main features of the surface are reproduced. We acknowledge financial support from HK RGC under grant Nos. 7396/00P, 7142/99P, and 7121/00P.

  17. Scans along arbitrary directions in reciprocal space and the analysis of GaN films on SiC

    Energy Technology Data Exchange (ETDEWEB)

    Poust, B [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Heying, B [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Hayashi, S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Ho, R [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Matney, K [Bede Scientific Inc., Englewood, CO 80112 (United States); Sandhu, R [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Wojtowicz, M [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Goorsky, M [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States)

    2005-05-21

    Equations governing scans along arbitrary directions in reciprocal space were developed and used to map reciprocal lattice points (RLPs) with radial raster patterns to study mosaic structure in GaN thin films deposited on semi-insulating 4H-SiC substrates using AlN nucleation layers (NLs). The films were grown by molecular beam epitaxy, keeping the GaN growth conditions the same, but using different AlN NL growth conditions. Mosaic tilt angles determined from symmetric RLP breadth measurements were similar for all samples measured, consistent with screw and mixed dislocation densities determined from transmission electron microscopy (TEM) measurements. Mosaic twist was determined using off-axis skew-symmetric high resolution x-ray diffraction measurements of asymmetric RLP breadths, yielding results consistent with grazing incidence in-plane x-ray diffraction twist measurements. A clear correlation between the twist angle and the edge and mixed dislocation densities determined by TEM was not observed, warranting careful consideration of dislocation structure.

  18. Scans along arbitrary directions in reciprocal space and the analysis of GaN films on SiC

    International Nuclear Information System (INIS)

    Poust, B; Heying, B; Hayashi, S; Ho, R; Matney, K; Sandhu, R; Wojtowicz, M; Goorsky, M

    2005-01-01

    Equations governing scans along arbitrary directions in reciprocal space were developed and used to map reciprocal lattice points (RLPs) with radial raster patterns to study mosaic structure in GaN thin films deposited on semi-insulating 4H-SiC substrates using AlN nucleation layers (NLs). The films were grown by molecular beam epitaxy, keeping the GaN growth conditions the same, but using different AlN NL growth conditions. Mosaic tilt angles determined from symmetric RLP breadth measurements were similar for all samples measured, consistent with screw and mixed dislocation densities determined from transmission electron microscopy (TEM) measurements. Mosaic twist was determined using off-axis skew-symmetric high resolution x-ray diffraction measurements of asymmetric RLP breadths, yielding results consistent with grazing incidence in-plane x-ray diffraction twist measurements. A clear correlation between the twist angle and the edge and mixed dislocation densities determined by TEM was not observed, warranting careful consideration of dislocation structure

  19. MgO monolayer epitaxy on Ni (100)

    Science.gov (United States)

    Sarpi, B.; Putero, M.; Hemeryck, A.; Vizzini, S.

    2017-11-01

    The growth of two-dimensional oxide films with accurate control of their structural and electronic properties is considered challenging for engineering nanotechnological applications. We address here the particular case of MgO ultrathin films grown on Ni (100), a system for which neither crystallization nor extended surface ordering has been established previously in the monolayer range. Using Scanning Tunneling Microscopy and Auger Electron Spectroscopy, we report on experiments showing MgO monolayer (ML) epitaxy on a ferromagnetic nickel surface, down to the limit of atomic thickness. Alternate steps of Mg ML deposition, O2 gas exposure, and ultrahigh vacuum thermal treatment enable the production of a textured film of ordered MgO nano-domains. This study could open interesting prospects for controlled epitaxy of ultrathin oxide films with a high magneto-resistance ratio on ferromagnetic substrates, enabling improvement in high-efficiency spintronics and magnetic tunnel junction devices.

  20. LDPE/HDPE/Clay Nano composites: Effects of Compatibilizer on the Structure and Dielectric Response

    International Nuclear Information System (INIS)

    David, Z.E.; Ngo, A.D.

    2013-01-01

    PE/clay nano composites were prepared by mixing a commercially available premixed polyethylene/O-MMT master batch into a polyethylene blend matrix containing 80 wt% low-density polyethylene and 20 wt% high-density polyethylene with and without anhydride modified polyethylene (PE-MA) as the compatibilizer using a corotating twin-screw extruder. In this study, the effect of nano clay and compatibilizer on the structure and dielectric response of PE/clay nano composites has been investigated. The microstructure of PE/clay nano composites was characterized using wide-angle X-ray diffraction (WAXD) and a scanning electron microscope (SEM). Thermal properties were examined using differential scanning calorimetry (DSC). The dielectric response of neat PE was compared with that of PE/clay nano composite with and without the compatibilizer. The XRD and SEM results showed that the PE/O-MMT nano composite with the PE-MA compatibilizer was better dispersed. In the nano composite materials, two relaxation modes are detected in the dielectric losses. The first relaxation is due to a Maxwell-Wagner-Sillars interfacial polarization, and the second relaxation can be related to dipolar polarization. A relationship between the degree of dispersion and the relaxation rate f m ax of Maxwell-Wagner-Sillars was found and discussed.

  1. Luminescent N-polar (In,Ga)N/GaN quantum wells achieved by plasma-assisted molecular beam epitaxy at temperatures exceeding 700 °C

    Science.gov (United States)

    Chèze, C.; Feix, F.; Lähnemann, J.; Flissikowski, T.; Kryśko, M.; Wolny, P.; Turski, H.; Skierbiszewski, C.; Brandt, O.

    2018-01-01

    Previously, we found that N-polar (In,Ga)N/GaN quantum wells prepared on freestanding GaN substrates by plasma-assisted molecular beam epitaxy at conventional growth temperatures of about 650 °C do not exhibit any detectable luminescence even at 10 K. In the present work, we investigate (In,Ga)N/GaN quantum wells grown on Ga- and N-polar GaN substrates at a constant temperature of 730 °C . This exceptionally high temperature results in a vanishing In incorporation for the Ga-polar sample. In contrast, quantum wells with an In content of 20% and abrupt interfaces are formed on N-polar GaN. Moreover, these quantum wells exhibit a spatially uniform green luminescence band up to room temperature, but the intensity of this band is observed to strongly quench with temperature. Temperature-dependent photoluminescence transients show that this thermal quenching is related to a high density of nonradiative Shockley-Read-Hall centers with large capture coefficients for electrons and holes.

  2. Control of ion content and nitrogen species using a mixed chemistry plasma for GaN grown at extremely high growth rates >9 μm/h by plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Gunning, Brendan P.; Clinton, Evan A.; Merola, Joseph J.; Doolittle, W. Alan; Bresnahan, Rich C.

    2015-10-01

    Utilizing a modified nitrogen plasma source, plasma assisted molecular beam epitaxy (PAMBE) has been used to achieve higher growth rates in GaN. A higher conductance aperture plate, combined with higher nitrogen flow and added pumping capacity, resulted in dramatically increased growth rates up to 8.4 μm/h using 34 sccm of N2 while still maintaining acceptably low operating pressure. It was further discovered that argon could be added to the plasma gas to enhance growth rates up to 9.8 μm/h, which was achieved using 20 sccm of N2 and 7.7 sccm Ar flows at 600 W radio frequency power, for which the standard deviation of thickness was just 2% over a full 2 in. diameter wafer. A remote Langmuir style probe employing the flux gauge was used to indirectly measure the relative ion content in the plasma. The use of argon dilution at low plasma pressures resulted in a dramatic reduction of the plasma ion current by more than half, while high plasma pressures suppressed ion content regardless of plasma gas chemistry. Moreover, different trends are apparent for the molecular and atomic nitrogen species generated by varying pressure and nitrogen composition in the plasma. Argon dilution resulted in nearly an order of magnitude achievable growth rate range from 1 μm/h to nearly 10 μm/h. Even for films grown at more than 6 μm/h, the surface morphology remained smooth showing clear atomic steps with root mean square roughness less than 1 nm. Due to the low vapor pressure of Si, Ge was explored as an alternative n-type dopant for high growth rate applications. Electron concentrations from 2.2 × 1016 to 3.8 × 1019 cm-3 were achieved in GaN using Ge doping, and unintentionally doped GaN films exhibited low background electron concentrations of just 1-2 × 1015 cm-3. The highest growth rates resulted in macroscopic surface features due to Ga cell spitting, which is an engineering challenge still to be addressed. Nonetheless, the dramatically enhanced growth rates demonstrate

  3. Efficient room temperature hydrogen sensor based on UV-activated ZnO nano-network

    Science.gov (United States)

    Kumar, Mohit; Kumar, Rahul; Rajamani, Saravanan; Ranwa, Sapana; Fanetti, Mattia; Valant, Matjaz; Kumar, Mahesh

    2017-09-01

    Room temperature hydrogen sensors were fabricated from Au embedded ZnO nano-networks using a 30 mW GaN ultraviolet LED. The Au-decorated ZnO nano-networks were deposited on a SiO2/Si substrate by a chemical vapour deposition process. X-ray diffraction (XRD) spectrum analysis revealed a hexagonal wurtzite structure of ZnO and presence of Au. The ZnO nanoparticles were interconnected, forming nano-network structures. Au nanoparticles were uniformly distributed on ZnO surfaces, as confirmed by FESEM imaging. Interdigitated electrodes (IDEs) were fabricated on the ZnO nano-networks using optical lithography. Sensor performances were measured with and without UV illumination, at room temperate, with concentrations of hydrogen varying from 5 ppm to 1%. The sensor response was found to be ˜21.5% under UV illumination and 0% without UV at room temperature for low hydrogen concentration of 5 ppm. The UV-photoactivated mode enhanced the adsorption of photo-induced O- and O2- ions, and the d-band electron transition from the Au nanoparticles to ZnO—which increased the chemisorbed reaction between hydrogen and oxygen. The sensor response was also measured at 150 °C (without UV illumination) and found to be ˜18% at 5 ppm. Energy efficient low cost hydrogen sensors can be designed and fabricated with the combination of GaN UV LEDs and ZnO nanostructures.

  4. Taevo Gans / Ene Ammer

    Index Scriptorium Estoniae

    Ammer, Ene

    1998-01-01

    Sisearhitekt Taevo Gansist. Tudengipõlvest, selle aja projektidest, sõpruskonnast, tandemist Summatavet & Gans, Venemaa tellimustest, kaastöölistest. Üksinda Hommilkumaal vene tarbekunsti näitusega 1974. a. 1988. a. loodud perefirmast "GaDis" (omanikud Taevo, Helle Gans, Riia Oja), mis nõustab ka "Wermot" mööbli osas. "GaDise" sisekujundusprojektidest, millega Taevo ja Helle Gans tegelevad üheskoos

  5. XRD Investigation of the relaxation of InAsP layers grown by CBE on (100) InP

    NARCIS (Netherlands)

    Marschner, T.H.; Leijs, M.R.; Vonk, H.; Wolter, J.H.

    1998-01-01

    We present X-ray diffraction (XRD) investigations of the influence of the substrate off-orientation on the relaxation of InAsP layers grown on InP by chemical beam epitaxy (CBE). Our measurements show that with beginning relaxation the As-concentration increases drastically and stays constant if the

  6. The formation of hexagonal-shaped InGaN-nanodisk on GaN-nanowire observed in plasma source molecular beam epitaxy

    KAUST Repository

    Ng, Tien Khee

    2014-03-08

    We report on the properties and growth kinetics of defect-free, photoluminescence (PL) efficient mushroom-like nanowires (MNWs) in the form of ~30nm thick hexagonal-shaped InGaN-nanodisk on GaN nanowires, coexisting with the conventional rod-like InGaN-on-GaN nanowires (RNWs) on (111)-silicon-substrate. When characterized using confocal microscopy (CFM) with 458nm laser excitation, while measuring spontaneous-emission at fixed detection wavelengths, the spatial intensity map evolved from having uniform pixelated emission, to having only an emission ring, and then a round emission spot. This corresponds to the PL emission with increasing indium composition; starting from emission mainly from the RNW, and then the 540 nm emission from one MNWs ensemble, followed by the 590 nm emission from a different MNW ensemble, respectively. These hexagonal-shaped InGaN-nano-disks ensembles were obtained during molecular-beam-epitaxy (MBE) growth. On the other hand, the regular rod-like InGaN-on-GaN nanowires (RNWs) were emitting at a shorter peak wavelength of 490 nm. While the formation of InGaN rod-like nanowire is well-understood, the formation of the hexagonal-shaped InGaN-nanodisk-on-GaN-nanowire requires further investigation. It was postulated to arise from the highly sensitive growth kinetics during plasma-assisted MBE of InGaN at low temperature, i.e. when the substrate temperature was reduced from 800 °C (GaN growth) to <600 °C (InGaN growth), during which sparsely populated metal-droplet formation prevails and further accumulated more indium adatoms due to a higher cohesive bond between metallic molecules. © (2014) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  7. High current density 2D/3D MoS2/GaN Esaki tunnel diodes

    Science.gov (United States)

    Krishnamoorthy, Sriram; Lee, Edwin W.; Lee, Choong Hee; Zhang, Yuewei; McCulloch, William D.; Johnson, Jared M.; Hwang, Jinwoo; Wu, Yiying; Rajan, Siddharth

    2016-10-01

    The integration of two-dimensional materials such as transition metal dichalcogenides with bulk semiconductors offer interesting opportunities for 2D/3D heterojunction-based device structures without any constraints of lattice matching. By exploiting the favorable band alignment at the GaN/MoS2 heterojunction, an Esaki interband tunnel diode is demonstrated by transferring large area Nb-doped, p-type MoS2 onto heavily n-doped GaN. A peak current density of 446 A/cm2 with repeatable room temperature negative differential resistance, peak to valley current ratio of 1.2, and minimal hysteresis was measured in the MoS2/GaN non-epitaxial tunnel diode. A high current density of 1 kA/cm2 was measured in the Zener mode (reverse bias) at -1 V bias. The GaN/MoS2 tunnel junction was also modeled by treating MoS2 as a bulk semiconductor, and the electrostatics at the 2D/3D interface was found to be crucial in explaining the experimentally observed device characteristics.

  8. Growth kinetics and structural perfection of (InN){sub 1}/(GaN){sub 1–20} short-period superlattices on +c-GaN template in dynamic atomic layer epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kusakabe, Kazuhide; Hashimoto, Naoki; Wang, Ke; Imai, Daichi [Center for SMART Green Innovation Research, Chiba University, 1-33 Yayoi-cho, Inage-ku, Chiba 263-8522 (Japan); Itoi, Takaomi [Graduate School of Engineering, Chiba University, 1-33 Yayoi-cho, Inage-ku, Chiba 263-8522 (Japan); Yoshikawa, Akihiko, E-mail: yoshi@faculty.chiba-u.jp [Center for SMART Green Innovation Research, Chiba University, 1-33 Yayoi-cho, Inage-ku, Chiba 263-8522 (Japan); Graduate School of Engineering, Kogakuin University, Hachioji, Tokyo 192-0015 (Japan)

    2016-04-11

    The growth kinetics and structural perfection of (InN){sub 1}/(GaN){sub 1–20} short-period superlattices (SPSs) were investigated with their application to ordered alloys in mind. The SPSs were grown on +c-GaN template at 650 °C by dynamic atomic layer epitaxy in conventional plasma-assisted molecular beam epitaxy. It was found that coherent structured InN/GaN SPSs could be fabricated when the thickness of the GaN barrier was 4 ML or above. Below 3 ML, the formation of SPSs was quite difficult owing to the increased strain in the SPS structure caused by the use of GaN as a template. The effective or average In composition of the (InN){sub 1}/(GaN){sub 4} SPSs was around 10%, and the corresponding InN coverage in the ∼1 ML-thick InN wells was 50%. It was found that the effective InN coverage in ∼1 ML-thick InN wells could be varied with the growth conditions. In fact, the effective In composition could be increased up to 13.5%, i.e., the corresponding effective InN coverage was about 68%, by improving the capping/freezing speed by increasing the growth rate of the GaN barrier layer.

  9. Growth and characterizations of semipolar (1122) InN

    International Nuclear Information System (INIS)

    Dinh, Duc V.; Skuridina, D.; Solopow, S.; Frentrup, M.; Pristovsek, M.; Vogt, P.; Kneissl, M.; Ivaldi, F.; Kret, S.; Szczepańska, A.

    2012-01-01

    We report on metal-organic vapor phase epitaxial growth of (1122) InN on (1122) GaN templates on m-plane (1010) sapphire substrates. The in-plane relationship of the (1122) InN samples is [1123] InN ‖‖[0001] sapphire and [1100] InN ‖‖[1210] sapphire , replicating the in-plane relationship of the (1122) GaN templates. The surface of the (1122) InN samples and the (1122) GaN templates shows an undulation along [1100] InN,GaN , which is attributed to anisotropic diffusion of indium/gallium atoms on the (1122) surfaces. The growth rate of the (1122) InN layers was 3-4 times lower compared to c-plane (0001) InN. High resolution transmission electron microscopy showed a relaxed interface between the (1122) InN layers and the (1122) GaN templates, consistent with x-ray diffraction results. Basal plane stacking faults were found in the (1122) GaN templates but they were terminated at the InN/(1122) GaN interface due to the presence of misfit dislocations along the entire InN/GaN interface. The misfit dislocations were contributed to the fully relaxation and the tilts of the (1122) InN layers. X-ray photoelectron spectroscopy was used to determine the polarity of the grown (1122) InN sample, indicating an In-polar (1122) InN. The valence band maximum was determined to be at (1.7 ± 0.1) eV for the (1122) InN sample, comparable to In-polar c-plane InN.

  10. Structure and strain relaxation effects of defects in InxGa1−xN epilayers

    International Nuclear Information System (INIS)

    Rhode, S. L.; Fu, W. Y.; Massabuau, F. C.-P.; Kappers, M. J.; McAleese, C.; Oehler, F.; Humphreys, C. J.; Sahonta, S.-L.; Moram, M. A.; Dusane, R. O.

    2014-01-01

    The formation of trench defects is observed in 160 nm-thick In x Ga 1−x N epilayers with x ≤ 0.20, grown on GaN on (0001) sapphire substrates using metalorganic vapour phase epitaxy. The trench defect density increases with increasing indium content, and high resolution transmission electron microscopy shows an identical structure to those observed previously in InGaN quantum wells, comprising meandering stacking mismatch boundaries connected to an I 1 -type basal plane stacking fault. These defects do not appear to relieve in-plane compressive strain. Other horizontal sub-interface defects are also observed within the GaN pseudosubstrate layer of these samples and are found to be pre-existing threading dislocations which form half-loops by bending into the basal plane, and not basal plane stacking faults, as previously reported by other groups. The origins of these defects are discussed and are likely to originate from a combination of the small in-plane misorientation of the sapphire substrate and the thermal mismatch strain between the GaN and InGaN layers grown at different temperatures.

  11. Zn doped GaN for single-photon emission

    Energy Technology Data Exchange (ETDEWEB)

    Behrends, Arne; Ledig, Johannes; Al-Suleiman, Mohamed Aid Mansur; Bakin, Andrey; Waag, Andreas [Institute of Semiconductor Technology, University of Technology Braunschweig, Hans-Sommer-Str. 66, 38106 Braunschweig (Germany); Peters, Silke; Racu, Ana Maria; Schmunk, Waldemar; Hofer, Helmut; Kueck, Stefan [Physikalisch Technische Bundesanstalt (PTB), Bundesallee 100, 38116 Braunschweig (Germany)

    2012-03-15

    In this work we report on the optical investigation of Zn doped GaN films fabricated by metal organic chemical vapor deposition. The samples show bright emission in the blue spectral range around 2.9 eV when Si codoping is provided. This emission is suggested to be used for single-photon emission, thus the density of the Zn-Si pairs was drastically reduced leading to a decrease of the blue luminescence. For electrically excited single-photon sources these Zn-Si pairs have to be incorporated into LEDs, therefore we fabricated GaN-based nano-LEDs which show electroluminescence at 430 nm (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Study on ECR dry etching and selective MBE growth of AlGaN/GaN for fabrication of quantum nanostructures on GaN (0001) substrates

    International Nuclear Information System (INIS)

    Oikawa, Takeshi; Ishikawa, Fumitaro; Sato, Taketomo; Hashizume, Tamotsu; Hasegawa, Hideki

    2005-01-01

    This paper attempts to form AlGaN/GaN quantum wire (QWR) network structures on patterned GaN (0001) substrates by selective molecular beam epitaxy (MBE) growth. Substrate patterns were prepared along - and -directions by electron cyclotron resonance assisted reactive-ion beam etching (ECR-RIBE) process. Selective growth was possible for both directions in the case of GaN growth, but only in the -direction in the case of AlGaN growth. A hexagonal QWR network was successfully grown on a hexagonal mesa pattern by combining the -direction and two other equivalent directions. AFM observation confirmed excellent surface morphology of the grown network. A clear cathodoluminescence (CL) peak coming from the embedded AlGaN/GaN QWR structure was clearly identified

  13. In situ synthesis and characterization of GaN nanorods through thermal decomposition of pre-grown GaN films

    International Nuclear Information System (INIS)

    Yan, P; Qin, D; An, Y K; Li, G Z; Xing, J; Liu, J J

    2008-01-01

    Herein we describe a thermal treatment route to synthesize gallium nitride (GaN) nanorods. In this method, GaN nanorods were synthesized by thermal treatment of GaN films at a temperature of 800 deg. C. The morphology and structure of GaN nanorods were characterized by scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The results show that GaN nanorods have a hexagonal wurtzite structure with diameters ranging from 30 to 50 nm. Additionally, GaN nanoplates are also founded in the products. The growth process of GaN nanostructures was investigated and a thermal decomposition mechanism was proposed. Our method provides a cost-effective route to fabricate GaN nanorods, which will benefit the fabrication of one-dimensional nanomaterials and device applications

  14. Spin-relaxation time in the impurity band of wurtzite semiconductors

    Science.gov (United States)

    Tamborenea, Pablo I.; Wellens, Thomas; Weinmann, Dietmar; Jalabert, Rodolfo A.

    2017-09-01

    The spin-relaxation time for electrons in the impurity band of semiconductors with wurtzite crystal structure is determined. The effective Dresselhaus spin-orbit interaction Hamiltonian is taken as the source of the spin relaxation at low temperature and for doping densities corresponding to the metallic side of the metal-insulator transition. The spin-flip hopping matrix elements between impurity states are calculated and used to set up a tight-binding Hamiltonian that incorporates the symmetries of wurtzite semiconductors. The spin-relaxation time is obtained from a semiclassical model of spin diffusion, as well as from a microscopic self-consistent diagrammatic theory of spin and charge diffusion in doped semiconductors. Estimates are provided for particularly important materials. The theoretical spin-relaxation times compare favorably with the corresponding low-temperature measurements in GaN and ZnO. For InN and AlN we predict that tuning of the spin-orbit coupling constant induced by an external potential leads to a potentially dramatic increase of the spin-relaxation time related to the mechanism under study.

  15. Optimization of GaN Nanorod Growth Conditions for Coalescence Overgrowth

    Science.gov (United States)

    2016-02-04

    21, 2016 PI: Chih-Chung (C. C.) Yang, ccycc@ntu.edu.tw Graduate Institute of Photonics and Optoelectronics, National Taiwan University...nanowire light emitting diodes grown on (001) silicon by molecular beam epitaxy, Nano Lett. 10 (2010) 3355-3359. [16] W. Guo, A. Banerjee, P...Bhattacharya, B.S. Ooi, InGaN/GaN disk-in-nanowire white light emitting diodes on (001) silicon , Appl. Phys. Lett. 98 (2011) 193102. [17] H.P.T. Nguyen, M

  16. Selected Energy Epitaxial Deposition and Low Energy Electron Microscopy of AlN, GaN and SiC Thin Films

    National Research Council Canada - National Science Library

    Davis, R

    1999-01-01

    The homoepitaxial growth of GaN(0001) layers was studied in situ and in real time using the low-energy electron microscope and ex situ using atomic force microscopy and transmission electron microscopy...

  17. Submicron beam X-ray diffraction of nanoheteroepitaxily grown GaN: Experimental challenges and calibration procedures

    Energy Technology Data Exchange (ETDEWEB)

    Bonanno, P.L., E-mail: PeterBonanno@gatech.ed [Georgia Institute of Technology/GTL, UMI 2958 Georgia Tech-CNRS, 57070 Metz (France); Gautier, S. [Laboratoire Materiaux Optiques, Photonique et Micro-Nano Systemes, UMR CNRS 7132, Universite de Metz et SUPELEC, 2 rue E. Belin, 57070 Metz (France); Sirenko, A.A. [Department of Physics, New Jersey Institute of Technology, Newark, NJ 07102 (United States); Kazimirov, A. [Cornell High Energy Synchrotron Source (CHESS), Cornell University, Ithaca, New York 14853 (United States); Cai, Z.-H. [Advanced Photon Source, 9700 S. Cass Avenue, Argonne, IL 60439 (United States); Goh, W.H. [Georgia Institute of Technology/GTL, UMI 2958 Georgia Tech-CNRS, 57070 Metz (France); Martin, J. [Laboratoire Materiaux Optiques, Photonique et Micro-Nano Systemes, UMR CNRS 7132, Universite de Metz et SUPELEC, 2 rue E. Belin, 57070 Metz (France); Martinez, A. [Laboratoire de Photonique et de Nanostructures, UPR CNRS 20, Route de Nozay, 91460 Marcoussis (France); Moudakir, T. [Laboratoire Materiaux Optiques, Photonique et Micro-Nano Systemes, UMR CNRS 7132, Universite de Metz et SUPELEC, 2 rue E. Belin, 57070 Metz (France); Maloufi, N. [Laboratoire d' Etude des Textures et Application aux Materiaux, UMR CNRS 7078, Ile du Saulcy, 57045 Metz Cedex 1 (France); Assouar, M.B. [Laboratoire de Physique des Milieux Ionises et Applications, Nancy University, CNRS, BP 239, F-54506 Vandoeuvre-les-Nancy Cedex (France); Ramdane, A.; Gratiet, L. Le [Laboratoire de Photonique et de Nanostructures, UPR CNRS 20, Route de Nozay, 91460 Marcoussis (France); Ougazzaden, A. [Georgia Institute of Technology/GTL, UMI 2958 Georgia Tech-CNRS, 57070 Metz (France)

    2010-02-15

    Highly relaxed GaN nanodots and submicron ridges have been selectively grown in the NSAG regime using MOVPE on lattice mismatched 6H-SiC and AlN substrates. 2D real space and 3D reciprocal space mapping was performed with a CCD detector using 10.4 keV synchrotron X-ray radiation at the 2-ID-D micro-diffraction beamline at Advanced Photon Source (APS). Calibration procedures have been developed to overcome the unique challenges of analyzing NSAG structures grown on highly mismatched substrates. We studied crystallographic planar bending on the submicron scale and found its correlation with strain relaxation in the NSAG ridges.

  18. Luminescence of highly excited nonpolar a-plane GaN and AlGaN/GaN multiple quantum wells

    International Nuclear Information System (INIS)

    Jursenas, S.; Kuokstis, E.; Miasojedovas, S.; Kurilcik, G.; Zukauskas, A.; Chen, C.Q.; Yang, J.W.; Adivarahan, V.; Asif Khan, M.

    2004-01-01

    Carrier recombination dynamics in polar and nonpolar GaN epilayers and GaN/AlGaN multiple quantum wells grown over sapphire substrates with a various crystallographic orientation were studied under high photoexcitation by 20 ps laser pulses. The transient luminescence featured a significant enhancement on nonradiative recombination of free carriers for nonpolar a-plane GaN epilayers compared to conventional c-plane samples. The epitaxial layer overgrowth technique was demonstrated to significantly improve the quality of nonpolar a-plane films. This was proved by more than 40-fold increase in luminescence decay time (430 ps compared to ≤ 10 ps in the ordinary a-plane epilayer). Under high-excitation regime, a complete screening of built-in electric field by free carriers in multiple quantum wells grown on c-plane and r-plane sapphire substrates was achieved. Under such high excitation, luminescence efficiency and carrier lifetime of multiple quantum wells were shown to be determined by the substrate quality. (author)

  19. Proposal and achievement of novel structure InN/GaN multiple quantum wells consisting of 1 ML and fractional monolayer InN wells inserted in GaN matrix

    International Nuclear Information System (INIS)

    Yoshikawa, A.; Che, S. B.; Yamaguchi, W.; Saito, H.; Wang, X. Q.; Ishitani, Y.; Hwang, E. S.

    2007-01-01

    The authors propose and demonstrate the fabrication of InN/GaN multiple quantum well (MQW) consisting of 1 ML and fractional monolayer InN well insertion in GaN matrix under In-polarity growth regime. Since the critical thickness of InN epitaxy on GaN is about 1 ML and the growth temperature for 1 ML InN insertion can be remarkably higher, the proposed MQW structure can avoid/reduce generation of misfit dislocation, resulting in higher quality MQW-structure nature in principle than former InN-based MQWs. The proposed InN/GaN MQWs are potentially applicable to room temperature operating excitonic devices working in short-wavelength visible colors

  20. Structure and strain relaxation effects of defects in In{sub x}Ga{sub 1–x}N epilayers

    Energy Technology Data Exchange (ETDEWEB)

    Rhode, S. L., E-mail: sr583@cam.ac.uk; Fu, W. Y.; Massabuau, F. C.-P.; Kappers, M. J.; McAleese, C.; Oehler, F.; Humphreys, C. J.; Sahonta, S.-L. [Department of Materials Science and Metallurgy, University of Cambridge, Charles Babbage Road, Cambridge CB3 0FS (United Kingdom); Moram, M. A. [Department of Materials Science and Metallurgy, University of Cambridge, Charles Babbage Road, Cambridge CB3 0FS (United Kingdom); Department of Materials, Imperial College London, Exhibition Road, London SW7 2AZ (United Kingdom); Dusane, R. O. [Department of Metallurgical Engineering and Materials Science, Indian Institute of Technology Bombay, Mumbai 400076 (India)

    2014-09-14

    The formation of trench defects is observed in 160 nm-thick In{sub x}Ga{sub 1–x}N epilayers with x≤0.20, grown on GaN on (0001) sapphire substrates using metalorganic vapour phase epitaxy. The trench defect density increases with increasing indium content, and high resolution transmission electron microscopy shows an identical structure to those observed previously in InGaN quantum wells, comprising meandering stacking mismatch boundaries connected to an I₁-type basal plane stacking fault. These defects do not appear to relieve in-plane compressive strain. Other horizontal sub-interface defects are also observed within the GaN pseudosubstrate layer of these samples and are found to be pre-existing threading dislocations which form half-loops by bending into the basal plane, and not basal plane stacking faults, as previously reported by other groups. The origins of these defects are discussed and are likely to originate from a combination of the small in-plane misorientation of the sapphire substrate and the thermal mismatch strain between the GaN and InGaN layers grown at different temperatures.