WorldWideScience

Sample records for reflective dielectric mirrors

  1. Actuated polymer based dielectric mirror for visual spectral range applications

    Science.gov (United States)

    Vergara, Pedro P.; Lunardi, Leda

    2017-08-01

    Miniature dielectric mirrors are useful components for lasers, thin film beam splitters and high quality mirrors in optics. These mirrors usually made from rigid inorganic materials can achieve a reflectance of almost one hundred percent. Being structural components, as soon as fabricated their reflectance and/or bandwidth remains constant. Here it is presented a novel fabrication process of a dielectric mirror based on free standing polymer layers. By applying an electrostatic force between the top and the bottom layers the reflectance can be changed. The large difference between the polymers refractive index and the air allows to achieve a reflectance of more than 85% using only six pairs of nanolayers. Preliminary simulations indicate an actuation speed of less than 1ms. Experimental optical characterization of fabricated structures agrees well with simulation results. Furthermore, structures can be designed to reflect a particular set of colors and/or isolated by using color filters, so a color pixel is fabricated, where the reflectance for each isolated color can be voltage controlled. Potential applications include an active component in a reflective screen display.

  2. Reflection from a flat dielectric film with negative refractive index

    OpenAIRE

    Hillion, Pierre

    2007-01-01

    We analyse the reflection of a TM electromagnetic field first on a flat dielectric film and second on a Veselago film with negative refractive index, both films being deposited on a metallic substrat acting as a mirror. An incident harmonic plane wave generates inside a conventional dielectric film a refracted propagating wave and an evanescent wave that does not contribute to reflection on the metallic substrat so that part of the information conveyed by the incident field is lost. At the op...

  3. Efficiency enhancement of semitransparent organic solar cells by using printed dielectric mirrors (Presentation Recording)

    Science.gov (United States)

    Bronnbauer, Carina; Forberich, Karen K.; Guo, Fei; Gasparini, Nicola; Brabec, Christoph J.

    2015-09-01

    Building integrated thin film solar cells are a strategy for future eco-friendly power generation. Such solar cells have to be semi-transparent, long-term stable and show the potential to be fabricated by a low-cost production process. Organic photovoltaics are a potential candidate because an absorber material with its main absorption in the infrared spectral region where the human eye is not sensitive can be chosen. We can increase the number of absorbed photons, at the same time, keep the transparency almost constant by using a dielectric, wavelength-selective mirror. The mirror reflects only in the absorption regime of the active layer material and shows high transparencies in the spectral region around 550 nm where the human eye is most sensitive. We doctor bladed a fully solution processed dielectric mirror at low temperatures below 80 °C. Both inks, which are printed alternatingly are based on nanoparticles and have a refractive index of 1.29 or 1.98, respectively, at 500 nm. The position and the intensity of the main reflection peak can be easily shifted and thus adjusted to the solar cell absorption spectrum. Eventually, the dielectric mirror was combined with different organic solar cells. For instance, the current increases by 20.6 % while the transparency decreases by 23.7 % for the low band gap absorber DPP and silver nanowires as top electrode. Moreover we proved via experiment and optical simulations, that a variation of the active layer thickness and the position of the main reflection peak affect the transparency and the increase in current.

  4. High index of refraction films for dielectric mirrors prepared by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Brusasco, R.M.

    1989-01-01

    A wide variety of metal oxides with high index of refraction can be prepared by Metal-Organic Chemical Vapor Deposition. We present some recent optical and laser damage results on oxide films prepared by MOCVD which could be used in a multilayer structure for highly reflecting (HR) dielectric mirror applications. The method of preparation affects both optical properties and laser damage threshold. 10 refs., 8 figs., 4 tabs

  5. Preparation of dielectrics HR mirrors from colloidal oxide suspensions containing organic polymer binders

    International Nuclear Information System (INIS)

    Thomas, I.M.

    1994-01-01

    Colloidal suspensions of oxides have been used to prepare dielectric HR (high reflective) mirrors, specifically for high power fusion case applications, on substrates up to 38 cm square using a meniscus coater. These coatings consist of porous quarterwave layers of alternating high and low refractive index oxides. Silica was used as the low index oxide and AlOOH, ZrO 2 , or HfO 2 as the high index material. Coatings were weak because of low particle-to-particle adhesion. Use of organic polymer binders in the high index component was found to increase strength, thereby improving the laser damage threshold and also reducing the number of layers required for 99% reflection due to increased refractive index

  6. Robust optimization of the laser induced damage threshold of dielectric mirrors for high power lasers.

    Science.gov (United States)

    Chorel, Marine; Lanternier, Thomas; Lavastre, Éric; Bonod, Nicolas; Bousquet, Bruno; Néauport, Jérôme

    2018-04-30

    We report on a numerical optimization of the laser induced damage threshold of multi-dielectric high reflection mirrors in the sub-picosecond regime. We highlight the interplay between the electric field distribution, refractive index and intrinsic laser induced damage threshold of the materials on the overall laser induced damage threshold (LIDT) of the multilayer. We describe an optimization method of the multilayer that minimizes the field enhancement in high refractive index materials while preserving a near perfect reflectivity. This method yields a significant improvement of the damage resistance since a maximum increase of 40% can be achieved on the overall LIDT of the multilayer.

  7. Coupled Optical Tamm States in a Planar Dielectric Mirror Structure Containing a Thin Metal Film

    International Nuclear Information System (INIS)

    Zhou Hai-Chun; Yang Guang; Lu Pei-Xiang; Wang Kai; Long Hua

    2012-01-01

    The coupling between two optical Tamm states (OTSs) with the same eigenenergy is numerically investigated in a planar dielectric mirror structure containing a thin metal film. The reflectivity map in this structure at normal incidence is obtained by applying the transfer matrix method. Two splitting branches appear in the photonic bandgap region when both adjacent dielectric layers of metal film are properly set. The splitting energy of two branches strongly depends on the thickness of the metal film. According to the electric field distribution in this structure, it is found that the high-energy branch corresponds to the antisymmetric coupling between two OTSs, while the low-energy branch is associated with the symmetric coupling between two OTSs. Moreover, the optical difference frequency of two branches is located in a broad terahertz region. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  8. Near-field flat focusing mirrors

    Science.gov (United States)

    Cheng, Yu-Chieh; Staliunas, Kestutis

    2018-03-01

    This article reviews recent progress towards the design of near-field flat focusing mirrors, focusing/imaging light patterns in reflection. An important feature of such flat focusing mirrors is their transverse invariance, as they do not possess any optical axis. We start with a review of the physical background to the different focusing mechanisms of near- and far-field focusing. These near-field focusing devices like flat lenses and the reviewed near-field focusing mirrors can implement planar focusing devices without any optical axis. In contrast, various types of far-field planar focusing devices, such as high-contrast gratings and metasurfaces, unavoidably break the transverse invariance due to their radially symmetrical structures. The particular realizations of near-field flat focusing mirrors including Bragg-like dielectric mirrors and dielectric subwavelength gratings are the main subjects of the review. The first flat focusing mirror was demonstrated with a chirped mirror and was shown to manage an angular dispersion for beam focusing, similar to the management of chromatic dispersion for pulse compression. Furthermore, the reviewed optimized chirped mirror demonstrated a long near-field focal length, hardly achieved by a flat lens or a planar hyperlens. Two more different configurations of dielectric subwavelength gratings that focus a light beam at normal or oblique incidence are also reviewed. We also summarize and compare focusing performance, limitations, and future perspectives between the reviewed flat focusing mirrors and other planar focusing devices including a flat lens with a negative-index material, a planar hyperlens, a high-contrast grating, and a metasurface.

  9. Passivation coating for flexible substrate mirrors

    Science.gov (United States)

    Tracy, C. Edwin; Benson, David K.

    1990-01-01

    A protective diffusion barrier for metalized mirror structures is provided by a layer or coating of silicon nitride which is a very dense, transparent, dielectric material that is impervious to water, alkali, and other impurities and corrosive substances that typically attack the metal layers of mirrors and cause degradation of the mirrors' reflectivity. The silicon nitride layer can be deposited on the substrate before metal deposition thereon to stabilize the metal/substrate interface, and it can be deposited over the metal to encapsulate it and protect the metal from corrosion or other degradation. Mirrors coated with silicon nitride according to this invention can also be used as front surface mirrors. Also, the silver or other reflective metal layer on mirrors comprising thin, lightweight, flexible substrates of metal or polymer sheets coated with glassy layers can be protected with silicon nitride according to this invention.

  10. Four-Mirror Freeform Reflective Imaging Systems

    Data.gov (United States)

    National Aeronautics and Space Administration — Central Objectives: The research involves a revelation of the solution space for revolutionary families of four-mirror freeform reflective imaging systems. A...

  11. Beam line optics technologies series (7). Orthopedic treatment of sharp of light (reflecting mirror)

    International Nuclear Information System (INIS)

    Uruga, Tomoya; Nomura, Masaharu

    2006-01-01

    A reflecting mirror (mirror) is the most popular light device for orthopedic treatment of the shape of light. The paper explains the kinds of mirror for hard X-ray field and its applications in order to think the objects of mirror and how to adjust it when user experiment on the beam-line. The basic knowledge of reflection of X-ray, a use of mirror, the kinds of condenser mirror, the influence factors on the condenser size, arrangement of mirror in the hard X-ray beam-line, what kinds of mirror are necessary, evaluation of performance of mirror and adjustment, and troubles and measures are described. Layout in optics hutch at BL01B1 at SPring-8, refraction and total reflection of X-rays at surface, reflectivity of Rh and Pt with ideal surface as a function of photon energy, effects of surface roughness on reflectivity of Rh, calculated beam sizes for typical SPring-8 mirror as a function of magnification, schematic drawing of mirror, standard mirror system for vertical deflection in bending magnet beam-line, and observed and calculated reflectivity of Rh double mirror at BL01B1 at SPring-8 are illustrated. (S.Y)

  12. Mechanical loss in tantala/silica dielectric mirror coatings

    International Nuclear Information System (INIS)

    Penn, Steven D; Sneddon, Peter H; Armandula, Helena; Betzwieser, Joseph C; Cagnoli, Gianpietro; Camp, Jordan; Crooks, D R M; Fejer, Martin M; Gretarsson, Andri M; Harry, Gregory M; Hough, Jim; Kittelberger, Scott E; Mortonson, Michael J; Route, Roger; Rowan, Sheila; Vassiliou, Christophoros C

    2003-01-01

    Current interferometric gravitational wave detectors use test masses with mirror coatings formed from multiple layers of dielectric materials, most commonly alternating layers of SiO 2 (silica) and Ta 2 O 5 (tantala). However, mechanical loss in the Ta 2 O 5 /SiO 2 coatings may limit the design sensitivity for advanced detectors. We have investigated sources of mechanical loss in the Ta 2 O 5 /SiO 2 coatings, including loss associated with the coating-substrate interface, with the coating-layer interfaces and with the coating materials. Our results indicate that the loss is associated with the coating materials and that the loss of Ta 2 O 5 is substantially larger than that of SiO 2

  13. Reflection-grating photorefractive self-pumped ring mirror

    Science.gov (United States)

    D'Iakov, V. A.; Korol'Kov, S. A.; Mamaev, A. V.; Shkunov, V. V.; Zozulia, A. A.

    1991-10-01

    A reflection-grating ring mirror using a photorefractive KNbO2 crystal with a response time of several milliseconds and a reflectivity of as much as 50 percent has been experimentally fabricated. A theoretical analysis of the geometry involved is made which provides only qualitative agreement with the experimental findings.

  14. Alternatives to SiOx for protective scan mirror coatings in remote sensing instruments

    Science.gov (United States)

    MacDonald, Michael E.

    1999-09-01

    Mirrors in remote sensing instruments require durable dielectric coatings, both to prevent oxidation of the reflective surface and to protect it during cleaning. IR absorption bands within widely-used SiOx coatings produce scene radiance and instrument background variations as a function of scan mirror angle which motivate the search for possible substitute materials. In this work several candidate coatings are evaluated including CeF3, HfO2, MgF2 SrF2, and Y2O3. This evaluation consists of reflectance, adhesion, and durability measurements of mirrors with an aluminum reflective surface over-coated with these materials. S-polarized and P- polarized reflectance measurements are presented between 2 and 20 micrometers for incidence angles between 40 and 50 degrees. This angular range is sufficient to scan the earth disk from geostationary orbit. Additional measurements at 45 degrees incidence are presented between 2 and 55 micrometers , covering the IR wavelength range of interest for earth radiation budget sensors. Comparisons are drawn with measurements of scan- mirror witness samples from the imaging and sounding instruments used in the Geostationary Operational Environmental Satellite (GOES). These witness samples exhibit reflectance variations arising from IR absorption bands in the SiOx protective coatings used in these mirrors. The spectral characteristics of several of the alternate materials are found to be quite attractive, however durable coatings of some of these materials require elevated deposition temperature which are incompatible with the nickel-coated beryllium scan mirror substrate construction used in GOES. This work present the achievable reflectance and durability of these alternate dielectric protective coatings at the deposition temperature constraints imposed by the scan mirror substrate. The prospects for substituting one of these coatings for SiOx are evaluated, and contrasted with the capability of radiometric calibration techniques to deal

  15. Variable reflectivity signal mirrors and signal response measurements

    International Nuclear Information System (INIS)

    Vine, Glenn de; Shaddock, Daniel A; McClelland, David E

    2002-01-01

    Future gravitational wave detectors will include some form of signal mirror in order to alter the signal response of the device. We introduce interferometer configurations which utilize a variable reflectivity signal mirror allowing a tunable peak frequency and variable signal bandwidth. A detector configured with a Fabry-Perot cavity as the signal mirror is compared theoretically with one using a Michelson interferometer for a signal mirror. A system for the measurement of the interferometer signal responses is introduced. This technique is applied to a power-recycled Michelson interferometer with resonant sideband extraction. We present broadband measurements of the benchtop prototype's signal response for a range of signal cavity detunings. This technique is also applicable to most other gravitational wave detector configurations

  16. Variable reflectivity signal mirrors and signal response measurements

    CERN Document Server

    Vine, G D; McClelland, D E

    2002-01-01

    Future gravitational wave detectors will include some form of signal mirror in order to alter the signal response of the device. We introduce interferometer configurations which utilize a variable reflectivity signal mirror allowing a tunable peak frequency and variable signal bandwidth. A detector configured with a Fabry-Perot cavity as the signal mirror is compared theoretically with one using a Michelson interferometer for a signal mirror. A system for the measurement of the interferometer signal responses is introduced. This technique is applied to a power-recycled Michelson interferometer with resonant sideband extraction. We present broadband measurements of the benchtop prototype's signal response for a range of signal cavity detunings. This technique is also applicable to most other gravitational wave detector configurations.

  17. Mirror and Bragg reflections of neutrons at a nuclear resonance: [Final technical report

    International Nuclear Information System (INIS)

    Batigun, C.M.; Brugger, R.M.

    1987-01-01

    These experiments have observed the mirror reflection and Bragg diffraction of neutrons at the energy of a low lying nuclear resonance of 115 In. The reflector was a mirror of In metal with the resonance at 1.457 eV. The mirror reflection for different angles of incidence has been measured and sets of data showing the relative reflectivities have been obtained. For the Bragg diffraction, the crystal was a wafer of InP and several examples of Bragg reflections near 1.455 eV were measured. 4 refs., 12 figs

  18. Reflective metallic coatings for first mirrors on ITER

    International Nuclear Information System (INIS)

    Eren, Baran; Marot, Laurent; Litnovsky, Andrey; Matveeva, Maria; Steiner, Roland; Emberger, Valentin; Wisse, Marco; Mathys, Daniel; Covarel, Gregory; Meyer, Ernst

    2011-01-01

    Metallic mirrors are foreseen to play a crucial role for all optical diagnostics in ITER. Therefore, the development of reliable techniques for the production of mirrors which are able to maintain their optical properties in the harsh ITER environment is highly important. By applying magnetron sputtering and evaporation techniques, rhodium and molybdenum films have been prepared for tokamak tests. The films were characterised in terms of chemical composition, surface roughness, crystallite structure, reflectivity and adhesion. No impurities were detected on the surface after deposition. The effects of deposition parameters and substrate temperature on the resulting crystallite structure, surface roughness and hence on the reflectivity, were investigated. The films are found to exhibit nanometric crystallites with a dense columnar structure. Open boundaries between the crystallite columns, which are sometimes present after evaporation, are found to reduce the reflectivity as compared to rhodium or molybdenum references.

  19. Reflective metallic coatings for first mirrors on ITER

    Energy Technology Data Exchange (ETDEWEB)

    Eren, Baran, E-mail: baran.eren@unibas.ch [Department of Physics, University of Basel, Klingelbergstrasse 82, CH-4056 Basel (Switzerland); Marot, Laurent [Department of Physics, University of Basel, Klingelbergstrasse 82, CH-4056 Basel (Switzerland); Litnovsky, Andrey; Matveeva, Maria [Institut fuer Energieforschung (Plasmaphysik), Forschungszentrum Juelich, Association EURATOM-FZJ, D 52425 Juelich (Germany); Steiner, Roland; Emberger, Valentin; Wisse, Marco [Department of Physics, University of Basel, Klingelbergstrasse 82, CH-4056 Basel (Switzerland); Mathys, Daniel [Centre of Microscopy, University of Basel, Klingelbergstrasse 50/70, CH-4056 Basel (Switzerland); Covarel, Gregory [Laboratoire de Physique et Mecanique Textile EA CNRS 7189, Universite de Haute Alsace, 61 rue Albert Camus, 68093 Mulhouse Cedex (France); Meyer, Ernst [Department of Physics, University of Basel, Klingelbergstrasse 82, CH-4056 Basel (Switzerland)

    2011-10-15

    Metallic mirrors are foreseen to play a crucial role for all optical diagnostics in ITER. Therefore, the development of reliable techniques for the production of mirrors which are able to maintain their optical properties in the harsh ITER environment is highly important. By applying magnetron sputtering and evaporation techniques, rhodium and molybdenum films have been prepared for tokamak tests. The films were characterised in terms of chemical composition, surface roughness, crystallite structure, reflectivity and adhesion. No impurities were detected on the surface after deposition. The effects of deposition parameters and substrate temperature on the resulting crystallite structure, surface roughness and hence on the reflectivity, were investigated. The films are found to exhibit nanometric crystallites with a dense columnar structure. Open boundaries between the crystallite columns, which are sometimes present after evaporation, are found to reduce the reflectivity as compared to rhodium or molybdenum references.

  20. Dielectric coatings on metal substrates

    International Nuclear Information System (INIS)

    Glaros, S.S.; Baker, P.; Milam, D.

    1976-01-01

    Large aperture, beryllium substrate-based mirrors have been used to focus high intensity pulsed laser beams. Finished surfaces have high reflectivity, low wavefront distortion, and high laser damage thresholds. This paper describes the development of a series of metallic coatings, surface finishing techniques, and dielectric overcoatings to meet specified performance requirements. Beryllium substrates were coated with copper, diamond-machined to within 5 micro-inches to final contour, nickel plated, and abrasively figured to final contour. Bond strengths for several bonding processes are presented. Dielectric overcoatings were deposited on finished multimetallic substrates to increase both reflectivity and the damage thresholds. Coatings were deposited using both high and low temperature processes which induce varying stresses in the finished coating substrate system. Data are presented to show the evolution of wavefront distortion, reflectivity, and damage thresholds throughout the many steps involved in fabrication

  1. Arsenic sulfide layers for dielectric reflection mirrors prepared from solution

    Science.gov (United States)

    Matějec, Vlastimil; Pedlikova, Jitka; BartoÅ, Ivo; Podrazký, Ondřej

    2017-12-01

    Chalcogenide materials due to high refractive indices, transparency in the mid-IR spectral region, nonlinear refractive indices, etc, have been employed as fibers and films in different photonic devices such as light amplifiers, optical regenerators, broadband radiation sources. Chalcogenide films can be prepared by physical methods as well as by solution-based techniques in which solutions of chalcogenides in amines are used. This paper presents results on the solution-based fabrication and optical characterization of single arsenic sulfide layers and multilayer stacks containing As2S3 layers together with porous silica layers coated on planar and fiber-optic substrates. Input As2S3 solutions for the layer fabrications were prepared by dissolving As2S3 powder in n-propylamine in a concentration of 0.50 mol/l. These solutions were applied on glass slides by dip-coating method and obtained layers were thermally treated in vacuum at temperatures up to 180 °C. Similar procedure was used for As2S3 layers in multilayer stacks. Such stacks were fabricated by repeating the application of one porous silica layer prepared by the sol-gel method and one As2S3 layer onto glass slides or silica fibers (a diameter of 0.3 mm) by using the dip-coating method. It has been found that the curing process of the applied layers has to be carefully controlled in order to obtain stacks with three pairs of such layers. Single arsenic and porous silica layers were characterized by optical microscopy, and by measuring their transmission spectra in a range of 200-2500 nm. Thicknesses and refractive indices were estimated from the spectra. Transmission spectra of planar multilayer stacks were measured, too. Interference bands have been determined from optical measurements on the multilayer stacks with a minimum transmittance of about 50% which indicates the possibility of using such stacks as reflecting mirrors.

  2. Reflective mirrors: perspective-taking in autoscopic phenomena.

    Science.gov (United States)

    Brugger, Peter

    2002-08-01

    ''Autoscopic phenomena refer to different illusory reduplications of one's own body and self. This article proposes a phenomenological differentiation of autoscopic reduplication into three distinct classes, i.e., autoscopic hallucinations, heautoscopy, and out-of-body experiences (OBEs). Published cases are analysed with special emphasis on the subject's point of view from which the reduplication is observed. In an autoscopic hallucination the observer's perspective is clearly body-centred, and the visual image of one's own body appears as a mirror reversal. Heautoscopy (i.e., the encounter with an alter ego or doppelgänger), is defined as a reduplication not only of bodily appearance, but also of aspects of one's psychological self. The observer's perspective may alternate between egocentric and ''alter-ego-centred''. As a consequence of the projection of bodily feelings into the doppelgänger (implying a mental rotation of one's own body along the vertical axis), original and reduplicated bodies are not mirror images of one another. This also holds for OBEs, where one's self is not reduplicated but appears to be completely dissociated from the body and observing it from a location in extracorporeal space. It is argued that perspective-taking in a spatial sense may be meaningfully related to perspective-taking in a psychological sense. The mirror in the autoscopic hallucination is a ''cognitively nonreflective mirror'' (Jean Cocteau), both spatially and psychologically. The reflective abilities of the heautoscopic mirror are better developed, yet frequent shifts in the observer's spatial perspective render the nature of psychological interactions between self and alter ego highly unpredictable. The doppelgänger may serve a transitivistic (i.e., own suffering is transferred to the alter ego) or aggressive function when this behaviour is directed against a patient. The mirror in an OBE is always reflective: It allows the self to view both space and one

  3. The spinning Kerr-black-hole-mirror bomb: A lower bound on the radius of the reflecting mirror

    Science.gov (United States)

    Hod, Shahar

    2016-10-01

    The intriguing superradiant amplification phenomenon allows an orbiting scalar field to extract rotational energy from a spinning Kerr black hole. Interestingly, the energy extraction rate can grow exponentially in time if the black-hole-field system is placed inside a reflecting mirror which prevents the field from radiating its energy to infinity. This composed Kerr-black-hole-scalar-field-mirror system, first designed by Press and Teukolsky, has attracted the attention of physicists over the last four decades. Previous numerical studies of this spinning black-hole bomb have revealed the interesting fact that the superradiant instability shuts down if the reflecting mirror is placed too close to the black-hole horizon. In the present study we use analytical techniques to explore the superradiant instability regime of this composed Kerr-black-hole-linearized-scalar-field-mirror system. In particular, it is proved that the lower bound rm/r+ >1/2 (√{ 1 +8M/r- } - 1) provides a necessary condition for the development of the exponentially growing superradiant instabilities in this composed physical system, where rm is the radius of the confining mirror and r± are the horizon radii of the spinning Kerr black hole. We further show that, in the linearized regime, this analytically derived lower bound on the radius of the confining mirror agrees with direct numerical computations of the superradiant instability spectrum which characterizes the spinning black-hole-mirror bomb.

  4. Portable reflection for C++ with the Mirror library

    Directory of Open Access Journals (Sweden)

    Matus Chochlik

    2012-06-01

    Full Text Available Reflection and reflective programming can be used for a wide range of tasks such as implementationof serialization-like operations, remote procedure calls, scripting, automated GUIgeneration,implementation of several software design patterns, etc. C++ as one of the mostprevalent programming languages however, for various reasons, lacks a standardized reflectionfacility. In this paper we present Mirror - a portable library adding reflection to C++ with acommand-line utility automating its usage. This library supports functional style static compiletimereflection and metaprogramming and also provides two different object-oriented run-timepolymorphic layers for dynamic reflection.

  5. Enhanced Fluoride Over-Coated Al Mirrors for FUV Astronomy

    Science.gov (United States)

    Quijada, Manuel A.; DelHoyo, Javier; Rice, Steve; Threat, Felix

    2014-01-01

    Astronomical observations in the Far Ultraviolet (FUV) spectral region are some of the more challenging due to the very distant and faint objects that are typically searched for in cosmic origin studies such as origin of large scale structure, the formation, evolution, and age of galaxies and the origin of stellar and planetary systems. These challenges are driving the need to improve the performance of optical coatings over a wide spectral range that would increase reflectance in mirrors and reduced absorption in dielectric filters used in optical telescope for FUV observations. This paper will present recent advances in reflectance performance for Al+MgF2 mirrors optimized for Lyman-alpha wavelength by performing the deposition of the MgF2 overcoat at elevated substrate temperatures. We will also present optical characterization of little studied rare-earth fluorides such as GdF3 and LuF3 that exhibit low-absorption over a wide wavelength range and could therefore be used as high refractive index alternatives for dielectric coatings at FUV wavelengths.

  6. Cavity-mirror degradation in the deep-UV FEL

    Energy Technology Data Exchange (ETDEWEB)

    Yamada, K.; Yamazaki, T.; Sei, N. [Electrotechnical Lab., Ibaraki (Japan)] [and others

    1995-12-31

    It is known that the degradation of dielectric multilayer mirrors used in short wavelength free-electron lasers (FELs) is caused by the carbon contamination on the mirror surface and the defects inside the dielectrics. We reported last year that the degraded dielectric multilayer mirrors can be repaired with both surface treatment by RF-induced oxygen plasma and thermal annealing. However, such a mirror degradation is still one of the most critical issues in the deep ultraviolet (UV) FELs, because the fundamental undulator radiation resonating in the laser cavity, the intensity of which is much higher than that of higher harmonics, can be sufficiently energetic to cause the mirror degradation through photochemical reactions. We are investigating the mirror degradation mainly in the deep UV region down to 240 nm. The experimental results will be shown. The mirror degradation mechanism will be discussed.

  7. Design and fabrication of multi-dielectric thin film laser filters and mirrors

    International Nuclear Information System (INIS)

    Alsous, M. B.

    2005-01-01

    Multi-dielectric-film optical filters have designed as mirrors for frequency-doubled-Nd-YAG pumped Raman lasers at different wavelengths (435, 369.9, 319.8, 953.6, 683 nm), and for use in CVL pumped dye lasers: as beam-splitters, antireflection filters, and narrow-band filters. In this work, a theoretical design of these mirrors and filters is given. The treatment and optimization of these designs is detailed in order to overcome the difficulties and reach the final and suitable designs for our needs. In addition, we will describe the evaporation method and the best conditions to do it. These filters should be easy to make and able to resist the laser powers of the pulsed Nd-YAG laser (200mJ/pulse) and the output power of the CVL. Thus, we have adopted designs with the least number of layers and used materials and oxides, which could resist to high laser powers. These filters were tested with laser shots and the convenient designs that were able to support the laser power have been adopted. (Author)

  8. Measurement of multilayer mirror reflectivity and stimulated emission in the XUV spectral region

    International Nuclear Information System (INIS)

    Keane, C.; Nam, C.H.; Meixler, L.; Milchberg, H.; Skinner, C.H.; Suckewer, S.; Voorhees, D.; Barbee, T.

    1986-03-01

    We present measurements of multilayer mirror reflectivity and stimulated emission in the XUV spectral region. A molybdenum-silicon multilayer mirror with 12% measured reflectivity at 182 A was found to produce a 120% enhancement of the C VI 182 A line (3 → 2 transition) in a strongly recombining plasma. No such enhancement of the CV 186.7 A line was seen, demonstrating amplification of stimulated emission at 182 A

  9. Reflectance Tuning at Extreme Ultraviolet (EUV) Wavelengths with Active Multilayer Mirrors

    NARCIS (Netherlands)

    Bayraktar, Muharrem; Lee, Christopher James; van Goor, F.A.; Koster, Gertjan; Rijnders, Augustinus J.H.M.; Bijkerk, Frederik

    2011-01-01

    At extreme ultraviolet (EUV) wavelengths the refractive power of transmission type optical components is limited, therefore reflective components are used. Reflective optics (multilayer mirrors) usually consist of many bilayers and each bilayer is composed of a high and a low refractive index

  10. Broadband Reflective Coating Process for Large FUVOIR Mirrors, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — ZeCoat Corporation will develop and demonstrate a set of revolutionary coating processes for making broadband reflective coatings suitable for very large mirrors (4+...

  11. Impact of helium implantation and ion-induced damage on reflectivity of molybdenum mirrors

    Energy Technology Data Exchange (ETDEWEB)

    Garcia-Carrasco, A., E-mail: alvarogc@kth.se [Department of Fusion Plasma Physics, Royal Institute of Technology (KTH), Teknikringen 31, 100 44 Stockholm (Sweden); Petersson, P.; Hallén, A. [Department of Fusion Plasma Physics, Royal Institute of Technology (KTH), Teknikringen 31, 100 44 Stockholm (Sweden); Grzonka, J. [Faculty of Materials Science and Engineering, Warsaw University of Technology, 02-507 Warsaw (Poland); Institute of Electronic Materials Technology, 133 Wolczynska Str., 01-919 Warsaw (Poland); Gilbert, M.R. [Culham Centre for Fusion Energy, Culham Science Centre, Abingdon, Oxon OX14 3DB (United Kingdom); Fortuna-Zalesna, E. [Faculty of Materials Science and Engineering, Warsaw University of Technology, 02-507 Warsaw (Poland); Rubel, M. [Department of Fusion Plasma Physics, Royal Institute of Technology (KTH), Teknikringen 31, 100 44 Stockholm (Sweden)

    2016-09-01

    Molybdenum mirrors were irradiated with Mo and He ions to simulate the effect of neutron irradiation on diagnostic first mirrors in next-generation fusion devices. Up to 30 dpa were produced under molybdenum irradiation leading to a slight decrease of reflectivity in the near infrared range. After 3 × 10{sup 17} cm{sup −2} of helium irradiation, reflectivity decreased by up to 20%. Combined irradiation by helium and molybdenum led to similar effects on reflectivity as irradiation with helium alone. Ion beam analysis showed that only 7% of the implanted helium was retained in the first 40 nm layer of the mirror. The structure of the near-surface layer after irradiation was studied with scanning transmission electron microscopy and the extent and size distribution of helium bubbles was documented. The consequences of ion-induced damage on the performance of diagnostic components are discussed.

  12. Production of atmospheric pressure microwave plasma with dielectric half-mirror resonator and its application to polymer surface treatment

    Science.gov (United States)

    Sasai, Kensuke; Keyamura, Kazuki; Suzuki, Haruka; Toyoda, Hirotaka

    2018-06-01

    For the surface treatment of a polymer tube, a ring-shaped atmospheric pressure microwave plasma (APMP) using a coaxial waveguide is studied. In this APMP, a dielectric plate is used not only as a partial mirror for cavity resonation but also for the precise alignment of the discharge gap for ring-shaped plasma production. The optimum position of the dielectric plate is investigated by electromagnetic wave simulation. On the basis of simulation results, a ring-shaped plasma with good uniformity along the ring is produced. The coaxial APMP is applied to the surface treatment of ethylene tetrafluoroethylene. A very fast surface modification within 3 s is observed.

  13. Effect of reflection losses on stationary dielectric-filled nonimaging concentrators

    Science.gov (United States)

    Madala, Srikanth; Boehm, Robert F.

    2016-10-01

    The effect of Fresnel reflection and total internal reflection (TIR) losses on the performance parameters in refractive solar concentrators has often been downplayed because most refractive solar concentrators are traditionally the imaging type, yielding a line or point image on the absorber surface when solely interacted with paraxial etendue ensured by solar tracking. Whereas, with refractive-type nonimaging solar concentrators that achieve two-dimensional (rectangular strip) focus or three-dimensional (circular or elliptical) focus through interaction with both paraxial and nonparaxial etendue within the acceptance angle, the Fresnel reflection and TIR losses are significant as they will affect the performance parameters and, thereby, energy collection. A raytracing analysis has been carried out to illustrate the effects of Fresnel reflection and TIR losses on four different types of stationary dielectric-filled nonimaging concentrators, namely V-trough, compound parabolic concentrator, compound elliptical concentrator, and compound hyperbolic concentrator. The refractive index (RI) of a dielectric fill material determines the acceptance angle of a solid nonimaging collector. Larger refractive indices yield larger acceptance angles and, thereby, larger energy collection. However, they also increase the Fresnel reflection losses. This paper also assesses the relative benefit of increasing RI from an energy collection standpoint.

  14. On the reflectivity of nickel neutron mirrors

    Energy Technology Data Exchange (ETDEWEB)

    Adib, M.; Maayouf, R.M.A.; Abdel-Kawy, A.; Habib, N. (Atomic Energy Establishment, Cairo (Egypt). Reactor and Neutron Physics Dept.); Kenawy, M.A.; Wahba, M.; Ashry, A.H. (Ain Shams Univ., Cairo (Egypt))

    1991-02-01

    Neutron reflectivities were determined for 300 nm thick films of natural nickel and nickel 58 coated on glass plates. The measurements were performed at glancing angles between 40' and 60'. The incident neutron beam from one of the ET-RR-1 reactor horizontal channels covered neutron wavelengths between 0.55 and 0.80 nm. It was found that nickel 58, because of the high value of its critical glancing angle, is more efficient as a neutron mirror than natural nickel. (orig.).

  15. Experimental study of regenerative desiccant integrated solar dryer with and without reflective mirror

    Energy Technology Data Exchange (ETDEWEB)

    Shanmugam, V. [Department of Mechanical Engineering, Sathyabama University, Chennai, 600 119 (India); Natarajan, E. [Institute for Energy Studies, College of Engineering, Anna University, Chennai, 600 025 (India)

    2007-06-15

    An indirect forced convection with desiccant integrated solar dryer has been built and tested. The main parts are: a flat plate solar air collector, a drying chamber, desiccant bed and a centrifugal blower. The system is operated in two modes, sunshine hours and off sunshine hours. During sun shine hours the hot air from the flat plate collector is forced to the drying chamber for drying the product and simultaneously the desiccant bed receives solar radiation directly and through the reflected mirror. In the off sunshine hours, the dryer is operated by circulating the air inside the drying chamber through the desiccant bed by a reversible fan. The dryer is used to dry 20 kg of green peas and pineapple slices. Drying experiments were conducted with and without the integration of desiccant unit. The effect of reflective mirror on the drying potential of desiccant unit was also investigated. With the inclusion of reflective mirror, the drying potential of the desiccant material is increased by 20% and the drying time is reduced. The drying efficiency of the system varies between 43% and 55% and the pick-up efficiency varies between 20% and 60%, respectively. Approximately in all the drying experiments 60% of moisture is removed by air heated using solar energy and the remainder by the desiccant. The inclusion of reflective mirror on the desiccant bed makes faster regeneration of the desiccant material. (author)

  16. Apparatus and process for removing a predetermined portion of reflective material from mirror

    Science.gov (United States)

    Perry, Stephen J.; Steinmetz, Lloyd L.

    1994-01-01

    An apparatus and process are disclosed for removal of a stripe of soft reflective material of uniform width from the surface of a mirror by using a blade having a large included angle to inhibit curling of the blade during the cutting operation which could result in damage to the glass substrate of the mirror. The cutting blade is maintained at a low blade angle with respect to the mirror surface to produce minimal chipping along the cut edge and to minimize the force exerted on the coating normal to the glass surface which could deform the flat mirror. The mirror is mounted in a cutting mechanism containing a movable carriage on which the blade is mounted to provide very accurate straightness of the travel of the blade along the mirror.

  17. High-accuracy self-mixing interferometer based on multiple reflections using a simple external reflecting mirror

    Science.gov (United States)

    Wang, Xiu-lin; Wei, Zheng; Wang, Rui; Huang, Wen-cai

    2018-05-01

    A self-mixing interferometer (SMI) with resolution twenty times higher than that of a conventional interferometer is developed by multiple reflections. Only by employing a simple external reflecting mirror, the multiple-pass optical configuration can be constructed. The advantage of the configuration is simple and easy to make the light re-injected back into the laser cavity. Theoretical analysis shows that the resolution of measurement is scalable by adjusting the number of reflections. The experiment shows that the proposed method has the optical resolution of approximate λ/40. The influence of displacement sensitivity gain ( G) is further analyzed and discussed in practical experiments.

  18. Atomic mirrors for a Λ-type three-level atom

    International Nuclear Information System (INIS)

    Felemban, Nuha; Aldossary, Omar M; Lembessis, Vassilis E

    2014-01-01

    We propose atom mirror schemes for a three-level atom of Λ-type interacting with two evanescent fields, which are generated as a result of the total internal reflection of two coherent Gaussian laser beams at the interface of a dielectric prism with vacuum. The forces acting on the atom are derived by means of optical Bloch equations, based on the atomic density matrix elements. The theory is illustrated by setting up the equations of motion for 23 Na atom. Two types of excited schemes are examined, namely the cases in which the evanescent fields have polarization types of σ + −σ − and σ + −π. The equations are solved numerically and we get results for atomic trajectories for different parameters. The performance of the mirror for the two types of polarization schemes is quantified and discussed. The possibility of reflecting atoms at pre-determined directions is also discussed. (paper)

  19. Chiral mirrors

    International Nuclear Information System (INIS)

    Plum, Eric; Zheludev, Nikolay I.

    2015-01-01

    Mirrors are used in telescopes, microscopes, photo cameras, lasers, satellite dishes, and everywhere else, where redirection of electromagnetic radiation is required making them arguably the most important optical component. While conventional isotropic mirrors will reflect linear polarizations without change, the handedness of circularly polarized waves is reversed upon reflection. Here, we demonstrate a type of mirror reflecting one circular polarization without changing its handedness, while absorbing the other. The polarization-preserving mirror consists of a planar metasurface with a subwavelength pattern that cannot be superimposed with its mirror image without being lifted out of its plane, and a conventional mirror spaced by a fraction of the wavelength from the metasurface. Such mirrors enable circularly polarized lasers and Fabry-Pérot cavities with enhanced tunability, gyroscopic applications, polarization-sensitive detectors of electromagnetic waves, and can be used to enhance spectroscopies of chiral media

  20. Specular reflectance of soiled glass mirrors - Study on the impact of incidence angles

    Science.gov (United States)

    Heimsath, Anna; Lindner, Philip; Klimm, Elisabeth; Schmid, Tobias; Moreno, Karolina Ordonez; Elon, Yehonatan; Am-Shallem, Morag; Nitz, Peter

    2016-05-01

    The accumulation of dust and soil on the surface of solar reflectors is an important factor reducing the power output of solar power plants. Therefore the effect of accumulated dust on the specular reflectance of solar mirrors should be understood well in order to improve the site-dependent performance prediction. Furthermore, an optimization of the CSP System maintenance, in particular the cleaning cycles, can be achieved. Our measurements show a noticeable decrease of specular reflectance when the angle of incidence is increased. This effect may be explained by shading and blocking mechanisms caused by dirt particles. The main physical causes of radiation loss being absorption and scattering, the near-angle scattering leads to a further decrease of specular reflectance for smaller angles of acceptance. Within this study mirror samples were both outdoor exposed and indoor artificially soiled. For indoor soiling, the mirror samples were artificially soiled in an in-house developed dusting device using both artificial-standardized dust and real dust collected from an arid outdoor test field at the Negev desert. A model function is proposed that approximates the observed reduction of specular reflectance with the incidence angle with a sufficient accuracy and by simple means for this soil type. Hence a first step towards a new approach to improve site dependent performance prediction of solar power plants is taken.

  1. Einstein's Mirror

    Science.gov (United States)

    Gjurchinovski, Aleksandar; Skeparovski, Aleksandar

    2008-10-01

    Reflection of light from a plane mirror in uniform rectilinear motion is a century-old problem, intimately related to the foundations of special relativity.1-4 The problem was first investigated by Einstein in his famous 1905 paper by using the Lorentz transformations to switch from the mirror's rest frame to the frame where the mirror moves at a constant velocity.5 Einstein showed an intriguing fact that the usual law of reflection would not hold in the case of a uniformly moving mirror, that is, the angles of incidence and reflection of the light would not equal each other. Later on, it has been shown that the law of reflection at a moving mirror can be obtained in various alternative ways,6-10 but none of them seems suitable for bringing this interesting subject into the high school classroom.

  2. Experience with the UHV box coater and the evaporation procedure for VUV reflective coatings on the HADES RICH mirror

    CERN Document Server

    Maier-Komor, P; Wieser, J; Ulrich, A

    1999-01-01

    An UHV box coater was set up for the deposition of highly reflective layers in the vacuum ultraviolet (VUV) wavelength range on large-area mirror substrates. The VUV mirrors are needed for the ring imaging Cherenkov (RICH) detector of the high-acceptance di-electron spectrometer (HADES). The complete dry vacuum system is described. The spatial deposition distribution from the evaporation sources was measured. The reflectivity of the Al mirror layer was optimized for the wavelength range of 145-210 nm by varying the thickness of the MgF sub 2 protective layer. The setup for measuring the reflectivity in the VUV range is described and reflectivity data are presented.

  3. A design procedure for an acoustic mirror providing dual reflection of longitudinal and shear waves in Solidly Mounted BAW Resonators (SMRs)

    NARCIS (Netherlands)

    Jose, Sumy; Jansman, Andreas; Hueting, Raymond Josephus Engelbart

    The quality factor of the traditional Solidly Mounted Resonator (SMR) is limited by substrate losses, as the traditionally employed acoustic mirror reflects longitudinal waves but not shear waves. Modern mirrors do reflect both waves, but design rules for such mirrors have not been published so far.

  4. Methods for reducing singly reflected rays on the Wolter-I focusing mirrors of the FOXSI rocket experiment

    Science.gov (United States)

    Buitrago-Casas, Juan Camilo; Elsner, Ronald; Glesener, Lindsay; Christe, Steven; Ramsey, Brian; Courtade, Sasha; Ishikawa, Shin-nosuke; Narukage, Noriyuki; Turin, Paul; Vievering, Juliana; Athiray, P. S.; Musset, Sophie; Krucker, Säm.

    2017-08-01

    In high energy solar astrophysics, imaging hard X-rays by direct focusing offers higher dynamic range and greater sensitivity compared to past techniques that used indirect imaging. The Focusing Optics X-ray Solar Imager (FOXSI) is a sounding rocket payload that uses seven sets of nested Wolter-I figured mirrors together with seven high-sensitivity semiconductor detectors to observe the Sun in hard X-rays through direct focusing. The FOXSI rocket has successfully flown twice and is funded to fly a third time in summer 2018. The Wolter-I geometry consists of two consecutive mirrors, one paraboloid and one hyperboloid, that reflect photons at grazing angles. Correctly focused X-rays reflect once per mirror segment. For extended sources, like the Sun, off-axis photons at certain incident angles can reflect on only one mirror and still reach the focal plane, generating a background pattern of singly reflected rays (i.e., ghost rays) that can limit the sensitivity of the observation to faint, focused sources. Understanding and mitigating the impact of the singly reflected rays on the FOXSI optical modules will maximize the instruments' sensitivity to background-limited sources. We present an analysis of the FOXSI singly reflected rays based on ray-tracing simulations and laboratory measurements, as well as the effectiveness of different physical strategies to reduce them.

  5. Microwave reflection measurements of the dielectric properties of concrete : final report.

    Science.gov (United States)

    1983-01-01

    The use of microwave reflection measurements to continuously and nondestructively monitor the hydration of concrete is described. The method relies upon the influence of the free-water content on the dielectric properties of the concrete. Use of the ...

  6. Total reflection and cloaking by zero index metamaterials loaded with rectangular dielectric defects

    KAUST Repository

    Wu, Ying

    2013-05-06

    In this work, we investigate wave transmission property through a zero index metamaterial (ZIM) waveguide embedded with rectangular dielectric defects. We show that total reflection and total transmission (cloaking) can be achieved by adjusting the geometric sizes and/or permittivities of the defects. Our work provides another possibility of manipulating wave propagation through ZIM in addition to the widely studied dielectric defects with cylindrical geometries.

  7. Total reflection and cloaking by zero index metamaterials loaded with rectangular dielectric defects

    KAUST Repository

    Wu, Ying; Li, Jichun

    2013-01-01

    In this work, we investigate wave transmission property through a zero index metamaterial (ZIM) waveguide embedded with rectangular dielectric defects. We show that total reflection and total transmission (cloaking) can be achieved by adjusting the geometric sizes and/or permittivities of the defects. Our work provides another possibility of manipulating wave propagation through ZIM in addition to the widely studied dielectric defects with cylindrical geometries.

  8. Design of an ellipsoidal mirror for freewave characterization of materials at microwave frequencies

    International Nuclear Information System (INIS)

    Rojo, M; Muñoz, J; Molina-Cuberos, G J; Margineda, J; García-Collado, Á J

    2016-01-01

    Free-wave characterization of the electromagnetic properties of materials at microwave frequencies requires that scattering at the edges of the samples and/or holder be minimized. Here, an ellipsoidal mirror is designed and characterized in order to decrease the size of the beam, thereby avoiding the scattering problems, even when relatively small samples are used. In the experimental configuration, both the emitting antenna and sample are located at the mirror focuses. Since both the emitted and reflected (focused) beams are Gaussian in nature, we make use of Gaussian beam theory to carry out the design. The mirror parameters are optimized by numerical simulations (COMSOL Multiphysics ® ) and then experimentally tested. An experimental setup is presented for dielectric, magnetic and chiral measurement in the 4.5–18 GHz band. (paper)

  9. Effects of irradiation conditions and environment on the reflectivity of different steel mirrors for ITER diagnostics systems

    International Nuclear Information System (INIS)

    Hernandez, Teresa; Martin, Piedad; Fernandez, Pilar; Hodgson, Eric R.

    2009-01-01

    In this work possible degradation of the reflectivity for mirrors made from various steels subjected to ionizing radiation, at moderate temperature and in different environments (vacuum, air, or nitrogen) up to a total dose of 9 MGy, has been examined. Mirrors were prepared from conventional austenitic stainless steel (316L) and also reduced activation ferritic/martensitic (RAFM) steels (Eurofer, ODS-Eurofer, F82H), and the reflectivity studied from ultraviolet to near infrared, before and after different treatments. Under all conditions the austenitic steel mirrors only degrade slightly (<10%), however for the reduced activation steels important reflectivity degradation for wavelengths below 1000 nm are observed for the different conditions. Surface morphology and microstructure has been also investigated using scanning electron microscopy (SEM). The production of near surface nitrides is considered to be the possible cause of the optical degradation.

  10. Simple method for measuring reflectance of optical coatings

    International Nuclear Information System (INIS)

    Wen Gui Wang; Yi Sheng Chen

    1995-01-01

    The quality of optical coatings has an important effect on the performance of optical instrument. The last few years, the requirements for super low loss dielectric mirror coatings used in low gain laser systems such as free electron laser and the ring laser etc., have given an impetus to the development of the technology of precise reflectance measurement of optical coatings. A reliable and workable technique is to measure the light intensity decay time of optical resonant cavity. This paper describes a measuring method which is dependent on direct measurement of the light intensity decay time of a resonant cavity comprised of low loss optical components. According to the evolution of a luminous flux stored inside the cavity, this method guarantees not only a quick and precise reflectance measurements of low loss highly reflecting mirror coatings but also transmittance measurements of low loss antireflection coatings and is especially effective with super los loss highly reflecting mirror. From the round-trip path length of the cavity and the speed of light, the light intensity exponential decay time of an optical cavity is easy to obtain and the cavity losses can be deduced. An optical reflectance of low loss highly mirror coatings and antireflection coatings is precisely measured as well. This is highly significant for the discrimination of the coating surface characteristics, the improvement of the performance of optical instrument and the development of high technology

  11. Moisture content determination in solid biofuels by dielectric and NIR reflection methods

    Energy Technology Data Exchange (ETDEWEB)

    Jensen, Peter Daugbjerg; Morsing, Merete [Department of Forest and Landscape, The Royal Veterinary and Agricultural University, Rolighedsvej 23, DK-1958 Frederiksberg C (Denmark); Hartmann, Hans; Boehm, Thorsten [Technologie- und Foerderzentrum fuer Nachwachsende Rohstoffe (TFZ), Schulgasse 18, D-94315 Straubing (Germany); Temmerman, Michael; Rabier, Fabienne [Departement Genie Rural, Chee de Namur 146, B-5030 Gembloux (Belgium)

    2006-11-15

    One near infrared (NIR) reflectance and five dielectric moisture meters were tested for their capability of measuring moisture content (MC) in solid biofuels. Ninety-eight samples were tested at up to eight moisture levels covering the MC range from fresh fuel to approximately 10% MC (w.b.). The fuel types ranged from typical solid biofuels such as coniferous and deciduous wood chips over short rotation coppice (SRC) to sunflower seed and olive stones. The most promising calibrations were obtained with the NIR reflection method and two dielectric devices where the sample is placed in a container integrated in the device. The calibration equations developed show that there is a profound influence from both laboratory and fuel type. It is suggested that individual calibrations that are based on the specific fuel types used at the individual heating plant could be applied. (author)

  12. New reflections on agency and body ownership: The moving rubber hand illusion in the mirror.

    Science.gov (United States)

    Jenkinson, Paul M; Preston, Catherine

    2015-05-01

    No previous study has simultaneously examined body ownership and agency in healthy subjects during mirror self-observation. We used a moving rubber hand illusion to examine how both body ownership and agency are affected by seeing (i) the body moving in a mirror, compared with (ii) directly viewing the moving hand, and (iii) seeing a visually identical hand rotated by 180°. We elicited ownership of the hand using direct visual feedback, finding this effect was further enhanced when looking at the hand in a mirror, whereas rotating the hand 180° abolished ownership. Agency was similarly elicited using direct visual feedback, and equally so in the mirror, but again reduced for the 180° hand. We conclude that the reflected body in a mirror is treated as 'special' in the mind, and distinct from other external objects. This enables bodies and actions viewed in a mirror to be directly related to the self. Copyright © 2015 Elsevier Inc. All rights reserved.

  13. MBR-SIFT: A mirror reflected invariant feature descriptor using a binary representation for image matching.

    Directory of Open Access Journals (Sweden)

    Mingzhe Su

    Full Text Available The traditional scale invariant feature transform (SIFT method can extract distinctive features for image matching. However, it is extremely time-consuming in SIFT matching because of the use of the Euclidean distance measure. Recently, many binary SIFT (BSIFT methods have been developed to improve matching efficiency; however, none of them is invariant to mirror reflection. To address these problems, in this paper, we present a horizontal or vertical mirror reflection invariant binary descriptor named MBR-SIFT, in addition to a novel image matching approach. First, 16 cells in the local region around the SIFT keypoint are reorganized, and then the 128-dimensional vector of the SIFT descriptor is transformed into a reconstructed vector according to eight directions. Finally, the MBR-SIFT descriptor is obtained after binarization and reverse coding. To improve the matching speed and accuracy, a fast matching algorithm that includes a coarse-to-fine two-step matching strategy in addition to two similarity measures for the MBR-SIFT descriptor are proposed. Experimental results on the UKBench dataset show that the proposed method not only solves the problem of mirror reflection, but also ensures desirable matching accuracy and speed.

  14. MBR-SIFT: A mirror reflected invariant feature descriptor using a binary representation for image matching.

    Science.gov (United States)

    Su, Mingzhe; Ma, Yan; Zhang, Xiangfen; Wang, Yan; Zhang, Yuping

    2017-01-01

    The traditional scale invariant feature transform (SIFT) method can extract distinctive features for image matching. However, it is extremely time-consuming in SIFT matching because of the use of the Euclidean distance measure. Recently, many binary SIFT (BSIFT) methods have been developed to improve matching efficiency; however, none of them is invariant to mirror reflection. To address these problems, in this paper, we present a horizontal or vertical mirror reflection invariant binary descriptor named MBR-SIFT, in addition to a novel image matching approach. First, 16 cells in the local region around the SIFT keypoint are reorganized, and then the 128-dimensional vector of the SIFT descriptor is transformed into a reconstructed vector according to eight directions. Finally, the MBR-SIFT descriptor is obtained after binarization and reverse coding. To improve the matching speed and accuracy, a fast matching algorithm that includes a coarse-to-fine two-step matching strategy in addition to two similarity measures for the MBR-SIFT descriptor are proposed. Experimental results on the UKBench dataset show that the proposed method not only solves the problem of mirror reflection, but also ensures desirable matching accuracy and speed.

  15. The effective reflection of a pulse sequence from a four-wave mirror with thermal nonlinearity under parametric feedback

    Science.gov (United States)

    Barashkov, M. S.; Bel'Diugin, I. M.; Zolotarev, M. V.; Kruzhilin, Iu. I.; Krymskii, M. I.

    1989-04-01

    A four-wave mirror with thermal nonlinearity has been experimentally realized with the interaction of corunning waves under parametric feedback with a nonreciprocal element. The effective reflection of a sequence of pulses with duration of about 300 ns from a neodymium-glass laser with maximal reflection coefficients greater than 30 has been demonstrated. The quality of the radiation reflected from the mirror is studied. A significant reduction in the steady-state lasing threshold has been shown with thermal nonlinearity at small angles of the interacting beam convergence, compared to the case of counterrunning convergence.

  16. Polarization and reflectivity changes on mirror based viewing systems during long pulse operation

    Energy Technology Data Exchange (ETDEWEB)

    Malaquias, A. [Association-Euratom/IST, Instituto Superior Tecnico, Lisboa (Portugal); Von Hellermann, M. [Association-Euratom-FOM, Institute for Plasma Physique Rijnhuizen (Netherlands); Lotte, P. [Association Euratom-CEA Cadarache, 13 - Saint-Paul-lez-Durance (France). Dept. de Recherches sur la Fusion Controlee; Tugarinov, S. [SRC Triniti, Troitsk (Russian Federation); Voitsenya, V.S. [Institute of Plasma Physics of the National Science Center, Kharkov Institute of Physics and Technology (Ukraine)

    2003-07-01

    In ITER, long plasma discharges will produce a high flux of energetic particles leading to high erosion rate, as a consequence materials from first wall and divertor i.e. Be and C (or eventually W) will be released and will pile up on slightly-buried surfaces. Particularly affected by this scenario are MSE (motional Stark effect) diagnostic first mirrors. In this work the change in the polarization state of incident light induced by Be and C deposition on Au mirror is calculated. The results show that Be and C deposition on Au mirror will induce changes on light polarization and reflectivity properties as a function of layer thickness. For Be case, all the induced effects are seem to stabilize above 75 nm. This result indicates that the otherwise Au mirror becomes a Be mirror suggesting that the use of Be mirror as first mirror may help to diminish the transitional optical changes from Au to Be. For the case of C deposit, the results show that the polarization induced changes and intensity modulation (interference) are quite marked and much more visible than in the case of Be. In that sense, machines using C components will produce a more undesirable mirror deposit than a BPX with a Be first wall, although, they take advantage of a lower deposition rate. We have no data on Be or C deposition rate for ITER yet, but for the ITER MSE case, control and monitoring of the mirror state shall be included in the optical design. Uncertainties on measuring the polarization angle can be translated on the achievable spatial resolution.

  17. Polarization and reflectivity changes on mirror based viewing systems during long pulse operation

    International Nuclear Information System (INIS)

    Malaquias, A.; Von Hellermann, M.; Lotte, P.; Voitsenya, V.S.

    2003-01-01

    In ITER, long plasma discharges will produce a high flux of energetic particles leading to high erosion rate, as a consequence materials from first wall and divertor i.e. Be and C (or eventually W) will be released and will pile up on slightly-buried surfaces. Particularly affected by this scenario are MSE (motional Stark effect) diagnostic first mirrors. In this work the change in the polarization state of incident light induced by Be and C deposition on Au mirror is calculated. The results show that Be and C deposition on Au mirror will induce changes on light polarization and reflectivity properties as a function of layer thickness. For Be case, all the induced effects are seem to stabilize above 75 nm. This result indicates that the otherwise Au mirror becomes a Be mirror suggesting that the use of Be mirror as first mirror may help to diminish the transitional optical changes from Au to Be. For the case of C deposit, the results show that the polarization induced changes and intensity modulation (interference) are quite marked and much more visible than in the case of Be. In that sense, machines using C components will produce a more undesirable mirror deposit than a BPX with a Be first wall, although, they take advantage of a lower deposition rate. We have no data on Be or C deposition rate for ITER yet, but for the ITER MSE case, control and monitoring of the mirror state shall be included in the optical design. Uncertainties on measuring the polarization angle can be translated on the achievable spatial resolution

  18. Modeling of biaxial gimbal-less MEMS scanning mirrors

    Science.gov (United States)

    von Wantoch, Thomas; Gu-Stoppel, Shanshan; Senger, Frank; Mallas, Christian; Hofmann, Ulrich; Meurer, Thomas; Benecke, Wolfgang

    2016-03-01

    One- and two-dimensional MEMS scanning mirrors for resonant or quasi-stationary beam deflection are primarily known as tiny micromirror devices with aperture sizes up to a few Millimeters and usually address low power applications in high volume markets, e.g. laser beam scanning pico-projectors or gesture recognition systems. In contrast, recently reported vacuum packaged MEMS scanners feature mirror diameters up to 20 mm and integrated high-reflectivity dielectric coatings. These mirrors enable MEMS based scanning for applications that require large apertures due to optical constraints like 3D sensing or microscopy as well as for high power laser applications like laser phosphor displays, automotive lighting and displays, 3D printing and general laser material processing. This work presents modelling, control design and experimental characterization of gimbal-less MEMS mirrors with large aperture size. As an example a resonant biaxial Quadpod scanner with 7 mm mirror diameter and four integrated PZT (lead zirconate titanate) actuators is analyzed. The finite element method (FEM) model developed and computed in COMSOL Multiphysics is used for calculating the eigenmodes of the mirror as well as for extracting a high order (n system inputs and scanner displacement as system output. By applying model order reduction techniques using MATLABR a compact state space system approximation of order n = 6 is computed. Based on this reduced order model feedforward control inputs for different, properly chosen scanner displacement trajectories are derived and tested using the original FEM model as well as the micromirror.

  19. A comparison of LIDT behavior of metal-dielectric mirrors in ns and ps pulse regime at 1030 nm with regard to the coating technology

    Science.gov (United States)

    Škoda, Václav; Vanda, Jan; Uxa, Štěpán

    2017-11-01

    Several sets of mirror samples with multilayer system Ta2O5/SiO2 on silver metal layer were manufactured using either PVD or IAD coating technology. Both BK7 and fused silica substrates were used for preparation of samples. Laserinduced- damage-threshold (LIDT) of metal-dielectric mirrors was tested using a laser apparatus working at 1030 nm wavelength, in ns and ps pulse length domains in S-on-1 test mode. The measured damage threshold values at 45 deg angle of incidence and P-polarization were compared for different pulse length, substrate materials and coating technology.

  20. Design and fabrication of optical thin film layers with variable thickness profile for producing variable reflectivity mirrors

    Directory of Open Access Journals (Sweden)

    Hamid R fallah

    2006-12-01

    Full Text Available   The design method and fabrication of mirrors with variable reflectivity are presented. To fabricate such a mirror a fixed mask with a circular aperture is used. The circular aperture is considered as an extended source with cosx(θas its diffusion distribution function and is the parameter for the distribution function of the particles through the aperture. The thickness profile of deposited layer is a function of this distribution. In this work, the coating system is calibrated for the materials which are used and then the parameter of the diffusion distribution function of the particles through the circular aperture is defined by experiments. Using these results, a graph is presented which connects the parameter of the circular aperture to the parameters of the thickness profile. It is then possible to deposit any type of variable reflectivity mirror using this graph. Finally, the effect of the uncertainty in measuring layer thicknesses on the phase of reflected wave and transmitted wave is investigated.

  1. Bronze rainbow hologram mirrors

    Science.gov (United States)

    Dawson, P.

    2006-02-01

    This project draws on holographic embossing techniques, ancient artistic conventions of bronze mirror design and modelling and casting processes to accomplish portraiture of reflection. Laser scanning, 3D computer graphics and holographic imaging are employed to enable a permanent 3D static holographic image to appear integrated with the real-time moving reflection of a viewer's face in a polished bronze disc. The disc and the figure which holds it (caryatid) are cast in bronze from a lost wax model, a technique which has been used for millennia to make personal mirrors. The Caryatid form of bronze mirror which went through many permutations in ancient Egyptian, Greece and Rome shows a plethora of expressive figure poses ranging from sleek nudes to highly embellished multifigure arrangements. The prototype of this series was made for Australian choreographer Graeme Murphy, Artistic Director of the Sydney Dance Company. Each subsequent mirror will be unique in figure and holographic imagery as arranged between artist and subject. Conceptually this project references both the modern experience of viewing mirrors retrieved from ancient tombs, which due to deterioration of the surface no longer reflect, and the functioning of Chinese Magic mirrors, which have the ability to project a predetermined image. Inspired by the metaphorical potential of these mirrors, which do not reflect the immediate reality of the viewer, this bronze hologram mirror series enables each viewer to reflect upon himself or herself observing simultaneously the holographic image and their own partially obliterated reflection.

  2. Measurement of sugar content of watermelon using near-infrared reflectance spectroscopy in comparison with dielectric property

    Science.gov (United States)

    Tao, Xuemei; Bao, Yidan

    2006-09-01

    The sugar content of watermelon is important to its taste thus influences the market. It's difficult to know whether the melon is sweet or not for consumers. We tried to develop a convenient meter to determine the sugar of watermelon. The first objective of this paper was to demonstrate the feasibility of using a near-infrared reflectance spectrometer (NIRS) to investigate the relationship between sugar content of watermelon and absorption spectra. The NIRS reflectance of nondestructive watermelon was measured with a Visible/NIR spectrophotometer in 325-1075nm range. The sugar content of watermelon was obtained with a handhold sugar content meter. The second objective was to measure the watermelon's dielectric property, such as dielectric resistance, capacitance, quality factor and dielectric loss. A digital electric bridge instrument was used to get the dielectric property. The experimental results show that they were related to watermelon's sugar content. A comparison between the two methods was made in the paper. The model derived from NIRS reflection is useful for class identification of Zaochun Hongyu watermelon though it's not quite accurate in sweetness prediction (the max. deviation is 0.7). Electric property bears little relation to sugar content of watermelon at this experiment and it couldn't be used as non-destructive inspection method.

  3. Efective infrared reflectivity and dielectric function of polycrystalline alumina ceramics

    Czech Academy of Sciences Publication Activity Database

    Nuzhnyy, Dmitry; Petzelt, Jan; Borodavka, Fedir; Vaněk, Přemysl; Šimek, Daniel; Trunec, D.; Maca, K.

    2017-01-01

    Roč. 254, č. 5 (2017), s. 1-8, č. článku 1600607. ISSN 0370-1972 R&D Projects: GA ČR GA15-08389S Institutional support: RVO:68378271 Keywords : alumina * ceramics * effective dielectric function * effective medium approximation * geometrical resonances * infrared reflectivity Subject RIV: BM - Solid Matter Physics ; Magnetism OBOR OECD: Condensed matter physics (including formerly solid state physics, supercond.) Impact factor: 1.674, year: 2016

  4. Reflections on mirror neurons and speech perception

    Science.gov (United States)

    Lotto, Andrew J.; Hickok, Gregory S.; Holt, Lori L.

    2010-01-01

    The discovery of mirror neurons, a class of neurons that respond when a monkey performs an action and also when the monkey observes others producing the same action, has promoted a renaissance for the Motor Theory (MT) of speech perception. This is because mirror neurons seem to accomplish the same kind of one to one mapping between perception and action that MT theorizes to be the basis of human speech communication. However, this seeming correspondence is superficial, and there are theoretical and empirical reasons to temper enthusiasm about the explanatory role mirror neurons might have for speech perception. In fact, rather than providing support for MT, mirror neurons are actually inconsistent with the central tenets of MT. PMID:19223222

  5. Euclidean mirrors. Enhanced vacuum decay from reflected instantons

    Energy Technology Data Exchange (ETDEWEB)

    Akal, Ibrahim [Deutsches Elektronen-Synchrotron (DESY), Hamburg (Germany). Theory Group; Moortgat-Pick, Gudrid [Hamburg Univ. (Germany). 2. Inst. fuer Theoretische Physik

    2017-06-15

    We study the tunneling of virtual matter-antimatter pairs from the quantum vacuum in the presence of a spatially uniform temporal electric background composed of of a strong slow field superimposed with a weak rapid field. After analytic continuation to Euclidean spacetime we obtain from the instanton equations two critical points. While one of them is the closing point of the instanton path, the other serves as an Euclidean mirror which reflects and squeezes the instanton. It is this reflection and shrinking which is responsible for an enormous enhancement of the vacuum pair production rate. We discuss how important features of this mechanism can be analysed and understood via such a rotation in the complex plane. Consistent with previous studies, we consider certain examples where we apply weak fields with a distinct pole structure in order to show that the reflection takes place exactly at the poles. We also discuss the effect of possible sub-cycle structures. We extend this reflection picture to fields which have no poles present and illustrate the effective reflections with explicit examples. An additional field strength dependence for the rate occurs in such cases. We analytically compute the characteristic threshold for this mechanism given by the critical combined Keldysh parameter. We discuss significant differences between these two types of fields. For various backgrounds, we present the contributing instantons and perform analytical computations for the corresponding rates treating both fields nonperturbatively. The validity of the results is confirmed by numerical computations. Considering different profiles for the strong field, we also discuss its impact on the critical combined Keldysh parameter.

  6. Controllable Curved Mirrors Made from Single-Layer EAP Films

    Science.gov (United States)

    Bao, Xiaoqi; Bar-Cohen, Yoseph; Sherrit, Stewart

    2004-01-01

    A document proposes that lightweight, deployable, large-aperture, controllable curved mirrors made of reflectively coated thin electroactive-polymer (EAP) films be developed for use in spaceborne microwave and optical systems. In these mirrors, the EAP films would serve as both structures and actuators. EAPs that are potentially suitable for such use include piezoelectric, electrostrictive, ferroelectric, and dielectric polymers. These materials exhibit strains proportional to the squares of applied electric fields. Utilizing this phenomenon, a curved mirror according to the proposal could be made from a flat film, upon which a nonuniform electrostatic potential (decreasing from the center toward the edge) would be imposed to obtain a required curvature. The effect would be analogous to that of an old-fashioned metalworking practice in which a flat metal sheet is made into a bowl by hammering it repeatedly, the frequency of hammer blows decreasing with distance from the center. In operation, the nonuniform electrostatic potential could be imposed by use of an electron gun. Calculations have shown that by use of a single- layer film made of a currently available EAP, it would be possible to control the focal length of a 2-m-diameter mirror from infinity to 1.25 m.

  7. BRIEF COMMUNICATIONS: Strong reflection of a series of pulses from a four-wave mirror with thermal nonlinearity under parametric feedback conditions

    Science.gov (United States)

    Barashkov, M. S.; Bel'dyugin, Igor'M.; Zolotarev, M. V.; Kruzhilin, Yu I.; Krymskiĭ, M. I.; Oshkin, S. P.; Starkov, G. S.; Umnov, A. F.; Kharchenko, M. A.

    1989-04-01

    A four-wave mirror exhibiting a thermal nonlinearity was used in a study of the interaction of concurrent waves under parametric feedback conditions in the presence of a nonreciprocal element. Strong reflection of a series of pulses of ~ 300 ns duration from a neodymium glass laser was demonstrated: the maximum reflection coefficient was in excess of 30. An analysis was made of the quality of the radiation reflected from this four-mirror parametric feedback system. A considerable reduction was observed in the steady-state threshold for the operation of this mirror with a thermal nonlinearity when the angles of convergence of the interacting beams were small compared with the case of head-on collision of the waves.

  8. X-ray total reflection mirrors for coherent illumination

    CERN Document Server

    Ishikawa, T; Yabashi, M; Souvorov, A; Yamauchi, K; Yamamura, K; Mimura, H; Saito, A; Mori, Y

    2002-01-01

    X-ray mirrors for coherent illumination demand much higher surface quality than is achievable with the conventional polishing techniques. Plasma chemical vaporization machining (CVM) and elastic emission machining (EEM) have been applied for x-ray mirror manufacturing. Figure error of a flat silicon single crystal mirrors made with CVM+EEM process was reduced to 2.0 nm peak-to-valley and 0.2 nm RMS. The machining process was also applied to make elliptical mirrors. One-dimensional focusing with a single elliptical mirror showed diffraction-limited properties with the focal width of 200 nm. Two-dimensional focusing with Kirkpatric-Baez configuration gave a focal spot size of 200 nm x 200 nm. (author)

  9. Approaching conversion limit with all-dielectric solar cell reflectors.

    Science.gov (United States)

    Fu, Sze Ming; Lai, Yi-Chun; Tseng, Chi Wei; Yan, Sheng Lun; Zhong, Yan Kai; Shen, Chang-Hong; Shieh, Jia-Min; Li, Yu-Ren; Cheng, Huang-Chung; Chi, Gou-chung; Yu, Peichen; Lin, Albert

    2015-02-09

    Metallic back reflectors has been used for thin-film and wafer-based solar cells for very long time. Nonetheless, the metallic mirrors might not be the best choices for photovoltaics. In this work, we show that solar cells with all-dielectric reflectors can surpass the best-configured metal-backed devices. Theoretical and experimental results all show that superior large-angle light scattering capability can be achieved by the diffuse medium reflectors, and the solar cell J-V enhancement is higher for solar cells using all-dielectric reflectors. Specifically, the measured diffused scattering efficiency (D.S.E.) of a diffuse medium reflector is >0.8 for the light trapping spectral range (600nm-1000nm), and the measured reflectance of a diffuse medium can be as high as silver if the geometry of embedded titanium oxide(TiO(2)) nanoparticles is optimized. Moreover, the diffuse medium reflectors have the additional advantage of room-temperature processing, low cost, and very high throughput. We believe that using all-dielectric solar cell reflectors is a way to approach the thermodynamic conversion limit by completely excluding metallic dissipation.

  10. Euclidean mirrors: enhanced vacuum decay from reflected instantons

    Science.gov (United States)

    Akal, Ibrahim; Moortgat-Pick, Gudrid

    2018-05-01

    We study the tunnelling of virtual matter–antimatter pairs from the quantum vacuum in the presence of a spatially uniform, time-dependent electric background composed of a strong, slow field superimposed with a weak, rapid field. After analytic continuation to Euclidean spacetime, we obtain from the instanton equations two critical points. While one of them is the closing point of the instanton path, the other serves as an Euclidean mirror which reflects and squeezes the instanton. It is this reflection and shrinking which is responsible for an enormous enhancement of the vacuum pair production rate. We discuss how important features of two different mechanisms can be analysed and understood via such a rotation in the complex plane. (a) Consistent with previous studies, we first discuss the standard assisted mechanism with a static strong field and certain weak fields with a distinct pole structure in order to show that the reflection takes place exactly at the poles. We also discuss the effect of possible sub-cycle structures. We extend this reflection picture then to weak fields which have no poles present and illustrate the effective reflections with explicit examples. An additional field strength dependence for the rate occurs in such cases. We analytically compute the characteristic threshold for the assisted mechanism given by the critical combined Keldysh parameter. We discuss significant differences between these two types of fields. For various backgrounds, we present the contributing instantons and perform analytical computations for the corresponding rates treating both fields nonperturbatively. (b) In addition, we also study the case with a nonstatic strong field which gives rise to the assisted dynamical mechanism. For different strong field profiles we investigate the impact on the critical combined Keldysh parameter. As an explicit example, we analytically compute the rate by employing the exact reflection points. The validity of the predictions

  11. Influence of Reactive Ion Etching on THz Transmission and Reflection Properties of NiCr Film Deposited on a Dielectric Substrate

    Directory of Open Access Journals (Sweden)

    Jun Gou

    2015-06-01

    Full Text Available Enhanced terahertz (THz absorption of NiCr film deposited on a dielectric substrate has been proven by applying a reactive ion etching (RIE treatment to the dielectric film. Nano – scale nickel – chromium (NiCr thin films are deposited on RIE treated silicon dioxide (SiO2 dielectric substrates to study the transmission and reflection characteristics. Experimental results suggest that both transmission and reflection of NiCr film are weakened by the RIE treatment. The most significant decrease of transmission is observed in 1 ~ 4 THz while that of reflection occurs in 1.7 ~ 2.5 THz band. The decrease of both transmission and reflection is more significant for NiCr film with higher thickness. The RIE treatment, which induces nano – scale surface structures and increases the effective surface area of NiCr film, enhances the absorption and weakens the transmission and reflection of THz radiation.DOI: http://dx.doi.org/10.5755/j01.ms.21.2.6131

  12. Changing the size of a mirror-reflected hand moderates the experience of embodiment but not proprioceptive drift: a repeated measures study on healthy human participants.

    Science.gov (United States)

    Wittkopf, Priscilla G; Lloyd, Donna M; Johnson, Mark I

    2017-06-01

    Mirror visual feedback is used for reducing pain and visually distorting the size of the reflection may improve efficacy. The findings of studies investigating size distortion are inconsistent. The influence of the size of the reflected hand on embodiment of the mirror reflection is not known. The aim of this study was to compare the effect of magnifying and minifying mirror reflections of the hand on embodiment measured using an eight-item questionnaire and on proprioceptive drift. During the experiment, participants (n = 45) placed their right hand behind a mirror and their left hand in front of a mirror. Participants watched a normal-sized, a magnified and a minified reflection of the left hand while performing synchronised finger movements for 3 min (adaptive phase). Measurements of embodiment were taken before (pre) and after (post) synchronous movements of the fingers of both hands (embodiment adaptive phase). Results revealed larger proprioceptive drift post-adaptive phase (p = 0.001). Participants agreed more strongly with questionnaire items associated with location, ownership and agency of the reflection of the hand post-adaptive phase (p embodiment of the reflection of the hand. Magnifying and minifying the reflection of the hand has little effect on proprioceptive drift, but it weakens the subjective embodiment experience. Such factors need to be taken into account in future studies using this technique, particularly when assessing mirror visual feedback for pain management.

  13. Einstein's Mirror

    Science.gov (United States)

    Gjurchinovski, Aleksandar; Skeparovski, Aleksandar

    2008-01-01

    Reflection of light from a plane mirror in uniform rectilinear motion is a century-old problem, intimately related to the foundations of special relativity. The problem was first investigated by Einstein in his famous 1905 paper by using the Lorentz transformations to switch from the mirror's rest frame to the frame where the mirror moves at a…

  14. Impact of dielectric parameters on the reflectivity of 3C–SiC wafers with a rough surface morphology in the reststrahlen region

    International Nuclear Information System (INIS)

    Engelbrecht, J.A.A.; Janzén, E.; Henry, A.; Rooyen, I.J. van

    2014-01-01

    A layer-on-substrate model is used to obtain the infrared reflectance for 3C–SiC with a rough surface morphology. The effect of varying dielectric parameters of the “damaged layer” on the observed reflectivity of the 3C–SiC in the reststrahlen region is assessed. Different simulated reflectance spectra are obtained to those if the dielectric parameters of the “substrate” were varied. Most notable changes in the shape of the simulated reststrahlen peak are observed for changes in the high frequency dielectric constant, the phonon damping constant, the phonon frequencies and “thickness” of damaged surface layer.

  15. Impact of dielectric parameters on the reflectivity of 3C–SiC wafers with a rough surface morphology in the reststrahlen region

    Energy Technology Data Exchange (ETDEWEB)

    Engelbrecht, J.A.A., E-mail: Japie.Engelbrecht@nmmu.ac.za [Physics Department, Nelson Mandela Metropolitan University, PO Box 77000, Port Elizabeth 6031 (South Africa); Janzén, E.; Henry, A. [Department of Physics, Chemistry and Biology, Linköping University, SE-581 83 Linköping (Sweden); Rooyen, I.J. van [Fuel Performance and Design Department, Idaho National Laboratory, PO Box 1625, Idaho Falls, ID 83415-6188 (United States)

    2014-04-15

    A layer-on-substrate model is used to obtain the infrared reflectance for 3C–SiC with a rough surface morphology. The effect of varying dielectric parameters of the “damaged layer” on the observed reflectivity of the 3C–SiC in the reststrahlen region is assessed. Different simulated reflectance spectra are obtained to those if the dielectric parameters of the “substrate” were varied. Most notable changes in the shape of the simulated reststrahlen peak are observed for changes in the high frequency dielectric constant, the phonon damping constant, the phonon frequencies and “thickness” of damaged surface layer.

  16. Mirror image agnosia.

    Science.gov (United States)

    Chandra, Sadanandavalli Retnaswami; Issac, Thomas Gregor

    2014-10-01

    Gnosis is a modality-specific ability to access semantic knowledge of an object or stimulus in the presence of normal perception. Failure of this is agnosia or disorder of recognition. It can be highly selective within a mode. self-images are different from others as none has seen one's own image except in reflection. Failure to recognize this image can be labeled as mirror image agnosia or Prosopagnosia for reflected self-image. Whereas mirror agnosia is a well-recognized situation where the person while looking at reflected images of other objects in the mirror he imagines that the objects are in fact inside the mirror and not outside. Five patients, four females, and one male presented with failure to recognize reflected self-image, resulting in patients conversing with the image as a friend, fighting because the person in mirror is wearing her nose stud, suspecting the reflected self-image to be an intruder; but did not have prosopagnosia for others faces, non living objects on self and also apraxias except dressing apraxia in one patient. This phenomena is new to our knowledge. Mirror image agnosia is an unique phenomena which is seen in patients with parietal lobe atrophy without specificity to a category of dementing illness and seems to disappear as disease advances. Reflected self-images probably have a specific neural substrate that gets affected very early in posterior dementias specially the ones which predominantly affect the right side. At that phase most patients are mistaken as suffering from psychiatric disorder as cognition is moderately preserved. As disease becomes more widespread this symptom becomes masked. A high degree of suspicion and proper assessment might help physicians to recognize the organic cause of the symptom so that early therapeutic interventions can be initiated. Further assessment of the symptom with FMRI and PET scan is likely to solve the mystery of how brain handles reflected self-images. A new observation involving failure

  17. Verification of possible asymmetry of polarization of thermal neutrons reflected by a mirror

    International Nuclear Information System (INIS)

    Okorokov, A.I.; Runov, V.V.; Gukasov, A.G.; Shchebetov, A.F.

    1976-01-01

    Experiments with a polarizing neutron guide do not confirm the neutron polarization asymmetry observed previously by Berndorfer for neutrons traversing a polarizing neutron guide. In connection with the spin-orbit effects a verification is carried out on single reflection of neutrons by magnetic or nonmagnetic mirrors. With an accuracy of 10 -4 -10 -3 no polarization asymmetry is observed

  18. Mirror, mirror on the wall

    CERN Multimedia

    2005-01-01

    RICH 2, one of the two Ring Imaging Cherenkov detectors of the LHCb experiment, is being prepared to join the other detector elements ready for the first proton-proton collisions at LHC. The mirrors of the RICH2 detector are meticulously assembled in a clean room.In a large dark room, men in white move around an immense structure some 7 metres high, 10 metres wide and nearly 2.5 metres deep. Apparently effortlessly, they are installing the two large high-precision spherical mirrors. These mirrors will focus Cherenkov light, created by the charged particles that will traverse this detector, onto the photon detectors. Each spherical mirror wall is made up of facets like a fly's eye. Twenty-eight individual thin glass mirrors will all point to the same point in space to within a few micro-radians. The development of these mirrors has been technically demanding : Ideally they should be massless, sturdy, precise and have high reflectivity. In practice, though not massless, they are made from a mere 6 mm thin gl...

  19. TCV mirrors cleaned by plasma

    Directory of Open Access Journals (Sweden)

    L. Marot

    2017-08-01

    Full Text Available Metallic mirrors exposed in TCV tokamak were cleaned by plasma in laboratory. A gold (Au mirror was deposited with 185–285nm of amorphous carbon (aC:D film coming from the carbon tiles of TCV. Another molybdenum (Mo mirror had a thicker deposit due to a different location within the tokamak. The thickness measurements were carried out using ellipsometry and the reflectivity measurements performed by spectrophotometry revealed a decrease of the specular reflectivity in the entire range (250–2500nm for the Mo mirror and specifically in the visible spectrum for the Au. Comparison of the simulated reflectivity using a refractive index of 1.5 and a Cauchy model for the aC:D gives good confidence on the estimated film thickness. Plasma cleaning using radio frequency directly applied to a metallic plate where the mirrors were fixed demonstrated the ability to remove the carbon deposits. A mixture of 50% hydrogen and 50% helium was used with a −200V self-bias. Due to the low sputtering yield of He and the low chemical erosion of hydrogen leading to volatile molecules, 20h of cleaning were needed for Au mirror and more than 60h for Mo mirror. Recovery of the reflectivity was not complete for the Au mirror most likely due to damage of the surface during tokamak exposure (breakdown phenomena.

  20. Laser cleaning of ITER's diagnostic mirrors

    Science.gov (United States)

    Skinner, C. H.; Gentile, C. A.; Doerner, R.

    2012-10-01

    Practical methods to clean ITER's diagnostic mirrors and restore reflectivity will be critical to ITER's plasma operations. We report on laser cleaning of single crystal molybdenum mirrors coated with either carbon or beryllium films 150 - 420 nm thick. A 1.06 μm Nd laser system provided 220 ns pulses at 8 kHz with typical power densities of 1-2 J/cm^2. The laser beam was fiber optically coupled to a scanner suitable for tokamak applications. The efficacy of mirror cleaning was assessed with a new technique that combines microscopic imaging and reflectivity measurements [1]. The method is suitable for hazardous materials such as beryllium as the mirrors remain sealed in a vacuum chamber. Excellent restoration of reflectivity for the carbon coated Mo mirrors was observed after laser scanning under vacuum conditions. For the beryllium coated mirrors restoration of reflectivity has so far been incomplete and modeling indicates that a shorter duration laser pulse is needed. No damage of the molybdenum mirror substrates was observed.[4pt][1] C.H. Skinner et al., Rev. Sci. Instrum. at press.

  1. Modeling Transmission and Reflection Mueller Matrices of Dielectric Half-Wave Plates

    Science.gov (United States)

    Salatino, Maria; de Bernardis, Paolo; Masi, Silvia

    2017-02-01

    We present a simple analytical model describing multiple reflections in dielectric and optically active waveplates, for both normal and slant incidence, including absorption. We compute from first principles the transmission and reflection Mueller matrices of the waveplate. The model is used to simulate the performance of a Stokes polarimeter for mm-waves, in the framework of current attempts to precisely measure the linear polarization of the Cosmic Microwave Background (CMB). We study the spectral response of these optical devices, taking into account band and angle averaging effects and confirm the presence of a much richer spectral dependence than in an ideal phase retarder. We also present the matrix elements for the reflection matrix, which is useful to estimate systematic effects in some polarimeter configurations. The formulas we have derived can be used to quickly simulate the performance of future CMB polarimeters.

  2. 3D-Printed Broadband Dielectric Tube Terahertz Waveguide with Anti-Reflection Structure

    Science.gov (United States)

    Vogt, Dominik Walter; Leonhardt, Rainer

    2016-11-01

    We demonstrate broadband, low loss, and close-to-zero dispersion guidance of terahertz (THz) radiation in a dielectric tube with an anti-reflection structure (AR-tube waveguide) in the frequency range from 0.2 to 1.0 THz. The anti-reflection structure (ARS) consists of close-packed cones in a hexagonal lattice arranged on the outer surface of the tube cladding. The feature size of the ARS is in the order of the wavelength between 0.2 and 1.0 THz. The waveguides are fabricated with the versatile and cost efficient 3D-printing method. Terahertz time-domain spectroscopy (THz-TDS) measurements as well as 3D finite-difference time-domain simulations (FDTD) are performed to extensively characterize the AR-tube waveguides. Spectrograms, attenuation spectra, effective phase refractive indices, and the group-velocity dispersion parameters β 2 of the AR-tube waveguides are presented. Both the experimental and numerical results confirm the extended bandwidth and smaller group-velocity dispersion of the AR-tube waveguide compared to a low loss plain dielectric tube THz waveguide. The AR-tube waveguide prototypes show an attenuation spectrum close to the theoretical limit given by the infinite cladding tube waveguide.

  3. Modes of interaction between nanostructured metal and a conducting mirror as a function of separation and incident polarization

    Science.gov (United States)

    Bonnie, F.; Arnold, M. D.; Smith, G. B.; Gentle, A. R.

    2013-09-01

    The optical resonances that occur in nanostructured metal layers are modulated in thin film stacks if the nanostructured layer is separated from a reflecting conducting layer by various thicknesses of thin dielectric. We have measured and modeled the optical response of interacting silver layers, with alumina spacer thickness ranging from a few nm to 50 nm, for s- and p-polarized incident light, and a range of incident angles. Standard thin film models, including standard effective medium models for the nanostructured layer, will break down for spacer thickness below a critical threshold. For example, with polarisation in the film plane and some nano-islands, it may occur at around 10 nm depending on spacer refractive index. Of particular interest here are novel effects observed with the onset of percolation in the nanolayer. Hot spot effects can be modified by nearby mirrors. Other modes to consider include (a) a two-particle mode involving a particle and its mirror image (b) A Fano resonance from hybridisation of localized and de-localised plasmon modes (c) a Babinet's core-(partial) shell particle with metal core-dielectric shell in metal (d) spacing dependent phase modulation (e) the impact of field gradients induced by the mirror at the nano-layer.

  4. Optical constants from mirror reflectivities measured at synchrotrons

    International Nuclear Information System (INIS)

    Blake, R.L.; Davis, J.C.; Burbine, T.H.; Graessle, D.E.; Gullikson, E.M.

    1992-01-01

    Improved mirror reflectivity measurement techniques have been introduced to permit more accurate determinations of optical constants δ and β in the complex index of refraction n = 1 - δ-iβ over the energy range 50 to 5000 eV. When the density has been determined by x-ray or other means, one can calculate the real and imaginary parts f' and f double-prime, of the complex atomic scattering factor f = f o + f ' + if double-prime from δ and β. Preliminary results are given for the Ni LIII edge around 852 eV, and the Au M edge region from 2150 to 3500 eV. Since these are the first experimental evaluations of δ for these element edges, they are compared with appropriate reservations to semi-empirical tabulations. There is much potential for this technique applied to synchrotron sources

  5. Reflective and refractive optical materials for earth and space applications; Proceedings of the Meeting, Orlando, FL, Apr. 4, 5, 1991

    Science.gov (United States)

    Riedl, Max J.; Hale, Robert R.; Parsonage, Thomas B.

    The present conference discusses beryllium mirror design and fabrication, production of aspheric beryllium optical surfaces by HIP consolidation, the control of thermally induced porosity for the fabrication of beryllium optics, fine-grained beryllium optical coatings, light-absorbing beryllium baffle materials, and advanced broadband baffle materials. Also discussed are radiation-resistant optical glasses, a catalog of IR and cryooptical properties of selected materials, durable metal-dielectric mirror coatings, the optical stability of diffuse reflectance materials, and optical filters for space applications.

  6. Multiple pulse nanosecond laser induced damage threshold on hybrid mirrors

    Science.gov (United States)

    Vanda, Jan; Muresan, Mihai-George; Bilek, Vojtech; Sebek, Matej; Hanus, Martin; Lucianetti, Antonio; Rostohar, Danijela; Mocek, Tomas; Škoda, Václav

    2017-11-01

    So-called hybrid mirrors, consisting of broadband metallic surface coated with dielectric reflector designed for specific wavelength, becoming more important with progressing development of broadband mid-IR sources realized using parametric down conversion system. Multiple pulse nanosecond laser induced damage on such mirrors was tested by method s-on-1, where s stands for various numbers of pulses. We show difference in damage threshold between common protected silver mirrors and hybrid silver mirrors prepared by PVD technique and their variants prepared by IAD. Keywords: LIDT,

  7. Review of Beyond the reflection: The role of the mirror paradigm in clinical practice.

    Science.gov (United States)

    Zelnick, Lawrence

    2008-12-01

    Reviews the book, Beyond the reflection: The role of the mirror paradigm in clinical practice by Paulina Kernberg, Bernadette Buhl-Nielsen, and Lina Normandin (see record 2007-00911-000). This modestly presented volume overflows with insight and new ways of looking at the mirroring experience for children and adolescents. Kernberg and her collaborators present the rich history of the image, metaphor, and pervasive role of the mirror in human experience; they carefully describe the "subjective experience of wonder, admiration, and an objective dimension of truth" in the mirror paradigm (2006, p. xv). For the psychotherapist, Kernberg's work provides a rich resource; the review of past and current research and theorizing about the mirroring function of mothers and primary caregivers is thorough and up-to-date with the most recent advances in neuroscience, attachment theory, and infant research. From Freud to Lacan, from Winnicott to Stern, and from Schore to Gergely, Kernberg presents a sweeping exposition of the various images of the mirror. This volume is worthwhile if only for its presentation of this body of recent research. But there is so much more to be found here. While this is not the first time that Kernberg has presented us with her work with mirror observation and interviews (Kernberg, 1984, 1987), this volume integrates the research about early mother- child experience, and the mirroring paradigm in the psychoanalytic theories about child development, with the phenomenology of child and adolescent psychotherapy. The clinician will find a useful application of the theory to clinical practice and diagnosis that is hard to find in the literature. Beebe and Lachmann (2002) have accomplished this integration between infant research and adult treatment, but Kernberg's application of her research and the demonstrated correlation between the findings of mirror experience, attachment histories, and clinical experience is a rare and welcome addition to the

  8. Optimization of plasma mirror reflectivity and optical quality using double laser pulses

    International Nuclear Information System (INIS)

    Scott, G G; Clarke, R J; Green, J S; Heathcote, R I; Neely, D; Bagnoud, V; Brabetz, C; Zielbauer, B; Powell, H W; McKenna, P; Arber, T D

    2015-01-01

    We measure a record 96 ±2.5% specularly reflected energy fraction from an interaction with a plasma mirror (PM) surface preionized by a controlled prepulse and find that the optical quality is dependent on the inter pulse time delay. Simulations show that the main pulse reflected energy is a strong function of plasma density scale length, which increases with the time delay and reaches a peak reflectivity for a scale length of 0.3 μm, which is achieved here for a pulse separation time of 3 ps. It is found that the incident laser quasi near field intensity distribution leads to nonuniformities in this plasma expansion and consequent critical surface position distribution. The PM optical quality is found to be governed by the resultant perturbations in the critical surface position, which become larger with inter pulse time delay. (paper)

  9. Metamaterial mirrors in optoelectronic devices

    KAUST Repository

    Esfandyarpour, Majid; Garnett, Erik C.; Cui, Yi; McGehee, Michael D.; Brongersma, Mark L.

    2014-01-01

    The phase reversal that occurs when light is reflected from a metallic mirror produces a standing wave with reduced intensity near the reflective surface. This effect is highly undesirable in optoelectronic devices that use metal films as both electrical contacts and optical mirrors, because it dictates a minimum spacing between the metal and the underlying active semiconductor layers, therefore posing a fundamental limit to the overall thickness of the device. Here, we show that this challenge can be circumvented by using a metamaterial mirror whose reflection phase is tunable from that of a perfect electric mirror († = €) to that of a perfect magnetic mirror († = 0). This tunability in reflection phase can also be exploited to optimize the standing wave profile in planar devices to maximize light-matter interaction. Specifically, we show that light absorption and photocurrent generation in a sub-100 nm active semiconductor layer of a model solar cell can be enhanced by ∼20% over a broad spectral band. © 2014 Macmillan Publishers Limited.

  10. Metamaterial mirrors in optoelectronic devices

    KAUST Repository

    Esfandyarpour, Majid

    2014-06-22

    The phase reversal that occurs when light is reflected from a metallic mirror produces a standing wave with reduced intensity near the reflective surface. This effect is highly undesirable in optoelectronic devices that use metal films as both electrical contacts and optical mirrors, because it dictates a minimum spacing between the metal and the underlying active semiconductor layers, therefore posing a fundamental limit to the overall thickness of the device. Here, we show that this challenge can be circumvented by using a metamaterial mirror whose reflection phase is tunable from that of a perfect electric mirror († = €) to that of a perfect magnetic mirror († = 0). This tunability in reflection phase can also be exploited to optimize the standing wave profile in planar devices to maximize light-matter interaction. Specifically, we show that light absorption and photocurrent generation in a sub-100 nm active semiconductor layer of a model solar cell can be enhanced by ∼20% over a broad spectral band. © 2014 Macmillan Publishers Limited.

  11. Reflection and transmission of full-vector X-waves normally incident on dielectric half spaces

    KAUST Repository

    Salem, Mohamed

    2011-08-01

    The reflection and transmission of full-vector X-Waves incident normally on a planar interface between two lossless dielectric half-spaces are investigated. Full-vector X-Waves are obtained by superimposing transverse electric and magnetic polarization components, which are derived from the scalar X-Wave solution. The analysis of transmission and reflection is carried out via a straightforward but yet effective method: First, the X-Wave is decomposed into vector Bessel beams via the Bessel-Fourier transform. Then, the reflection and transmission coefficients of the beams are obtained in the spectral domain. Finally, the transmitted and reflected X-Waves are obtained via the inverse Bessel-Fourier transform carried out on the X-wave spectrum weighted with the corresponding coefficient. © 2011 IEEE.

  12. Cross-Cultural Mirrors: Two-Way Reflections

    Directory of Open Access Journals (Sweden)

    Mimi Yang

    2010-10-01

    Full Text Available Ronald Takaki starts his milestone work 'A Different Mirror: A History of Multicultural America 'with an anecdote that is not difficult for those who have East Asian heritages to relate.

  13. Simulation of reflecting surface deviations of centimeter-band parabolic space radiotelescope (SRT) with the large-size mirror

    Science.gov (United States)

    Kotik, A.; Usyukin, V.; Vinogradov, I.; Arkhipov, M.

    2017-11-01

    he realization of astrophysical researches requires the development of high-sensitive centimeterband parabolic space radiotelescopes (SRT) with the large-size mirrors. Constructively such SRT with the mirror size more than 10 m can be realized as deployable rigid structures. Mesh-structures of such size do not provide the reflector reflecting surface accuracy which is necessary for the centimeter band observations. Now such telescope with the 10 m diameter mirror is developed in Russia in the frame of "SPECTR - R" program. External dimensions of the telescope is more than the size of existing thermo-vacuum chambers used to prove SRT reflecting surface accuracy parameters under the action of space environment factors. That's why the numerical simulation turns out to be the basis required to accept the taken designs. Such modeling should be based on experimental working of the basic constructive materials and elements of the future reflector. In the article computational modeling of reflecting surface deviations of a centimeter-band of a large-sized deployable space reflector at a stage of his orbital functioning is considered. The analysis of the factors that determines the deviations - both determined (temperatures fields) and not-determined (telescope manufacturing and installation faults; the deformations caused by features of composite materials behavior in space) is carried out. The finite-element model and complex of methods are developed. They allow to carry out computational modeling of reflecting surface deviations caused by influence of all factors and to take into account the deviations correction by space vehicle orientation system. The results of modeling for two modes of functioning (orientation at the Sun) SRT are presented.

  14. Geometry of mirror manifolds

    International Nuclear Information System (INIS)

    Aspinwall, P.S.; Luetken, C.A.

    1991-01-01

    We analyze the mirror manifold hypothesis in one and three dimensions using the simplest available representations of the N = 2 superconformal algebra. The symmetries of these tensor models can be divided out to give an explicit representation of the mirror, and we give a simple group theoretical algorithm for determining which symmetries should be used. We show that the mirror of a superconformal field theory does not always have a geometrical interpretation, but when it does, deformations of complex structure of one manifold are reflected in deformations of the Kaehler form of the mirror manifold, and we show how the large radius limit of a manifold corresponds to a large complex structure limit in the mirror manifold. The mirror of the Tian-Yau three generation model is constructed both as a conformal field theory and as an algebraic variety with Euler number six. The Hodge numbers of this manifolds are fixed, but the intersection numbes are highly ambiguous, presumably reflected a rich structure of multicritical points in the moduli space of the field theory. (orig.)

  15. Unusual reflection of electromagnetic radiation from a stack of graphene layers at oblique incidence

    International Nuclear Information System (INIS)

    Bludov, Yu V; Peres, N M R; Vasilevskiy, M I

    2013-01-01

    We study the interaction of electromagnetic (EM) radiation with single-layer graphene and a stack of parallel graphene sheets at arbitrary angles of incidence. It is found that the behavior is qualitatively different for transverse magnetic (or p-polarized) and transverse electric (or s-polarized) waves. In particular, the absorbance of single-layer graphene attains a minimum (maximum) for the p (s)-polarization at the angle of total internal reflection when the light comes from a medium with a higher dielectric constant. In the case of equal dielectric constants of the media above and beneath graphene, for grazing incidence graphene is almost 100% transparent to p-polarized waves and acts as a tunable mirror for the s-polarization. These effects are enhanced for a stack of graphene sheets, so the system can work as a broad band polarizer. It is shown further that a periodic stack of graphene layers has the properties of a one-dimensional photonic crystal, with gaps (or stop bands) at certain frequencies. When an incident EM wave is reflected from this photonic crystal, the tunability of the graphene conductivity renders the possibility of controlling the gaps, and the structure can operate as a tunable spectral-selective mirror. (paper)

  16. Mirror agnosia and the mirrored-self misidentification delusion: a hypnotic analogue.

    Science.gov (United States)

    Connors, Michael H; Cox, Rochelle E; Barnier, Amanda J; Langdon, Robyn; Coltheart, Max

    2012-05-01

    Mirrored-self misidentification is the delusional belief that one's reflection in the mirror is a stranger. Current theories suggest that one pathway to the delusion is mirror agnosia (a deficit in which patients are unable to use mirror knowledge when interacting with mirrors). This study examined whether a hypnotic suggestion for mirror agnosia can recreate features of the delusion. Ten high hypnotisable participants were given either a suggestion to not understand mirrors or to see the mirror as a window. Participants were asked to look into a mirror and describe what they saw. Participants were tested on their understanding of mirrors and received a series of challenges. Participants then received a detailed postexperimental inquiry. Three of five participants given the suggestion to not understand mirrors reported seeing a stranger and maintained this belief when challenged. These participants also showed signs of mirror agnosia. No participants given the suggestion to see a window reported seeing a stranger. Results indicate that a hypnotic suggestion for mirror agnosia can be used to recreate the mirrored-self misidentification delusion. Factors influencing the effectiveness of hypnotic analogues of psychopathology, such as participants' expectations and interpretations, are discussed.

  17. Light mirror reflection combined with heating/cooling curves as a method of studying phase transitions in transparent and opaque petroleum products: Apparatus and theory

    International Nuclear Information System (INIS)

    Shishkin, Yu.L.

    2007-01-01

    A portable low weight low cost apparatus 'Phasafot' and method for determining pour and cloud points of petroleum products, as well as precipitation and melting temperatures of paraffins in both transparent (diesel fuels), semi-transparent (lube oils) and opaque (crude oils) samples are described. The method consists in illuminating the surface of a sample with an oblique light beam and registering the intensity of specularly reflected light while heating/cooling the sample in the temperature range of its structural transitions. The mirror reflection of a light beam from an ideally smooth liquid surface falls in intensity when the surface becomes rough (dim) due to crystal formation. Simultaneous recording of the temperature ramp curve and the mirror reflection curve enables the determination of the beginning and end of crystallization of paraffins in both transparent and opaque petroleum products. Besides, their rheological properties can be accurately determined by rocking or tilting the instrument while monitoring the sample movement via its mirror reflection

  18. Numerical controlled diamond fly cutting machine for grazing incidence X-ray reflection mirrors

    International Nuclear Information System (INIS)

    Uchida, Fumihiko; Moriyama, Shigeo; Seya, Eiiti

    1992-01-01

    Synchrotron radiation has reached the stage of practical use, and the application to the wide fields that support future advanced technologies such as spectroscopy, the structural analysis of matters, semiconductor lithography and medical light source is expected. For the optical system of the equipment utilizing synchrotron radiation, the total reflection mirrors of oblique incidence are used for collimating and collecting X-ray. In order to restrain their optical aberration, nonspherical shape is required, and as the manufacturing method with high precision for nonspherical mirrors, a numerically controlled diamond cutting machine was developed. As for the cutting of soft metals with diamond tools, the high precision machining of any form can be done by numerical control, the machining time can be reduced as compared with grinding, and the cooling effect is large in metals. The construction of the cutting machine, the principle of machining, the control system, the method of calculating numerical control data, the investigation of machinable forms and the result of evaluation are reported. (K.I.)

  19. Integrated fiber-mirror ion trap for strong ion-cavity coupling

    International Nuclear Information System (INIS)

    Brandstätter, B.; Schüppert, K.; Casabone, B.; Friebe, K.; Stute, A.; Northup, T. E.; McClung, A.; Schmidt, P. O.; Deutsch, C.; Reichel, J.; Blatt, R.

    2013-01-01

    We present and characterize fiber mirrors and a miniaturized ion-trap design developed to integrate a fiber-based Fabry-Perot cavity (FFPC) with a linear Paul trap for use in cavity-QED experiments with trapped ions. Our fiber-mirror fabrication process not only enables the construction of FFPCs with small mode volumes, but also allows us to minimize the influence of the dielectric fiber mirrors on the trapped-ion pseudopotential. We discuss the effect of clipping losses for long FFPCs and the effect of angular and lateral displacements on the coupling efficiencies between cavity and fiber. Optical profilometry allows us to determine the radii of curvature and ellipticities of the fiber mirrors. From finesse measurements, we infer a single-atom cooperativity of up to 12 for FFPCs longer than 200 μm in length; comparison to cavities constructed with reference substrate mirrors produced in the same coating run indicates that our FFPCs have similar scattering losses. We characterize the birefringence of our fiber mirrors, finding that careful fiber-mirror selection enables us to construct FFPCs with degenerate polarization modes. As FFPCs are novel devices, we describe procedures developed for handling, aligning, and cleaning them. We discuss experiments to anneal fiber mirrors and explore the influence of the atmosphere under which annealing occurs on coating losses, finding that annealing under vacuum increases the losses for our reference substrate mirrors. X-ray photoelectron spectroscopy measurements indicate that these losses may be attributable to oxygen depletion in the mirror coating. Special design considerations enable us to introduce a FFPC into a trapped ion setup. Our unique linear Paul trap design provides clearance for such a cavity and is miniaturized to shield trapped ions from the dielectric fiber mirrors. We numerically calculate the trap potential in the absence of fibers. In the experiment additional electrodes can be used to compensate

  20. A reflectivity profilometer for the optical characterisation of graded reflectivity mirrors in the 250 nm - 1100 nm spectral region

    International Nuclear Information System (INIS)

    Colucci, Alessandro; Nichelatti, Enrico

    1998-04-01

    It's developed the prototype of an instrument that can be used for the optical characterisation of graded reflectivity mirrors at any wavelength in the spectral region from 250 nm to 1100 nm. The instrument utilises a high-pressure Xe arc lamp as light source. Light is spectrally filtered by means of a grating monochromator. The sample is illuminated with an image of the monochromator exit slit. After reflection from the sample, this image is projected onto a 1024-elements charge-coupled device linear array driven by a digital frame board and interfaced with a personal computer. It's tested the instrument accuracy by comparing measurement results with the corresponding ones obtained by means of a laser scanning technique. Measurement Rms repeatability has been estimated to be approximately of 0.8% [it

  1. Multilayer mirrors as power filters in insertion device beamlines

    International Nuclear Information System (INIS)

    Kortright, J.B.; DiGennaro, R.S.

    1988-08-01

    The power-filtering capabilities of multilayer band-pass x-ray mirrors relative to total reflection low-pass mirrors is presented. Results are based on calculations assuming proposed wiggler sources on the upcoming generation of low energy (1.5 GeV) and high energy (7.0 GeV) synchrotron radiation sources. Results show that multilayers out-perform total reflection mirrors in terms of reduction in reflected power by roughly an order of magnitude, with relatively small increases in total absorbed power and power density over total reflection mirrors, and with comparable reflected flux values. Various aspects of this potential application of multilayer x-ray optics are discussed. 13 refs., 3 figs., 1 tab

  2. Centimeter-scale MEMS scanning mirrors for high power laser application

    Science.gov (United States)

    Senger, F.; Hofmann, U.; v. Wantoch, T.; Mallas, C.; Janes, J.; Benecke, W.; Herwig, Patrick; Gawlitza, P.; Ortega-Delgado, M.; Grune, C.; Hannweber, J.; Wetzig, A.

    2015-02-01

    A higher achievable scan speed and the capability to integrate two scan axes in a very compact device are fundamental advantages of MEMS scanning mirrors over conventional galvanometric scanners. There is a growing demand for biaxial high speed scanning systems complementing the rapid progress of high power lasers for enabling the development of new high throughput manufacturing processes. This paper presents concept, design, fabrication and test of biaxial large aperture MEMS scanning mirrors (LAMM) with aperture sizes up to 20 mm for use in high-power laser applications. To keep static and dynamic deformation of the mirror acceptably low all MEMS mirrors exhibit full substrate thickness of 725 μm. The LAMM-scanners are being vacuum packaged on wafer-level based on a stack of 4 wafers. Scanners with aperture sizes up to 12 mm are designed as a 4-DOF-oscillator with amplitude magnification applying electrostatic actuation for driving a motor-frame. As an example a 7-mm-scanner is presented that achieves an optical scan angle of 32 degrees at 3.2 kHz. LAMM-scanners with apertures sizes of 20 mm are designed as passive high-Q-resonators to be externally excited by low-cost electromagnetic or piezoelectric drives. Multi-layer dielectric coatings with a reflectivity higher than 99.9 % have enabled to apply cw-laser power loads of more than 600 W without damaging the MEMS mirror. Finally, a new excitation concept for resonant scanners is presented providing advantageous shaping of intensity profiles of projected laser patterns without modulating the laser. This is of interest in lighting applications such as automotive laser headlights.

  3. Reducing the Surface Performance Requirements of a Primary Mirror by Adding a Deformable Mirror in its Optical Path

    Science.gov (United States)

    2015-12-01

    data. Of note, the interferometer compensates for the double -pass induced by single reflections off a surface by diving all measurements by 2. However...the interferometer. Since the laser reflects off the CFRP mirror only once, the CFRP wavefront measurements did not require additional double -pass...conducted with a flat mirror in the optical path. Figure 13 presents the measured wavefront error of the CFRP mirror with piston , tip and tip removed and

  4. Real-time detection of dielectric anisotropy or isotropy in unconventional oil-gas reservoir rocks supported by the oblique-incidence reflectivity difference technique.

    Science.gov (United States)

    Zhan, Honglei; Wang, Jin; Zhao, Kun; Lű, Huibin; Jin, Kuijuan; He, Liping; Yang, Guozhen; Xiao, Lizhi

    2016-12-15

    Current geological extraction theory and techniques are very limited to adequately characterize the unconventional oil-gas reservoirs because of the considerable complexity of the geological structures. Optical measurement has the advantages of non-interference with the earth magnetic fields, and is often useful in detecting various physical properties. One key parameter that can be detected using optical methods is the dielectric permittivity, which reflects the mineral and organic properties. Here we reported an oblique-incidence reflectivity difference (OIRD) technique that is sensitive to the dielectric and surface properties and can be applied to characterization of reservoir rocks, such as shale and sandstone core samples extracted from subsurface. The layered distribution of the dielectric properties in shales and the uniform distribution in sandstones are clearly identified using the OIRD signals. In shales, the micro-cracks and particle orientation result in directional changes of the dielectric and surface properties, and thus, the isotropy and anisotropy of the rock can be characterized by OIRD. As the dielectric and surface properties are closely related to the hydrocarbon-bearing features in oil-gas reservoirs, we believe that the precise measurement carried with OIRD can help in improving the recovery efficiency in well-drilling process.

  5. Mirror symmetry

    CERN Document Server

    Voisin, Claire

    1999-01-01

    This is the English translation of Professor Voisin's book reflecting the discovery of the mirror symmetry phenomenon. The first chapter is devoted to the geometry of Calabi-Yau manifolds, and the second describes, as motivation, the ideas from quantum field theory that led to the discovery of mirror symmetry. The other chapters deal with more specialized aspects of the subject: the work of Candelas, de la Ossa, Greene, and Parkes, based on the fact that under the mirror symmetry hypothesis, the variation of Hodge structure of a Calabi-Yau threefold determines the Gromov-Witten invariants of its mirror; Batyrev's construction, which exhibits the mirror symmetry phenomenon between hypersurfaces of toric Fano varieties, after a combinatorial classification of the latter; the mathematical construction of the Gromov-Witten potential, and the proof of its crucial property (that it satisfies the WDVV equation), which makes it possible to construct a flat connection underlying a variation of Hodge structure in the ...

  6. Dependence of laser radiation intensity on the elastic deformation of a revolving optical disk with a reflective coating

    Science.gov (United States)

    Gladyshev, V. O.; Portnov, D. I.

    2016-12-01

    The physical mechanism of alteration of intensity of linearly polarized monochromatic electromagnetic radiation with λ = 630 nm in a revolving dielectric disk with a mirror coating is examined. The effect is induced by elastic deformation due to the revolution and by thermoelastic deformation of the optically transparent disk. These deformations result in birefringence, the polarization plane rotation, and a 30-40% change in the intensity of reflected radiation.

  7. Computerised mirror therapy with Augmented Reflection Technology for early stroke rehabilitation: clinical feasibility and integration as an adjunct therapy.

    Science.gov (United States)

    Hoermann, Simon; Ferreira Dos Santos, Luara; Morkisch, Nadine; Jettkowski, Katrin; Sillis, Moran; Devan, Hemakumar; Kanagasabai, Parimala S; Schmidt, Henning; Krüger, Jörg; Dohle, Christian; Regenbrecht, Holger; Hale, Leigh; Cutfield, Nicholas J

    2017-07-01

    New rehabilitation strategies for post-stroke upper limb rehabilitation employing visual stimulation show promising results, however, cost-efficient and clinically feasible ways to provide these interventions are still lacking. An integral step is to translate recent technological advances, such as in virtual and augmented reality, into therapeutic practice to improve outcomes for patients. This requires research on the adaptation of the technology for clinical use as well as on the appropriate guidelines and protocols for sustainable integration into therapeutic routines. Here, we present and evaluate a novel and affordable augmented reality system (Augmented Reflection Technology, ART) in combination with a validated mirror therapy protocol for upper limb rehabilitation after stroke. We evaluated components of the therapeutic intervention, from the patients' and the therapists' points of view in a clinical feasibility study at a rehabilitation centre. We also assessed the integration of ART as an adjunct therapy for the clinical rehabilitation of subacute patients at two different hospitals. The results showed that the combination and application of the Berlin Protocol for Mirror Therapy together with ART was feasible for clinical use. This combination was integrated into the therapeutic plan of subacute stroke patients at the two clinical locations where the second part of this research was conducted. Our findings pave the way for using technology to provide mirror therapy in clinical settings and show potential for the more effective use of inpatient time and enhanced recoveries for patients. Implications for Rehabilitation Computerised Mirror Therapy is feasible for clinical use Augmented Reflection Technology can be integrated as an adjunctive therapeutic intervention for subacute stroke patients in an inpatient setting Virtual Rehabilitation devices such as Augmented Reflection Technology have considerable potential to enhance stroke rehabilitation.

  8. Study on the Reflection Spectra of One Dimensional Plasma Photonic Crystals Having Exponentially Graded Materials

    International Nuclear Information System (INIS)

    Prasad, S.; Singh, Vivek; Singh, A. K.

    2013-01-01

    The transfer matrix method is used to study the effect of the permittivity profile on the reflectivity of a one dimensional plasma photonic crystal having exponentially graded material. The analysis shows that the proposed structure works as a perfect mirror within a certain frequency range. These frequency ranges can be completely controlled by the permittivity profile of a graded dielectric layer. As expected we observed that these frequency ranges are also controlled by plasma parameters. (plasma technology)

  9. Vectorial analysis of dielectric photonic crystal VCSEL

    DEFF Research Database (Denmark)

    Chung, Il-Sug; Mørk, Jesper

    2009-01-01

    A new vertical-cavity surface-emitting laser structure employing a dielectric photonic crystal mirror has been suggested and been numerically investigated. The new structure has a smaller threshold gain, a moderate strength of single-transverse-mode operation, a high quality of emission beam free...

  10. Plane-wave diffraction by periodic structures with artificial anisotropic dielectrics

    International Nuclear Information System (INIS)

    Kazerooni, Azadeh Semsar; Shahabadi, Mahmoud

    2010-01-01

    Periodic structures with artificial anisotropic dielectrics are studied. The artificial anisotropic dielectric material in this work is made of two alternating isotropic dielectric layers. By a proper choice of the dielectric constant of the layers, we can realize a uniaxial anisotropic medium with controllable anisotropy. The artificial anisotropic dielectric is then used in periodic structures. For these structures, the optical axis of the artificial dielectric is assumed to be parallel or perpendicular to the period of the structure. Diffraction of plane waves by these structures is analyzed by a fully vectorial rigorous matrix method based on a generalized transmission line (TL) formulation. The propagation constants and field distributions are computed and diffraction properties of such structures are studied to show that, by a proper choice of structural parameters, these periodic structures with artificial anisotropic dielectrics can be used as polarizers or polarizing mirrors

  11. Laser-damage susceptibility of nodular defects in dielectric mirror coatings: AFM measurements and electric-field modeling

    International Nuclear Information System (INIS)

    Kozlowski, M.R.; DeFord, J.F.; Staggs, M.C.

    1993-01-01

    Atomic force microscopy (AFM) and electromagnetic field modeling were used to study the influence of nodular coating defects on laser-induced damage of multilayer dielectric coatings. In studies of HfO 2 /SiO 2 mirrors with 1.06 μm illumination, AFM results showed that nodular defects with high dome heights (>0.6 μm) were most susceptible to laser damage. Crater defects, formed by nodules ejected from the coating prior to illumination, were not damaged when illuminated over the same range of fluences. A finite-difference time-domain electromagnetic modeling code was used to study the influence of 3-D nodule defects on the E-field distribution within the interference coating. The modeling results show that Enfield enhancements as large as a factor of 4 can be present at the defects. Crater defects, however, result in minimal enhancement of the E-fields within the coating. These modeling results are consistent with the AFM experimental data, indicating that E-field enhancement is a contributing mechanism in defect-dominated laser damage of optical coatings

  12. Wavelength tunable ultrafast fiber laser via reflective mirror with taper structure.

    Science.gov (United States)

    Fang, Li; Huang, Chuyun; Liu, Ting; Gogneau, Noelle; Bourhis, Eric; Gierak, Jacques; Oudar, Jean-Louis

    2016-12-20

    Laser sources with a controllable flexible wavelength have found widespread applications in optical fiber communication, optical sensing, and microscopy. Here, we report a tunable mode-locked fiber laser using a graphene-based saturable absorber and a tapered mirror as an end mirror in the cavity. The phase layer in the mirror is precisely etched by focused ion beam (FIB) milling technology, and the resonant wavelength of the mirror shifts correspond to the different etch depths. By scanning the tapered mirror mechanically, the center wavelength of a mode-locked fiber laser can be continuously tuned from 1562 to 1532 nm, with a pulse width in the sub-ps level and repetition rate of 27 MHz.

  13. Influence of the tilting reflection mirror on the temperature and wind velocity retrieved by a polarizing atmospheric Michelson interferometer.

    Science.gov (United States)

    Zhang, Chunmin; Li, Ying

    2012-09-20

    The principles of a polarizing atmospheric Michelson interferometer are outlined. The tilt of its reflection mirror results in deflection of the reflected beam and affects the intensities of the observed inteferogram. This effect is systematically analyzed. Both rectangular and circular apertures are considered. The theoretical expression of the modulation depth and phase of the interferogram are derived. These parameters vary with the inclination angle of the mirror and the distance between the deflection center and the optical axis and significantly influence the retrieved temperature and wind speed. If the wind and temperature errors are required to be less than 3 m/s and 5 K, the deflection angle must be less than 0.5°. The errors are also dependent on the shape of aperture. If the reflection mirror is deflected in one direction, the temperature error is smaller for a circular aperture (1.3 K) than for a rectangular one (2.6 K), but the wind velocity errors are almost the same (less than 3 m/s). If the deflection center and incident light beam are coincident, the temperature errors are 3 × 10(-4) K and 0.45 K for circular and rectangular apertures, respectively. The wind velocity errors are 1.2 × 10(-3) m/s and 0.06 m/s. Both are small. The result would be helpful for theoretical research and development of the static polarization wind imaging interferometer.

  14. Graded Reflectivity Mirror for the Solid State Heat Capacity Laser Final Report CRADA No. TC-2085-04

    Energy Technology Data Exchange (ETDEWEB)

    Yamamoto, R. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Davis, J. A. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2017-09-27

    This was a collaborative effort between The Regents of the University of California, Lawrence Livermore National Laboratory (LLNL) and the Boeing Company, to develop a Graded Reflectivity Mirror (GRM) to achieve improved near field fill and higher brightness in the far field output of LLNL’s Solid State Heat Capacity Laser (SSHCL).

  15. Diagnostic mirror concept development for use in the complex environment of a fusion reactor

    Energy Technology Data Exchange (ETDEWEB)

    Krimmer, Andreas Joachim

    2016-07-01

    Light-based diagnostic systems of fusion reactors require optical mirrors to channel light through the structures surrounding the plasma. With increasing plasma volume, power and plasma burn time, the environmental conditions grow more demanding and new requirements arise. In this dissertation, the design of optical mirrors inside the vacuum chamber of the prototype reactor ITER (Latin ''the way'') and future fusion power plants are investigated. Comparing the state of the art with the boundary conditions close to the fusion plasma, existing mirror designs and choices for the reflective surface are evaluated. For the design, it is not the individual boundary conditions that are critical, but rather, their combination and the resulting interactions. Drawing from the existing designs, possible realizations for central functionality are discussed. Included in the discussion are substrate choice, mounting, adjustment and thermal contacting as well as positioning of the mirror assembly compatible with hot cell maintenance. Building on the general discussion, mirror concepts for the charge exchange recombination spectroscopy (CXRS) diagnostic system for the ITER plasma core are proposed and simulated. In addition, prototypes are manufactured and tested to assess critical aspects of the proposed design. Testing includes positioning by pins, manufacturing of a stainless steel substrate with fluid channels adapted to the mirror shape, and tests with an SiO{sub 2} /TiO{sub 2} dielectric coating under selected ITER conditions. As a result of the work, the fusion reactor mirror design considerations given in the principal design discussion can be used as a basis for other diagnostic systems as well. In the case of the core CXRS mirror concept for ITER, the basic suitability was shown and critical topics were identified where additional work is necessary.

  16. Diagnostic mirror concept development for use in the complex environment of a fusion reactor

    International Nuclear Information System (INIS)

    Krimmer, Andreas Joachim

    2016-01-01

    Light-based diagnostic systems of fusion reactors require optical mirrors to channel light through the structures surrounding the plasma. With increasing plasma volume, power and plasma burn time, the environmental conditions grow more demanding and new requirements arise. In this dissertation, the design of optical mirrors inside the vacuum chamber of the prototype reactor ITER (Latin ''the way'') and future fusion power plants are investigated. Comparing the state of the art with the boundary conditions close to the fusion plasma, existing mirror designs and choices for the reflective surface are evaluated. For the design, it is not the individual boundary conditions that are critical, but rather, their combination and the resulting interactions. Drawing from the existing designs, possible realizations for central functionality are discussed. Included in the discussion are substrate choice, mounting, adjustment and thermal contacting as well as positioning of the mirror assembly compatible with hot cell maintenance. Building on the general discussion, mirror concepts for the charge exchange recombination spectroscopy (CXRS) diagnostic system for the ITER plasma core are proposed and simulated. In addition, prototypes are manufactured and tested to assess critical aspects of the proposed design. Testing includes positioning by pins, manufacturing of a stainless steel substrate with fluid channels adapted to the mirror shape, and tests with an SiO_2 /TiO_2 dielectric coating under selected ITER conditions. As a result of the work, the fusion reactor mirror design considerations given in the principal design discussion can be used as a basis for other diagnostic systems as well. In the case of the core CXRS mirror concept for ITER, the basic suitability was shown and critical topics were identified where additional work is necessary.

  17. Effects of low earth orbit on the optical performance of multi-layer enhanced high reflectance mirrors

    Science.gov (United States)

    Donovan, Terence; Johnson, Linda; Klemm, Karl; Scheri, Rick; Bennett, Jean; Erickson, Jon; Dibrozolo, Filippo

    1995-01-01

    Two mirror designs developed for space applications were flown along with a standard mid-infrared design on the leading and trailing edges of the Long Duration Exposure Facility (LDEF). Preliminary observations of induced changes in optical performance of ZnS-coated mirrors and impact-related microstructural and microchemical effects are described in the proceedings of the First LDEF Post-Retrieval Symposium. In this paper, effects of the induced environment and meteoroid/debris impacts on mirror performance are described in more detail. Also, an analysis of reflectance spectra using the results of Auger and secondary ion mass spectroscopy (SIMS) profiling measurements are used to identify an optical-degradation mechanism for the ZnS-coated mirrors. Structural damage associated with a high-velocity impact on a (Si/Al2O3)-coated mirror was imaged optically and with scanning electron and atomic force microscopy (SEM and AFM). Scanning Auger and SIMS analysis provided chemical mapping of selected impact sites. The impact data suggest design and fabrication modifications for obtaining improved mechanical performance using a design variation identified in preflight laboratory simulations. Auger surface profile and SIMS imaging data verified the conclusion that secondary impacts are the source of contamination associated with the dendrites grown on the leading-edge ZnS-coated test samples. It was also found that dendrites can be grown in the laboratory by irradiating contaminated sites on a trailing-edge ZnS-coated sample with a rastered electron beam. These results suggest a mechanism for dendrite growth.

  18. Study on the materials for mirrors and back mirror reflectors of thermonuclear reactors and their testing in Tore-Supra

    International Nuclear Information System (INIS)

    Schunke, B.; Voytsenya, V.; Gil, C.; Lipa, M.

    2003-01-01

    Plasma diagnostics using visible or ultra-violet or infra-red radiations require mirrors to probe the plasma. These mirrors have to sustain very hostile environment and despite that must maintain good optical properties. Mirror samples made of 3 different metals: copper, stainless steel and molybdenum have been designed and installed in Tore Supra tokamak and will be exposed to plasmas till mid 2004. This project will allow fusion engineers to assess the impact of plasma ion bombardment on mirror reflectivity. Optical properties and parameters concerning the surface state of the samples have been measured before the installation in Tore Supra and are presented in the paper. Simulations with a Monte-Carlo code predict the particle flux and spectra near the samples. A specific back mirror reflector has been designed to probe mirror reflectivity changes. (A.C.)

  19. Why do vampires avoid mirrors? Reflections on specularity in the visual arts

    Directory of Open Access Journals (Sweden)

    Vangelis Athanassopoulos

    2012-02-01

    Full Text Available Vangelis Athanassopoulos, Ph.D. in Aesthetics, is an Associate Professor of Philosophy of Art at the Department of Visual Arts of the University Paris I Panthéon-Sorbonne in Paris, France. He is a member of the LETA (Laboratory of Theoretical and Applied Aesthetics, University Paris I, the AICA (International Association of Art Critics, and co-editor of Proteus, an online French journal on aesthetics (www.revue-proteus.com. He has published two books on postmodernism and advertising (La publicité dans l'art contemporain, 2 t., Paris: L'Harmattan, 2009 as well as several articles on modern and contemporary art. His research fields include visual semiology, philosophy of language and critical theory.This article is an attempt to organize the general axes of a research on mirror image in the visual arts, addressing the concept of specularity and its problematic status in Western aesthetics. The argument is that, paradoxically, despite the central role of reflection in the theory of representation, specularity is constantly repressed as false and dangerous. Hence the historical duplicity of the mirror in its relation to art: on the one hand it consolidates the Western system of representation while on the other it deconstructs the very principles upon which this system is erected. Literary theory and psychoanalysis enable us to focus on the ways which, in the founding myths of representation such as the ones of Narcissus and Medusa, vision, discourse and identity are articulated around reflection, relating a physical phenomenon with the mental processes defining self-consciousness. In the field of visual arts, this articulation is operated through the opposition between two different conceptions of the image, “painting-as-window” and “painting-as-mirror”. Locating this opposition in Svetlana Alpers’ reading of Las Meninas and Louis Marin's approach of the Brunelleschian optical box, we point out the discontinuity which comes to the fore

  20. Numerical solutions of ICRF fields in axisymmetric mirrors

    International Nuclear Information System (INIS)

    Phillips, M.W.

    1985-01-01

    The results of a new numerical code called GARFIELD (Grumman Aerospace Rf Field code) that calculates ICRF Fields in axisymmetric mirror geometry (such as the central cell of a tandem mirror or an RF test stand) are presented. The code solves the electromagnetic wave equation using a cold plasma dispersion relation with a small collision frequency to simulate absorption. The purpose of the calculation is to examine how ICRF wave structure and propagation is effected by the axial variation of the magnetic field in a mirror for various antenna designs. In the code the wave equation is solved in flux coordinates using a finite element method. This should allow more complex dielectric tensors to be modeled in the future. The resulting matrix is solved iteratively, to maximize the allowable size of the spatial grid. Results for a typical antenna array in a simple mirror will be shown

  1. Atomic layer deposition and etching methods for far ultraviolet aluminum mirrors

    Science.gov (United States)

    Hennessy, John; Moore, Christopher S.; Balasubramanian, Kunjithapatham; Jewell, April D.; Carter, Christian; France, Kevin; Nikzad, Shouleh

    2017-09-01

    High-performance aluminum mirrors at far ultraviolet wavelengths require transparent dielectric materials as protective coatings to prevent oxidation. Reducing the thickness of this protective layer can result in additional performance gains by minimizing absorption losses, and provides a path toward high Al reflectance in the challenging wavelength range of 90 to 110 nm. We have pursued the development of new atomic layer deposition processes (ALD) for the metal fluoride materials of MgF2, AlF3 and LiF. Using anhydrous hydrogen fluoride as a reactant, these films can be deposited at the low temperatures required for large-area surface-finished optics and polymeric diffraction gratings. We also report on the development and application of an atomic layer etching (ALE) procedure to controllably etch native aluminum oxide. Our ALE process utilizes the same chemistry used in the ALD of AlF3 thin films, allowing for a combination of high-performance evaporated Al layers and ultrathin ALD encapsulation without requiring vacuum transfer. Progress in demonstrating the scalability of this approach, as well as the environmental stability of ALD/ALE Al mirrors are discussed in the context of possible future applications for NASA LUVOIR and HabEx mission concepts.

  2. Creation, Identity and Reflection

    Directory of Open Access Journals (Sweden)

    Alina Beatrice Cheşcă

    2015-05-01

    Full Text Available The paper “Creation, Identity and Reflection” approaches the identification in the “mirror” of reality with creation, in other words seeking the authors’ identity in the reflected images. Reflection means attempting to find oneself, the mirror being the main principle of creation. Many characters become interesting only when they step into the world beyond the mirror, when their faces are doubled by the other self or when their selves are returned by other characters. The narcissistic concept of the mirror, i.e. the reflection in the mirror and the representation of the mirror itself, is a recurrent one in literature, but the reflection of the self which is not the self (as it is a reflection does not necessarily appear in a mirror or in a photograph or portrait. Sometimes, the not-self is returned to the self by another person or character. As far as Oscar Wilde’s theories are concerned, the main idea is that people are interesting for their masks, not for their inner nature. What Wilde calls “inner nature” is the characters’ un-reflected self and the mask is the reflection, the self in the mirror. Some characters’ relationships develop within a fiction that they dramatically try to preserve and protect with the risk of suffering. They refuse to take off the masks which define them in the others’ minds and hearts; the narcissistic individuals (both artists and characters seek and love their own image which they project upon facts, thus creating a fictive realm.

  3. Electrochromic mirror using viologen-anchored nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Han Na [Electronics and Telecommunications Research Institute, Nature-mimic I/O interface Research Section, 218 Gajeong-roYuseong-gu, Daejeon 305-700 (Korea, Republic of); University of Science and Technology, Advanced Device Technology, 217 Gajeong-roYuseong-gu, Daejeon 305-350 (Korea, Republic of); Cho, Seong M.; Ah, Chil Seong; Song, Juhee; Ryu, Hojun; Kim, Yong Hae [Electronics and Telecommunications Research Institute, Nature-mimic I/O interface Research Section, 218 Gajeong-roYuseong-gu, Daejeon 305-700 (Korea, Republic of); Kim, Tae-Youb, E-mail: youby@etri.re.kr [Electronics and Telecommunications Research Institute, Nature-mimic I/O interface Research Section, 218 Gajeong-roYuseong-gu, Daejeon 305-700 (Korea, Republic of); University of Science and Technology, Advanced Device Technology, 217 Gajeong-roYuseong-gu, Daejeon 305-350 (Korea, Republic of)

    2016-10-15

    Highlights: • Three types of ECM device were fabricated using viologen-anchored ECDs. • The devices were investigated according to their optical structures. • The anti-reflection material affects the reflectance and the coloration efficiency. • The device design of ECMs is a crucial factor for clear reflected images. - Abstract: Electrochromic mirrors (ECMs) that are used in automobile mirrors need to have high reflectance, a high contrast ratio, and a clear image. In particular, it is critical that distortions of clear images are minimized for safety. Therefore, an ECM is fabricated using viologen-anchored nanoparticles and a magnesium fluoride (MgF{sub 2}) layer with an anti-reflection function. The ECM has approximately 30.42% in the reflectance dynamic range and 125 cm{sup 2}/C high coloration efficiency.

  4. NUMERICAL SIMULATION OF Q-SWITCHED Nd: YAG LASER WITH UNSTABLE RESONATOR AND OUTPUT VARIABLE REFLECTIVITY MIRROR

    Directory of Open Access Journals (Sweden)

    I. N. Dubinkin

    2017-05-01

    Full Text Available The article deals with a method of numerical simulation of laser oscillation in the radially symmetric unstable resonator with an output variable reflectivity mirror (VRM. Research results of the VRM parameters influence on the spatial and energy properties of the laser radiation are obtained. Numerical simulation of laser oscillation in active and passive Q-switching and comparative analysis of the spatial and energy radiation characteristics is done for these modes.

  5. Plasma impact on diagnostic mirrors in JET

    Directory of Open Access Journals (Sweden)

    A. Garcia-Carrasco

    2017-08-01

    Full Text Available Metallic mirrors will be essential components of all optical systems for plasma diagnosis in ITER. This contribution provides a comprehensive account on plasma impact on diagnostic mirrors in JET with the ITER-Like Wall. Specimens from the First Mirror Test and the lithium-beam diagnostic have been studied by spectrophotometry, ion beam analysis and electron microscopy. Test mirrors made of molybdenum were retrieved from the main chamber and the divertor after exposure to the 2013–2014 experimental campaign. In the main chamber, only mirrors located at the entrance of the carrier lost reflectivity (Be deposition, while those located deeper in the carrier were only slightly affected. The performance of mirrors in the JET divertor was strongly degraded by deposition of beryllium, tungsten and other species. Mirrors from the lithium-beam diagnostic have been studied for the first time. Gold coatings were severely damaged by intense arcing. As a consequence, material mixing of the gold layer with the stainless steel substrate occurred. Total reflectivity dropped from over 90% to less than 60%, i.e. to the level typical for stainless steel.

  6. Reflection characterization of nano-sized dielectric structure in Morpho butterfly wings

    Science.gov (United States)

    Zhu, Dong

    2017-10-01

    Morpho butterflies living in Central and South America are well-known for their structural-colored blue wings. The blue coloring originates from the interaction of light with nano-sized dielectric structures that are equipped on the external surface of scales covering over their wings. The high-accuracy nonstandard finite-difference time domain (NS-FDTD) method is used to investigate the reflection characterization from the nanostructures. In the NS-FDTD calculation, a computational model is built to mimic the actual tree-like multilayered structures wherever possible using the hyperbolic tangent functions. It is generally known that both multilayer interference and diffraction grating phenomena can occur when light enters the nano-sized multilayered structure. To answer the question that which phenomenon is mainly responsible for the blue coloring, the NS-FDTD calculation is performed under various incidence angles at wavelengths from 360 to 500 nm. The calculated results at one incident wavelength under different incidence angles are visualized in a two-dimensional mapping image, where horizontal and vertical axes are incidence and reflection angles, respectively. The images demonstrate a remarkable transition from a ring-like pattern at shorter wavelengths to a retro-reflection pattern at longer wavelengths. To clarify the origin of the pattern transition, the model is separated into several simpler parts and compared their mapping images with the theoretical diffraction calculations. It can be concluded that the blue coloring at longer wavelengths is mainly caused by the cooperation of multilayer interference and retro-reflection while the effect of diffraction grating is predominant at shorter wavelengths.

  7. Study of Cr/Sc-based multilayer reflecting mirrors using soft x-ray reflectivity and standing wave-enhanced x-ray fluorescence

    Science.gov (United States)

    Wu, Meiyi; Burcklen, Catherine; André, Jean-Michel; Guen, Karine Le; Giglia, Angelo; Koshmak, Konstantin; Nannarone, Stefano; Bridou, Françoise; Meltchakov, Evgueni; Rossi, Sébastien de; Delmotte, Franck; Jonnard, Philippe

    2017-11-01

    We study Cr/Sc-based multilayer mirrors designed to work in the water window range using hard and soft x-ray reflectivity as well as x-ray fluorescence enhanced by standing waves. Samples differ by the elemental composition of the stack, the thickness of each layer, and the order of deposition. This paper mainly consists of two parts. In the first part, the optical performances of different Cr/Sc-based multilayers are reported, and in the second part, we extend further the characterization of the structural parameters of the multilayers, which can be extracted by comparing the experimental data with simulations. The methodology is detailed in the case of Cr/B4C/Sc sample for which a three-layer model is used. Structural parameters determined by fitting reflectivity curve are then introduced as fixed parameters to plot the x-ray standing wave curve, to compare with the experiment, and confirm the determined structure of the stack.

  8. Monitoring of absolute mirror alignment at COMPASS RICH-1 detector

    Energy Technology Data Exchange (ETDEWEB)

    Alexeev, M. [INFN, Sezione di Torino and University of East Piemonte, Alessandria (Italy); INFN, Sezione di Trieste and University of Bari, Bari (Italy); Birsa, R. [INFN, Sezione di Trieste, Trieste (Italy); Bradamante, F.; Bressan, A. [INFN, Sezione di Trieste and University of Trieste, Trieste (Italy); Chiosso, M. [INFN, Sezione di Torino and University of Torino, Torino (Italy); Ciliberti, P. [INFN, Sezione di Trieste and University of Trieste, Trieste (Italy); Dalla Torre, S. [INFN, Sezione di Trieste, Trieste (Italy); Denisov, O. [INFN, Sezione di Torino, Torino (Italy); Duic, V. [INFN, Sezione di Trieste and University of Trieste, Trieste (Italy); Ferrero, A. [INFN, Sezione di Torino and University of Torino, Torino (Italy); Finger, M.; Finger, M. [Charles University, Prague (Czech Republic); JINR, Dubna (Russian Federation); Gayde, J.Ch. [CERN, European Organization for Nuclear Research, Geneva (Switzerland); Giorgi, M. [INFN, Sezione di Trieste and University of Trieste, Trieste (Italy); Gobbo, B.; Levorato, S. [INFN, Sezione di Trieste, Trieste (Italy); Maggiora, A. [INFN, Sezione di Torino, Torino (Italy); Martin, A. [INFN, Sezione di Trieste and University of Trieste, Trieste (Italy); Menon, G. [INFN, Sezione di Trieste, Trieste (Italy); Panzieri, D. [INFN, Sezione di Torino and University of East Piemonte, Alessandria (Italy); and others

    2014-12-01

    The gaseous COMPASS RICH-1 detector uses two spherical mirror surfaces, segmented into 116 individual mirrors, to focus the Cherenkov photons onto the detector plane. Any mirror misalignment directly affects the detector resolution. The on-line Continuous Line Alignment and Monitoring (CLAM) photogrammetry-based method has been implemented to measure the alignment of individual mirrors which can be characterized by the center of curvature. The mirror wall reflects a regular grid of retroreflective strips placed inside the detector vessel. Then, the position of each mirror is determined from the image of the grid reflection. The images are collected by four cameras. Any small mirror misalignment results in changes of the grid lines’ positions in the image. The accuracy limits of the CLAM method were checked by laser interferometry and are below 0.1 mrad.

  9. [Mirror neurons].

    Science.gov (United States)

    Rubia Vila, Francisco José

    2011-01-01

    Mirror neurons were recently discovered in frontal brain areas of the monkey. They are activated when the animal makes a specific movement, but also when the animal observes the same movement in another animal. Some of them also respond to the emotional expression of other animals of the same species. These mirror neurons have also been found in humans. They respond to or "reflect" actions of other individuals in the brain and are thought to represent the basis for imitation and empathy and hence the neurobiological substrate for "theory of mind", the potential origin of language and the so-called moral instinct.

  10. Transverse mode control in proton-implanted and oxide-confined VCSELs via patterned dielectric anti-phase filters

    Science.gov (United States)

    Kesler, Benjamin; O'Brien, Thomas; Dallesasse, John M.

    2017-02-01

    A novel method for controlling the transverse lasing modes in both proton implanted and oxide-confined vertical- cavity surface-emitting lasers (VCSELs) with a multi-layer, patterned, dielectric anti-phase (DAP) filter is pre- sented. Using a simple photolithographic liftoff process, dielectric layers are deposited and patterned on individual VCSELs to modify (increase or decrease) the mirror reflectivity across the emission aperture via anti-phase reflections, creating spatially-dependent threshold material gain. The shape of the dielectric pattern can be tailored to overlap with specific transverse VCSEL modes or subsets of transverse modes to either facilitate or inhibit lasing by decreasing or increasing, respectively, the threshold modal gain. A silicon dioxide (SiO2) and titanium dioxide (TiO2) anti-phase filter is used to achieve a single-fundamental-mode, continuous-wave output power greater than 4.0 mW in an oxide-confined VCSEL at a lasing wavelength of 850 nm. A filter consisting of SiO2 and TiO2 is used to facilitate injection-current-insensitive fundamental mode and lower order mode lasing in proton implanted VCSELs at a lasing wavelength of 850 nm. Higher refractive index dielectric materials such as amorphous silicon (a-Si) can be used to increase the effectiveness of the anti-phase filter on proton implanted devices by reducing the threshold modal gain of any spatially overlapping modes. This additive, non-destructive method allows for mode selection at any lasing wavelength and for any VCSEL layer structure without the need for semiconductor etching or epitaxial regrowth. It also offers the capability of designing a filter based upon available optical coating materials.

  11. Sneaking a peek: pigeons use peripheral vision (not mirrors) to find hidden food.

    Science.gov (United States)

    Ünver, Emre; Garland, Alexis; Tabrik, Sepideh; Güntürkün, Onur

    2017-07-01

    A small number of species are capable of recognizing themselves in the mirror when tested with the mark-and-mirror test. This ability is often seen as evidence of self-recognition and possibly even self-awareness. Strangely, a number of species, for example monkeys, pigs and dogs, are unable to pass the mark test but can locate rewarding objects by using the reflective properties of a mirror. Thus, these species seem to understand how a visual reflection functions but cannot apply it to their own image. We tested this discrepancy in pigeons-a species that does not spontaneously pass the mark test. Indeed, we discovered that pigeons can successfully find a hidden food reward using only the reflection, suggesting that pigeons can also use and potentially understand the reflective properties of mirrors, even in the absence of self-recognition. However, tested under monocular conditions, the pigeons approached and attempted to walk through the mirror rather than approach the physical food, displaying similar behavior to patients with mirror agnosia. These findings clearly show that pigeons do not use the reflection of mirrors to locate reward, but actually see the food peripherally with their near-panoramic vision. A re-evaluation of our current understanding of mirror-mediated behavior might be necessary-especially taking more fully into account species differences in visual field. This study suggests that use of reflections in a mirrored surface as a tool may be less widespread than currently thought.

  12. Reflectance properties of one-dimensional metal-dielectric ternary photonic crystal

    Energy Technology Data Exchange (ETDEWEB)

    Pandey, G. N., E-mail: gnpandey2009@gmail.com [Department of Physics, Amity Institute of Applied Sciences, AmityUniversity, Noida (U.P.) (India); Kumar, Narendra [Department of Physics (CASH), Modi University of Science and Technology, Lakshmangarh, Sikar, Rajsthan (India); Thapa, Khem B. [Department of Physics, U I E T, ChhatrapatiShahu Ji Maharaj University, Kanpur- (UP) (India); Ojha, S. P. [Department of Physics IIT, Banaras Hindu University (India)

    2016-05-06

    Metallic photonic crystal has a very important application in absorption enhancement in solar cells. It has been found that an ultra-thin metallic layer becomes transparent due to internal scattering of light through the each interface of the dielectric and metal surfaces. The metal has absorption due to their surface plasmon and the plasmon has important parameters for changing optical properties of the metal. We consider ternary metallic-dielectric photonic crystal (MDPC) for having large probabilities to change the optical properties of the MDPC and the photonic crystals may be changed by changing dimensionality, symmetry, lattice parameters, Filling fraction and effective refractive index refractive index contrast. In this present communication, we try to show that the photonic band gap in ternary metal-dielectric photonic crystal can be significantly enlarged when air dielectric constant is considered. All the theoretical analyses are made based on the transfer matrix method together with the Drude model of metal.

  13. Widefield and total internal reflection fluorescent structured illumination microscopy with scanning galvo mirrors

    Science.gov (United States)

    Chen, Youhua; Cao, Ruizhi; Liu, Wenjie; Zhu, Dazhao; Zhang, Zhiming; Kuang, Cuifang; Liu, Xu

    2018-04-01

    We present an alternative approach to realize structured illumination microscopy (SIM), which is capable for live cell imaging. The prototype utilizes two sets of scanning galvo mirrors, a polarization converter and a piezo-platform to generate a fast shifted, s-polarization interfered and periodic variable illumination patterns. By changing the angle of the scanning galvanometer, we can change the position of the spots at the pupil plane of the objective lens arbitrarily, making it easy to switch between widefield and total internal reflection fluorescent-SIM mode and adapting the penetration depth in the sample. Also, a twofold resolution improvement is achieved in our experiments. The prototype offers more flexibility of pattern period and illumination orientation changing than previous systems.

  14. Long-Lived Glass Mirrors For Outer Space

    Science.gov (United States)

    Bouquet, Frank L.; Maag, Carl R.; Heggen, Philip M.

    1988-01-01

    Paper summarizes available knowledge about glass mirrors for use in outer space. Strengths and weaknesses of various types of first and second reflective surfaces identified. Second-surface glass mirrors used in outer space designed to different criteria more stringent for terrestrial mirrors. Protons, electrons, cosmic rays, meteorites, and orbiting space debris affect longevities of components. Contamination also factor in space.

  15. A dielectric tensor for magnetoplasmas comprising components with generalized Lorentzian distributions

    International Nuclear Information System (INIS)

    Mace, R.L.

    1996-01-01

    We report on a new form for the dielectric tensor for a plasma containing superthermal particles. The individual particle components are modelled by 3-dimensional isotropic kappa, or generalized Lorentzian, distributions with arbitrary real-valued index κ. The new dielectric tensor is valid for arbitrary wavevectors. The dielectric tensor, which resembles Trubnikov's dielectric tensor for a relativistic plasma, is compared with the familiar Maxwellian form. When the dielectric tensor is used in the plasma dispersion relation for waves propagating parallel to the magnetic field it reproduces previously derived dispersion relations for various electromagnetic and electrostatic waves in plasmas modelled by Lorentzian particle distributions. Within the constraints of propagation parallel to the ambient magnetic field, we extend the above results to incorporate loss-cone Lorentzian particle distributions, which have important applications in laboratory mirror devices, as well as in space and astrophysical environments. (orig.)

  16. Transmission X-ray mirror

    International Nuclear Information System (INIS)

    Lairson, B.M.; Bilderback, D.H.

    1982-01-01

    Transmission X-ray mirrors have been made from 400 A to 10 000 A thick soap films and have been shown to have novel properties. Using grazing angles of incidence, low energy X-rays were reflected from the front surface while more energetic X-rays were transmitted through the mirror largely unattenuated. A wide bandpass monochromator was made from a silicon carbide mirror followed by a soap film transmission mirror and operated in the white beam at the cornell High Energy Synchrotron Source (CHESS). Bandpasses of ΔE/E=12% to 18% were achieved at 13 keV with peak efficiencies estimated to be between 55% and 75%, respectively. Several wide angle scattering photographs of stretched polyethylene and a phospholipid were obtained in 10 s using an 18% bandpass. (orig.)

  17. Giant enhancement of reflectance due to the interplay between surface confined wave modes and nonlinear gain in dielectric media.

    Science.gov (United States)

    Kim, Sangbum; Kim, Kihong

    2017-12-11

    We study theoretically the interplay between the surface confined wave modes and the linear and nonlinear gain of the dielectric layer in the Otto configuration. The surface confined wave modes, such as surface plasmons or waveguide modes, are excited in the dielectric-metal bilayer by obliquely incident p waves. In the purely linear case, we find that the interplay between linear gain and surface confined wave modes can generate a large reflectance peak with its value much greater than 1. As the linear gain parameter increases, the peak appears at smaller incident angles, and the associated modes also change from surface plasmons to waveguide modes. When the nonlinear gain is turned on, the reflectance shows very strong multistability near the incident angles associated with surface confined wave modes. As the nonlinear gain parameter is varied, the reflectance curve undergoes complicated topological changes and sometimes displays separated closed curves. When the nonlinear gain parameter takes an optimally small value, a giant amplification of the reflectance by three orders of magnitude occurs near the incident angle associated with a waveguide mode. We also find that there exists a range of the incident angle where the wave is dissipated rather than amplified even in the presence of gain. We suggest that this can provide the basis for a possible new technology for thermal control in the subwavelength scale.

  18. Prototyping iridium coated mirrors for x-ray astronomy

    Science.gov (United States)

    Döhring, Thorsten; Probst, Anne-Catherine; Stollenwerk, Manfred; Emmerich, Florian; Stehlíková, Veronika; Inneman, Adolf

    2017-05-01

    X-ray astronomy uses space-based telescopes to overcome the disturbing absorption of the Earth's atmosphere. The telescope mirrors are operating at grazing incidence angles and are coated with thin metal films of high-Z materials to get sufficient reflectivity for the high-energy radiation to be observed. In addition the optical payload needs to be light-weighted for launcher mass constrains. Within the project JEUMICO, an acronym for "Joint European Mirror Competence", the Aschaffenburg University of Applied Sciences and the Czech Technical University in Prague started a collaboration to develop mirrors for X-ray telescopes. The X-ray telescopes currently developed within this Bavarian- Czech project are of Lobster eye type optical design. Corresponding mirror segments use substrates of flat silicon wafers which are coated with thin iridium films, as this material is promising high reflectivity in the X-ray range of interest. The deposition of the iridium films is based on a magnetron sputtering process. Sputtering with different parameters, especially by variation of the argon gas pressure, leads to iridium films with different properties. In addition to investigations of the uncoated mirror substrates the achieved surface roughness has been studied. Occasional delamination of the iridium films due to high stress levels is prevented by chromium sublayers. Thereby the sputtering parameters are optimized in the context of the expected reflectivity of the coated X-ray mirrors. In near future measurements of the assembled mirror modules optical performances are planned at an X-ray test facility.

  19. 21 CFR 886.1500 - Headband mirror.

    Science.gov (United States)

    2010-04-01

    ... DEVICES OPHTHALMIC DEVICES Diagnostic Devices § 886.1500 Headband mirror. (a) Identification. A headband mirror is a device intended to be strapped to the head of the user to reflect light for use in examination of the eye. (b) Classification. Class I (general controls). The device is exempt from the...

  20. A Conceptual Mirror

    DEFF Research Database (Denmark)

    Badie, Farshad

    2017-01-01

    The multilevel interactions between a mentor and her/his learner could exchange various conceptions between them that are supported by their own conceptualisations. Producing the own realisation of a world and developing it in the context of interactions could be said to be the most valuable prod...... will analyse the logical dependencies between learner and men- tor and will check their reflectional symmetrical relationship in a conceptual mirror. The conceptual mirror is a phenomenon that represents the meeting point of the mentor’s and the learner’s conceptual knowledge....

  1. Coating considerations for mirrors of CPV devices

    International Nuclear Information System (INIS)

    Schmauder, Torsten; Sauer, Peter; Ickes, Gerd

    2014-01-01

    One of the different optical concepts for concentrator devices is to place a focussing primary mirror behind a transparent front plate. In addition (also in case of Fresnel-diffractive main optics), further 'secondary' reflectors may be used further along the beam path. Such mirrors are usually implemented as coating stacks of a highly reflective metal - usually silver - and protective layers. The protective layers are preferably designed as reflection enhancing interference stack. The design of such protective layer stacks yields two difficulties, which are addressed in this paper: (a) vacuum coating of three-dimensional parts will result in a thickness distribution and the optical design of the stack should thus be tolerant to layer thickness variations, and (b) different places of the mirror will have different angle-of-incidence of the sunlight under operating conditions. As result, the layer stack has a different design at different places of the mirror

  2. Quantizing the electromagnetic field near two-sided semitransparent mirrors

    Science.gov (United States)

    Furtak-Wells, Nicholas; Clark, Lewis A.; Purdy, Robert; Beige, Almut

    2018-04-01

    This paper models light scattering through flat surfaces with finite transmission, reflection, and absorption rates, with wave packets approaching the mirror from both sides. While using the same notion of photons as in free space, our model also accounts for the presence of mirror images and the possible exchange of energy between the electromagnetic field and the mirror surface. To test our model, we derive the spontaneous decay rate and the level shift of an atom in front of a semitransparent mirror as a function of its transmission and reflection rates. When considering limiting cases and using standard approximations, our approach reproduces well-known results but it also paves the way for the modeling of more complex scenarios.

  3. Characterization of a piezo bendable X-ray mirror.

    Science.gov (United States)

    Vannoni, Maurizio; Freijo Martín, Idoia; Siewert, Frank; Signorato, Riccardo; Yang, Fan; Sinn, Harald

    2016-01-01

    A full-scale piezo bendable mirror built as a prototype for an offset mirror at the European XFEL is characterized. The piezo ceramic elements are glued onto the mirror substrate, side-face on with respect to the reflecting surface. Using a nanometre optical component measuring machine and a large-aperture Fizeau interferometer, the mirror profile and influence functions were characterized, and further analysis was made to investigate the junction effect, hysteresis, twisting and reproducibility.

  4. Electrostatic ion confinement in a magnetic mirror field

    International Nuclear Information System (INIS)

    Nishida, Y.; Kawamata, S.; Ishii, K.

    1976-08-01

    The electrostatic ion stoppering at the mirror point is demonstrated experimentally in a magnetic mirror field. The ion losses from the mirror throat are decreased to about 15% of the initial losses in a rather high plasma density (10 10 0 13 cm -3 ). It is discussed as a confinement mechanism of ions that particles are reflected back adiabatically at the throat of the magnetic mirror field supplemented by DC electric field. (auth.)

  5. Plasma cleaning of ITER First Mirrors in magnetic field

    Energy Technology Data Exchange (ETDEWEB)

    Moser, Lucas, E-mail: lucas.moser@unibas.ch [Department of Physics, University of Basel, Klingelbergstrasse 82, CH-4056 Basel (Switzerland); Steiner, Roland [Department of Physics, University of Basel, Klingelbergstrasse 82, CH-4056 Basel (Switzerland); Leipold, Frank; Reichle, Roger [ITER Organization, Route de Vinon-sur-Verdon, 13115 St Paul-lez-Durance (France); Marot, Laurent; Meyer, Ernst [Department of Physics, University of Basel, Klingelbergstrasse 82, CH-4056 Basel (Switzerland)

    2015-08-15

    To avoid reflectivity losses in ITER’s optical diagnostic systems, plasma sputtering of metallic First Mirrors is foreseen in order to remove deposits coming from the main wall (mainly beryllium and tungsten). Therefore plasma cleaning has to work on large mirrors (up to a size of 200 × 300 mm) and under the influence of strong magnetic fields (several Tesla). This work presents the results of plasma cleaning of aluminium and aluminium oxide (used as beryllium proxy) deposited on molybdenum mirrors. Using radio frequency (13.56 MHz) argon plasma, the removal of a 260 nm mixed aluminium/aluminium oxide film deposited by magnetron sputtering on a mirror (98 mm diameter) was demonstrated. 50 nm of pure aluminium oxide were removed from test mirrors (25 mm diameter) in a magnetic field of 0.35 T for various angles between the field lines and the mirrors surfaces. The cleaning efficiency was evaluated by performing reflectivity measurements, Scanning Electron Microscopy and X-ray Photoelectron Spectroscopy.

  6. Prepulse suppression using a self-induced, ultrashort pulse plasma mirror

    International Nuclear Information System (INIS)

    Gold, D.M.; Nathel, H.; Bolton, P.R.; White, W.E.; Van Woerkom, L.D.

    1991-01-01

    The plasma mirror is a self-induced, plasm-based optical element which can be inserted into existing experiments to reduce repulse energy without significant degradation of ultrashort pulse laser light. The authors have characteristics of the reflected pulse. The initial measurements indicate that the incident pulse reflects specularly from a high density, highly reflective plasma. The reflected pulse has a smoothed spatial profile and reduced pulsewidth. This paper outlines future work to characterize both the plasm mirror technique of repulse suppression and its reflected pulse

  7. DETERMINING PARAMETERS OF THE DIELECTRIC FUNCTION OF A SUBSTANCE IN AQUEOUS SOLUTION BY SELF-REFERENCED REFLECTION THZ SPECTROSCOPY

    DEFF Research Database (Denmark)

    2008-01-01

    Method and apparatus for determining dielectric function of liquid solutions and thereby concentrations of substances in aqueous solution or the volatile/non-volatile nature of the liquid by self-referenced reflection THz spectroscopy. Having the aqueous solution in any container with a window al....... The invention is particularly useful for determining alcohol (ethanol) content in aqueous solution containing other substances and particles....

  8. New Reflections on Mirror Neuron Research, the Tower of Babel, and Intercultural Education

    Science.gov (United States)

    Westbrook, Timothy Paul

    2015-01-01

    Studies of the human mirror neuron system demonstrate how mental mimicking of one's social environment affects learning. The mirror neuron system also has implications for intercultural encounters. This article explores the common ground between the mirror neuron system and theological principles from the Tower of Babel narrative and applies them…

  9. EUV multilayer mirror, optical system including a multilayer mirror and method of manufacturing a multilayer mirror

    NARCIS (Netherlands)

    Huang, Qiushi; Louis, Eric; Bijkerk, Frederik; de Boer, Meint J.; von Blanckenhagen, G.

    2016-01-01

    A multilayer mirror (M) reflecting extreme ultraviolet (EUV) radiation from a first wave-length range in a EUV spectral region comprises a substrate (SUB) and a stack of layers (SL) on the substrate, the stack of layers comprising layers comprising a low index material and a high index material, the

  10. Mirror Station for studies of the protection of diagnostic mirrors from impurity contamination in ITER: Design and first results

    International Nuclear Information System (INIS)

    Litnovsky, Andrey; Krasikov, Yuri; Kotov, Vladislav; Matveeva, Maria; Panin, Anatoly; Vera, Liliana; Buzi, Luxherta; Neubauer, Olaf; Biel, Wolfgang; Nicolai, Dirk; Mertens, Philippe; Linsmeier, Christian

    2015-01-01

    Highlights: • Paper is devoted to protection of diagnostic mirrors for ITER. • Modeling predicts suppression of impurity deposition on mirrors by using ducts. • The mirror tube system (Mirror Station) was built to validate the model. • The Mirror Station was exposed in TEXTOR. • The decrease of deposition in cylindrical ducts with fins cannot be confirmed. • All mirrors located in conical ducts preserved their reflectivity. - Abstract: Optical and laser-based diagnostics in ITER will use mirrors to transmit plasma radiation and laser light to the corresponding detectors and cameras. Mirrors will be sputtered by the fast plasma particles and contaminated by impurities leading to the degradation of the reflectivity and hampering the performance of corresponding diagnostics. Dedicated measures were proposed to minimize the impurity deposition comprising the use of shutters and fins inside diagnostic ducts to trap impurities on their way toward the mirror located in the end of these ducts. Modeling results predict at least 7-fold suppression of the deposition for the duct having four fins located at the distance of a half of a diameter from each other. The Mirror Station (MS) was designed to validate modeling predictions and to study the suppression of deposition inside of diagnostic ducts. The MS contained cylindrical and cone-shaped tubes of different lengths with smooth and shaped geometry of ducts. The MS was exposed in the midplane port of TEXTOR for about 3960 s of plasma operation. After exposure, no drastic suppression of deposition was observed in the cylindrical ducts with fins. In the conical tubes no deposition was detected outlining the advantages of a cone form.

  11. Angularly symmetric splitting of a light beam upon reflection and refraction at an air-dielectric plane boundary: comment.

    Science.gov (United States)

    Andersen, Torben B

    2016-05-01

    In a recent paper, conditions for achieving equal and opposite angular deflections of a light beam by reflection and refraction at an interface between air and a dielectric were determined [J. Opt. Soc. Am. A32, 2436 (2015)JOAOD60740-323210.1364/JOSAA.32.002436]. The paper gives plots of angles of incidence and refraction as a function of the prism refractive index as well as plots of reflectances and incident linear-polarization azimuth angles as functions of the refractive index. We show here that it is possible to express these quantities as simple algebraic functions of the refractive index.

  12. Do 'literate' pigeons (Columba livia) show mirror-word generalization?

    Science.gov (United States)

    Scarf, Damian; Corballis, Michael C; Güntürkün, Onur; Colombo, Michael

    2017-09-01

    Many children pass through a mirror stage in reading, where they write individual letters or digits in mirror and find it difficult to correctly utilize letters that are mirror images of one another (e.g., b and d). This phenomenon is thought to reflect the fact that the brain does not naturally discriminate left from right. Indeed, it has been argued that reading acquisition involves the inhibition of this default process. In the current study, we tested the ability of literate pigeons, which had learned to discriminate between 30 and 62 words from 7832 nonwords, to discriminate between words and their mirror counterparts. Subjects were sensitive to the left-right orientation of the individual letters, but not the order of letters within a word. This finding may reflect the fact that, in the absence of human-unique top-down processes, the inhibition of mirror generalization may be limited.

  13. Optical design of a reaction chamber for weakly absorbed light. II. Parallel mirrors, multitravel

    International Nuclear Information System (INIS)

    Devaney, J.J.; Finch, F.T.

    1975-06-01

    This report outlines the possibilities to be found using one or more diffraction-limited high-quality light beams to activate a weakly absorbing gas in a regime where the diffraction spread can be controlled by converging optical devices to within a ratio of √2 of the minimum at the beam waist (corresponding lengths between converging elements are within twice the Rayleigh range). Our designs use plane or cylindrical parallel mirrors down which a light beam is repeatedly reflected. In the first design variation, the beam is re-reflected up the parallel mirrors to the entrance aperture where it can be returned repeatedly for a number of multiply reflecting ''travels'' up and down the parallel mirror reaction chamber. In the second variation, the return of the beam after each multiply reflecting ''travel'' down the chamber is external to the chamber and is achieved by two mirror reflections. For diffraction control the return mirrors can be made converging. For multiple laser excitation, any of the external return mirrors can be replaced by a laser. The advantage of these designs is a high degree of uniformity of chamber illumination with a reasonably high number of passes. Drawbacks of the designs are the large space needed for beam return (many tens of meters for some parameters) and (common to all high optical quality chambers) the figuring and reflectivity demands on the mirrors. (U.S.)

  14. Wave-optical evaluation of interference fringes and wavefront phase in a hard-x-ray beam totally reflected by mirror optics.

    Science.gov (United States)

    Yamauchi, Kazuto; Yamamura, Kazuya; Mimura, Hidekazu; Sano, Yasuhisa; Saito, Akira; Endo, Katsuyoshi; Souvorov, Alexei; Yabashi, Makina; Tamasaku, Kenji; Ishikawa, Tetsuya; Mori, Yuzo

    2005-11-10

    The intensity flatness and wavefront shape in a coherent hard-x-ray beam totally reflected by flat mirrors that have surface bumps modeled by Gaussian functions were investigated by use of a wave-optical simulation code. Simulated results revealed the necessity for peak-to-valley height accuracy of better than 1 nm at a lateral resolution near 0.1 mm to remove high-contrast interference fringes and appreciable wavefront phase errors. Three mirrors that had different surface qualities were tested at the 1 km-long beam line at the SPring-8/Japan Synchrotron Radiation Research Institute. Interference fringes faded when the surface figure was corrected below the subnanometer level to a spatial resolution close to 0.1 mm, as indicated by the simulated results.

  15. Aluminum Mirror Coatings for UVOIR Telescope Optics Including the Far UV

    Science.gov (United States)

    Balasubramanian, Kunjithapatha; Hennessy, John; Raouf, Nasrat; Nikzad, Shouleh; Ayala, Michael; Shaklan, Stuart; Scowen, Paul; Del Hoyo, Javier; Quijada, Manuel

    2015-01-01

    NASA Cosmic Origins (COR) Program identified the development of high reflectivity mirror coatings for large astronomical telescopes particularly for the far ultra violet (FUV) part of the spectrum as a key technology requiring significant materials research and process development. In this paper we describe the challenges and accomplishments in producing stable high reflectance aluminum mirror coatings with conventional evaporation and advanced Atomic Layer Deposition (ALD) techniques. We present the current status of process development with reflectance of approx. 55 to 80% in the FUV achieved with little or no degradation over a year. Keywords: Large telescope optics, Aluminum mirror, far UV astrophysics, ALD, coating technology development.

  16. Neurodegeneration and Mirror Image Agnosia

    Science.gov (United States)

    Chandra, Sadanandavalli Retnaswami; Issac, Thomas Gregor

    2014-01-01

    Background: Normal Percept with abnormal meaning (Agnosias) has been described from nineteenth century onwards. Later literature became abundant with information on the spectrum of Prosopagnosias. However, selective difficulty in identifying reflected self images with relatively better cognitive functions leads to problems in differentiating it from non-organic psychosis. Aim: In the present study, we investigated patients with dementia who showed difficulty in identifying reflected self images while they were being tested for problems in gnosis with reference to identification of reflected objects, animals, relatives, and themselves and correlate with neuropsychological and radiological parameters. Patients and Methods: Five such patients were identified and tested with a 45 cm × 45 cm mirror kept at 30-cm distance straight ahead of them. Results: Mirror image agnosia is seen in patients with moderate stage posterior dementias who showed neuropsychological and radiological evidence of right parietal dysfunction. Conclusion: Interpretation of reflected self images perception in real time probably involves distinct data-linking circuits in the right parietal lobe, which may get disrupted early in the course of the disease. PMID:25317393

  17. Maintenance and testing of anodized aluminum mirrors on the Whipple 10 m Whipple Telescope

    Science.gov (United States)

    Badran, H. M.; Weekes, T. C.

    2001-08-01

    Threshold energy sensitivity depends not only on the high reflectivity of the mirrors used in atmospheric Cherenkov telescopes but also on the maintenance of this reflectivity over months/years. The successful application of a mirror maintenance technique depends on the type of mirror coating and the contamination that must be removed. The uncovered mirrors in use on the 10-m Whipple gamma-ray telescope are anodized aluminum mirrors. A standard cleaning technique for such mirrors is not available. With the aim of extending the life of the aluminum coating exposed to the Mt ˙Hopkins environment, several cleaning procedures were tested on mirrors that had been exposed for three years. Evaluation of the most effective cleaners is presented. Preliminary results are also presented from a long-term experiment using newly coated mirrors at the proposed VERITAS site and at the current 10 m site. This experiment is designed to reveal the rates at which the reflectance degrades as a function of time, depth of anodization, storage direction, degree of covering, and maintenance procedures.

  18. Paraboloidal X-ray telescope mirror for solar coronal spectroscopy

    Science.gov (United States)

    Brown, W. A.; Bruner, E. C., Jr.; Acton, L. W.; Franks, A.; Stedman, M.; Speer, R. J.

    1979-01-01

    The telescope mirror for the X-ray Spectrograph Spectrometer Telescope System is a sixty degree sector of an extreme off-axis paraboloid of revolution. It was designed to focus a coronal region 1 by 10 arc seconds in size on the entrance slit of the spectrometer after reflection from the gold surface. This paper discusses the design, manufacture, and metrology of the mirror, the methods of precision mechanical metrology used to focus the system, and the mounting system which locates the mirror and has proven itself through vibration tests. In addition, the results of reflection efficiency measurements, alignment tolerances, and ray trace analysis of the effects of misalignment are considered.

  19. Ultra-wideband high-efficiency reflective linear-to-circular polarization converter based on metasurface at terahertz frequencies.

    Science.gov (United States)

    Jiang, Yannan; Wang, Lei; Wang, Jiao; Akwuruoha, Charles Nwakanma; Cao, Weiping

    2017-10-30

    The polarization conversion of electromagnetic (EM) waves, especially linear-to-circular (LTC) polarization conversion, is of great significance in practical applications. In this study, we propose an ultra-wideband high-efficiency reflective LTC polarization converter based on a metasurface in the terahertz regime. It consists of periodic unit cells, each cell of which is formed by a double split resonant square ring, dielectric layer, and fully reflective gold mirror. In the frequency range of 0.60 - 1.41 THz, the magnitudes of the reflection coefficients reach approximately 0.7, and the phase difference between the two orthogonal electric field components of the reflected wave is close to 90° or -270°. The results indicate that the relative bandwidth reaches 80% and the efficiency is greater than 88%, thus, ultra-wideband high-efficiency LTC polarization conversion has been realized. Finally, the physical mechanism of the polarization conversion is revealed. This converter has potential applications in antenna design, EM measurement, and stealth technology.

  20. Angularly symmetric splitting of a light beam upon reflection and refraction at an air-dielectric plane boundary: reply.

    Science.gov (United States)

    Azzam, R M A

    2016-05-01

    The simplified explicit expressions derived by Andersen [J. Opt. Soc. Am. A33, 984 (2016)JOAOD60740-323210.1364/JOSAA.32.000984], that relate to angularly symmetric beam splitting by reflection and refraction at an air-dielectric interface recently described by Azzam [J. Opt. Soc. Am. A32, 2436 (2015)JOAOD60740-323210.1364/JOSAA.32.002436], are welcome. A few additional remarks are also included in my reply to Andersen's comment.

  1. Optical properties of mesoporous photonic crystals, filled with dielectrics, ferroelectrics and piezoelectrics

    Directory of Open Access Journals (Sweden)

    V. S. Gorelik

    2017-12-01

    Full Text Available At present, it is very important to create new types of mirrors, nonlinear light frequency transformers and optical filters with controlled optical properties. In this connection, it is of great interest to study photonic crystals. Their dielectric permittivity varies periodically in space with a period permitting Bragg diffraction of light. In this paper, we have investigated the optical properties of mesoporous three-dimensional (3D opal-type and one-dimensional (1D anodic alumina photonic crystals, filled with different dielectrics, ferroelectrics and piezoelectrics. We have compared the optical properties of initial mesoporous photonic crystals and filled with different substances. The possibility of mesoporous photonic crystals using selective narrow-band light filters in Raman scattering experiments and nonlinear mirrors has been analyzed. The electromagnetic field enhancing in the case of exciting light frequency close to the stop band edges has been established. The optical harmonics and subharmonics generation in mesoporous crystals, filled with ferroelectrics and piezoelectrics was proposed.

  2. Graded Mirror Self-Recognition by Clark's Nutcrackers.

    Science.gov (United States)

    Clary, Dawson; Kelly, Debbie M

    2016-11-04

    The traditional 'mark test' has shown some large-brained species are capable of mirror self-recognition. During this test a mark is inconspicuously placed on an animal's body where it can only be seen with the aid of a mirror. If the animal increases the number of actions directed to the mark region when presented with a mirror, the animal is presumed to have recognized the mirror image as its reflection. However, the pass/fail nature of the mark test presupposes self-recognition exists in entirety or not at all. We developed a novel mirror-recognition task, to supplement the mark test, which revealed gradation in the self-recognition of Clark's nutcrackers, a large-brained corvid. To do so, nutcrackers cached food alone, observed by another nutcracker, or with a regular or blurry mirror. The nutcrackers suppressed caching with a regular mirror, a behavioural response to prevent cache theft by conspecifics, but did not suppress caching with a blurry mirror. Likewise, during the mark test, most nutcrackers made more self-directed actions to the mark with a blurry mirror than a regular mirror. Both results suggest self-recognition was more readily achieved with the blurry mirror and that self-recognition may be more broadly present among animals than currently thought.

  3. EUV multilayer mirrors with enhanced stability

    Science.gov (United States)

    Benoit, Nicolas; Yulin, Sergiy; Feigl, Torsten; Kaiser, Norbert

    2006-08-01

    The application of multilayer optics in EUV lithography requires not only the highest possible normal-incidence reflectivity but also a long-term thermal and radiation stability at operating temperatures. This requirement is most important in the case of the collector mirror of the illumination system close to the EUV source where a short-time decrease in reflectivity is most likely. Mo/Si multilayer mirrors, designed for high normal reflectivity at the wavelength of 13.5 nm and deposited by dc magnetron sputtering, were directly exposed to EUV radiation without mitigation system. They presented a loss of reflectivity of more than 18% after only 8 hours of irradiation by a Xe-discharge source. Another problem of Mo/Si multilayers is the instability of reflectivity and peak wavelength under high heat load. It becomes especially critical at temperatures above 200°C, where interdiffusion between the molybdenum and the silicon layers is observed. The development of high-temperature multilayers was focused on two alternative Si-based systems: MoSi II/Si and interface engineered Mo/C/Si/C multilayer mirrors. The multilayer designs as well as the deposition parameters of all systems were optimized in terms of high peak reflectivity (>= 60 %) at a wavelength of 13.5 nm and high thermal stability. Small thermally induced changes of the MoSi II/Si multilayer properties were found but they were independent of the annealing time at all temperatures examined. A wavelength shift of -1.7% and a reflectivity drop of 1.0% have been found after annealing at 500°C for 100 hours. The total degradation of optical properties above 650°C can be explained by a recrystallization process of MoSi II layers.

  4. AFOCAL SYSTEMS FORMED BY MIRROR OFF-AXIS PARABOLOID

    Directory of Open Access Journals (Sweden)

    N. K. Artiukhina

    2017-01-01

    Full Text Available Mirror systems make it possible to reduce device dimensions and its weight while preserving high input aperture and these systems are characterized by a number of other advantages. Their significant disadvantage is a central screening of an entrance pupil that leads to lower image quality. The paper contains description of the investigations on afocal systems formed by eccentrically cut-out mirror paraboloids (off-axis mirrors where aperture diaphragm is displaced in the meridian plane for a defined value and a central field point is located on the optical axis. The canonic Mersenne systems are accepted as base schemas (modules for these compositions. The paper considers two types of such systems: visible increases – Г > 0 and Г < 0. Algorithms for calculation of centered afocal systems with two and four reflections have been written in the paper and the systems are free from spherical aberration, coma, astigmatism when an input pupil is located in superimposed focal planes of all parabolic mirrors. An aberration in curvature image has been additionally corrected in three-mirror quart-parabolic scheme which is a combination of two classical telescopic Mersenne systems. The paper presents schemes and calculation results. Two-mirror schemes with non-screened input pupil have been studied in the paper and in this case all the system remains centered and an aperture diaphragm is decentered for the distance Cm which is commensurable with the diaphragm size. The paper contains description of the investigated afocal schemes with four reflections from off-axis mirror paraboloids, a prepared algorithm for calculation, the obtained formulas for making combination of canonic afocal systems formed by two mirrors. Computer simulation in software environment Opal and Zemax has been carried out in the paper. Basic description has been prepared while using two alternative methods for the class of decentered systems and aberration characteristics and

  5. Mirrors for synchrotron-radiation beamlines

    International Nuclear Information System (INIS)

    Howells, M.R.

    1993-09-01

    The authors consider the role of mirrors in synchrotron-radiation beamlines and discuss the optical considerations involved in their design. They discuss toroidal, spherical, elliptical, and paraboloidal mirrors in detail with particular attention to their aberration properties. They give a treatment of the sine condition and describe its role in correcting the coma of axisymmetric systems. They show in detail how coma is inevitable in single-reflection, grazing-incidence systems but correctable in two-reflection systems such as those of the Wolter type. In an appendix, they give the theory of point aberrations of reflectors of a general shape and discuss the question of correct naming of aberrations. In particular, a strict definition of coma is required if attempts at correction are to be based on the sine condition

  6. 3D Laser Scanning Assisted by Ordinary Plane Mirror for Non-direct Viewing Area

    Directory of Open Access Journals (Sweden)

    ZHANG Fan

    2017-12-01

    Full Text Available Terrestrial 3D laser scanning is one of principal methods to get the geometric information of object surface,and the integrity of the scanned object is a basic requirement in data acquisition. In order to solve the missing point cloud problem due to the scanning dead angle caused by confined working space,this paper proposes a method using ordinary plane mirror to obtain laser scanning data for non-direct viewing area according to the plane mirror reflection principle,analyzes the influence mechanism of the ordinary plane mirror on the propagation path and distance of laser beam,deduces the coordinate equation of the object point corresponding to the image point reflected by ordinary plane mirror in laser scanning. Given the laser scanning characteristic,this paper introduces a mirror reflection system included target balls and ordinary plane mirror,and expounds the system construction,system calibration and constructing method of system coordinate system. The feasibility and precision of the method are verified by experiments.

  7. Wave propagation through a dielectric layer containing densely packed fibers

    International Nuclear Information System (INIS)

    Lee, Siu-Chun

    2011-01-01

    This paper presents the theoretical formulation for the propagation of electromagnetic wave through a dielectric layer containing a random dense distribution of fibers. The diameter of the fibers is comparable to the inter-fiber spacing and wavelength of the incident radiation, but is much smaller than the thickness of the layer. Discontinuity of refractive index across the boundaries of the dielectric layer resulted in multiple internal reflection of both the primary source wave and the scattered waves. As a result the incident waves on the fibers consist of the multiply-reflected primary waves, scattered waves from other fibers, and scattered-reflected waves from the boundaries. The effective propagation constant of the dielectric fiber layer was developed by utilizing the Effective field-Quasicrystalline approximation. The influence of the refractive index of the dielectric medium on the radiative properties of a dense fiber layer was examined by means of numerical analyses.

  8. Owning the body in the mirror: The effect of visual perspective and mirror view on the full-body illusion.

    Science.gov (United States)

    Preston, Catherine; Kuper-Smith, Benjamin J; Ehrsson, Henrik H

    2015-12-17

    Mirrors allow us to view our own body from a third-person (observer) perspective. However, how viewing ourselves through a mirror affects central body representations compared with true third-person perspective is not fully understood. Across a series of experiments, multisensory full-body illusions were used to modulate feelings of ownership over a mannequin body that was viewed from a third-person perspective through a mirror, from a third-person perspective without a mirror, and from a first-person perspective. In contrast to non-mirror third-person perspective, synchronously touching the participant's actual body and the mannequin body viewed in the mirror elicited strong feelings of ownership over the mannequin and increased physiological responses to the mannequin being threatened compared to the equivalent asynchronous (non-ownership) control condition. Subjective reports of ownership viewing the mannequin through a mirror were also statistically equivalent to those following the first-person perspective illusion. These findings suggest that mirrors have a special role for viewing the self. The results also support the importance of egocentric reference frames for body ownership and suggest that mirror reflections of one's own body are related to peripersonal space, which enables updating of central body representations.

  9. Measurements of plasma mirror reflectivity and focal spot quality for tens of picosecond laser pulses

    Science.gov (United States)

    Forestier-Colleoni, Pierre; Williams, Jackson; Scott, Graeme; Mariscal, Dereck. A.; McGuffey, Christopher; Beg, Farhat N.; Chen, Hui; Neely, David; Ma, Tammy

    2017-10-01

    The Advanced Radiographic Capability (ARC) laser at the NIF (LLNL) is high-energy ( 4 kJ) with a pulse length of 30ps, and is capable of focusing to an intensity of 1018W/cm2 with a 100 μm focal spot. The ARC laser is at an intensity which can be used to produce proton beams. However, for applications such as radiography and warm dense matter creation, a higher laser intensity may be desired to generate more energetic proton beams. One possibility to increase the intensity is to decrease the focused spot size by employing a smaller f-number optic. But it is difficult to implement such an optic or to bring the final focusing parabola closer to the target within the complicated NIF chamber geometry. A proposal is to use ellipsoidal plasma mirrors (PM) for fast focusing of the ARC laser light, thereby increasing the peak intensity. There is uncertainty, however, in the survivability and reflectivity of PM at such long pulse durations. Here, we show experimental results from the Titan laser to study the reflectivity of flat PM as a function of laser pulse length. A calorimeter was used to measure the PM reflectivity. We also observed degradation of the far and near field energy distribution of the laser after the reflection by the PM for pulse-lengths beyond 10ps. Contract DE-AC52-07NA27344. Funded by the LLNL LDRD program: tracking code 17-ERD-039.

  10. "Mirror agnosia" in a patient with right occipitotemporal infarct

    Directory of Open Access Journals (Sweden)

    Menon Bijoy

    2006-01-01

    Full Text Available We report the clinical profile and investigation of K, a patient suffering from agnosia for the concept of "mirrors". Normal people rarely confuse a mirror image for the real image. Our patient ′K′ has intact attention, memory and language with a left visual neglect with left hemianopia. When shown an object on his left with a mirror kept sagitally on his right, K grabs for the reflection and even gropes behind the mirror for it. Yet, when shown the mirror alone, he is able to tell that it is a mirror. Thus the concept of a ′mirror′ is lost in the experimental paradigm. An explanation for mirror ′agnosia′ is provided and recent controversies in the analysis of visuospatial functions in humans is highlighted.

  11. Analysis and experimental investigation for collimator reflective mirror surface deformation adjustment

    Directory of Open Access Journals (Sweden)

    Chia-Yen Chan

    2017-01-01

    Full Text Available Collimator design is essential for meeting the requirements of high-precision telescopes. The collimator diameter should be larger than that of the target for alignment. Special supporting structures are required to reduce the gravitational deformation and control the surface deformation induced by the mounting force when inspecting large-aperture primary mirrors (M1. A ZERODURÂŽ mirror 620 mm in diameter for a collimator was analyzed using the finite element method to obtain the deformation induced by the supporting structures and adjustment mechanism. Zernike polynomials were also adopted to fit the optical surface and separate corresponding aberrations. The computed and measured wavefront aberration configurations for the collimator M1 were obtained complementally. The wavefront aberrations were adjusted using fine adjustment screws using 3D optical path differences map of the mirror surface. Through studies using different boundary conditions and inner ring support positions, it is concluded that the optical performance was excellent under a strong enough supporter. The best adjustment position was attained and applied to the actual collimator M1 to prove the correctness of the simulation results.

  12. Investigation of aperiodic W/C multi-layer mirror for X-ray optics

    International Nuclear Information System (INIS)

    Wang Zhanshan; Cheng Xinbin; Zhu Jingtao; Huang Qiushi; Zhang Zhong; Chen Lingyan

    2011-01-01

    Design, fabrication and characterization of aperiodic tungsten/carbon (W/C) multi-layer mirror were studied. W/C multi-layer was designed as a broad-angle reflective supermirror for Cu-Kα line (λ = 0.154 nm) in the grazing incident angular range (0.9-1.1 deg.) using simulated annealing algorithm. To deposit the W/C depth-graded multi-layer mirror accurately, we introduce an effective layer growth rate as a function of layer thickness. This method greatly improves the reflectivity curve compared to the conventional multi-layer mirror prepared with constant growth rate. The deposited multi-layer mirror exhibits an average reflectivity of 19% over the grazing incident angle range of 0.88-1.08 deg. which mainly coincides with the designed value. Furthermore, the physical mechanisms were discussed and the re-sputtering process of light-atom layers is accounted for the modification of layer thicknesses which leads to the effective growth rates. Using this calibration method, the aperiodic multi-layer mirrors can be better fabricated for X-ray optics.

  13. Examining My Window and Mirror: A Pedagogical Reflection from a White Mathematics Teacher Educator about Her Experiences with Immigrant Latina Pre-Service Teachers

    Science.gov (United States)

    Kalinec-Craig, Crystal A.

    2014-01-01

    In this pedagogical reflection, a White mathematics teacher educator describes what she learned from three Latina pre-service teachers who were recent immigrants from Mexico while they completed an elementary mathematics methods course. Using Rochelle Gutierrez's (2012) metaphor of a window and mirror, the author interrogates her own identity and…

  14. Refractive optics to compensate x-ray mirror shape-errors

    Science.gov (United States)

    Laundy, David; Sawhney, Kawal; Dhamgaye, Vishal; Pape, Ian

    2017-08-01

    Elliptically profiled mirrors operating at glancing angle are frequently used at X-ray synchrotron sources to focus X-rays into sub-micrometer sized spots. Mirror figure error, defined as the height difference function between the actual mirror surface and the ideal elliptical profile, causes a perturbation of the X-ray wavefront for X- rays reflecting from the mirror. This perturbation, when propagated to the focal plane results in an increase in the size of the focused beam. At Diamond Light Source we are developing refractive optics that can be used to locally cancel out the wavefront distortion caused by figure error from nano-focusing elliptical mirrors. These optics could be used to correct existing optical components on synchrotron radiation beamlines in order to give focused X-ray beam sizes approaching the theoretical diffraction limit. We present our latest results showing measurement of the X-ray wavefront error after reflection from X-ray mirrors and the translation of the measured wavefront into a design for refractive optical elements for correction of the X-ray wavefront. We show measurement of the focused beam with and without the corrective optics inserted showing reduction in the size of the focus resulting from the correction to the wavefront.

  15. On the construction of a 2-metre mirror blank for the universal reflecting telescope in Tautenburg (German Title: Über die Fertigung eines 2-Meter-Spiegelträgers für das Universal-Spiegelteleskop in Tautenburg )

    Science.gov (United States)

    Lödel, Wolfgang

    The astronomers' desire to penetrate deeper into space transforms into a demand for larger telescopes. The primary mirror constitutes the main part of a reflecting telescope, and it determines all subsequent activities. Already in the 1930s activities existed in the Schott company to manufacture mirror blanks up to diameters of 2 metres, which could not be pursued because of political constraints. This ambitious goal was again picked up a few years after the war. At a time when the procurement of raw materials was extremely difficult, the glass workers of Schott in Jena attacked this large project. After some failures, a good mirror blank could be delivered to the Carl Zeiss Company in 1951 for further processing and for the construction of the first 2-metre reflecting telescope. From 1960 to 1986, this mirror made from optical glass ZK7 served its purpose at the Karl Schwarzschild Observatory in Tautenburg. lt was then replaced by a zero expansion glass ceramics mirror.

  16. Reflecting on the mirror neuron system in autism: a systematic review of current theories.

    Science.gov (United States)

    Hamilton, Antonia F de C

    2013-01-01

    There is much interest in the claim that dysfunction of the mirror neuron system in individuals with autism spectrum condition causes difficulties in social interaction and communication. This paper systematically reviews all published studies using neuroscience methods (EEG/MEG/TMS/eyetracking/EMG/fMRI) to examine the integrity of the mirror system in autism. 25 suitable papers are reviewed. The review shows that current data are very mixed and that studies using weakly localised measures of the integrity of the mirror system are hard to interpret. The only well localised measure of mirror system function is fMRI. In fMRI studies, those using emotional stimuli have reported group differences, but studies using non-emotional hand action stimuli do not. Overall, there is little evidence for a global dysfunction of the mirror system in autism. Current data can be better understood under an alternative model in which social top-down response modulation is abnormal in autism. The implications of this model and future research directions are discussed. Copyright © 2012 Elsevier Ltd. All rights reserved.

  17. Performance of The Far Ultraviolet Spectroscopic Explorer Mirror Assemblies

    Science.gov (United States)

    Ohi, Raymond G.; Barkhouser, Robert H.; Conard, Steven J.; Friedman, Scott D.; Hampton, Jeffery; Moos, H. Warren; Nikulla, Paul; Oliveira, Cristina M.; Saha, Timo T.; Obenschain, Arthur (Technical Monitor)

    2000-01-01

    The Far Ultraviolet Spectroscopic Explorer is a NASA astrophysics satellite which produces high-resolution spectra in the far-ultraviolet (90.5-118.7 nm bandpass) using a high effective area and low background detectors. The observatory was launched on its three-year mission from Cape Canaveral Air Station on 24 June 1999. The instrument contains four coaligned, normal incidence, off-axis parabolic mirrors which illuminate separate Rowland circle spectrograph channels equipped with holographically ruled diffraction gratings and delay line microchannel plate detectors. The telescope mirrors have a 352 x 387 mm aperture and 2245 mm focal length and are attached to actuator assemblies, which provide on-orbit, tip, tilt, and focus control. Two mirrors are coated with silicon carbide (SiC) and two are coated with lithium fluoride over aluminum (Al:LiF). We describe mirror assembly in-flight optical and mechanical performance. On-orbit measurements of the far-ultraviolet point spread function associated with each mirror are compared to expectations based on pre-flight laboratory measurements and modeling using the Optical Surface Analysis Code and surface metrology data. On-orbit imaging data indicate that the mirrors meet their instrument-level requirement of 50 percent and 95 percent slit transmission for the high- and mid-resolution spectrograph entrance slits, respectively. The degradation of mirror reflectivity during satellite integration and test is also discussed. The far-ultraviolet reflectivity of the SiC- and AlLiF-coated mirrors decreased about six percent and three percent, respectively, between coating and launch. Each mirror is equipped with three actuators, which consist of a stepper motor driving a ball screw via a two-stage planetary gear train. We also discuss the mechanical performance of the mirror assemblies, including actuator performance and thermal effects.

  18. Dielectric multilayer beam splitter with differential phase shift on transmission and reflection for division-of-amplitude photopolarimeter.

    Science.gov (United States)

    Yuan, Wenjia; Shen, Weidong; Zhang, Yueguang; Liu, Xu

    2014-05-05

    Dielectric multilayer beam splitter with differential phase shift on transmission and reflection for division-of-amplitude photopolarimeter (DOAP) was presented for the first time to our knowledge. The optimal parameters for the beam splitter are Tp = 78.9%, Ts = 21.1% and Δr - Δt = π/2 at 532nm at an angle of incidence of 45°. Multilayer anti-reflection coating with low phase shift was applied to reduce the backside reflection. Different design strategies that can achieve all optimal targets at the wavelength were tested. Two design methods were presented to optimize the differential phase shift. The samples were prepared by ion beam sputtering (IBS). The experimental results show good agreement with those of the design. The ellipsometric parameters of samples were measured in reflection (ψr, Δr) = (26.5°, 135.1°) and (28.2°, 133.5°), as well as in transmission (ψt, Δt) = (62.5°, 46.1°) and (63.5°, 46°) at 532.6nm. The normalized determinant of instrument matrix to evaluate the performance of samples is respectively 0.998 and 0.991 at 532.6nm.

  19. Bio-Inspired Wide-Angle Broad-Spectrum Cylindrical Lens Based on Reflections from Micro-Mirror Array on a Cylindrical Elastomeric Membrane

    Directory of Open Access Journals (Sweden)

    Chi-Chieh Huang

    2014-06-01

    Full Text Available We present a wide-angle, broad-spectrum cylindrical lens based on reflections from an array of three-dimensional, high-aspect-ratio micro-mirrors fabricated on a cylindrical elastomeric substrate, functionally inspired by natural reflecting superposition compound eyes. Our device can perform one-dimensional focusing and beam-shaping comparable to conventional refraction-based cylindrical lenses, while avoiding chromatic aberration. The focal length of our cylindrical lens is 1.035 mm, suitable for micro-optical systems. Moreover, it demonstrates a wide field of view of 152° without distortion, as well as modest spherical aberrations. Our work could be applied to diverse applications including laser diode collimation, barcode scanning, holography, digital projection display, microlens arrays, and optical microscopy.

  20. Radiation pressure on a dielectric surface

    International Nuclear Information System (INIS)

    Hirose, A.

    2010-01-01

    The radiation pressure on an insulating dielectric medium should be calculable from the force acting on the polarization vector P. The well-known force proposed by Gordon (Phys. Rev. A, 8, 14 (1973) disappears in the case of a steady-state plane wave. A new form of force explicitly involving the polarization vector is proposed and applied to determine the partition of the incident momentum among the reflected and transmitted wave, and the dielectric medium. The momentum of electromagnetic wave in a dielectric medium thus found is consistent with the classical relationship, wave momentum flux density = wave intensity/wave velocity. (author)

  1. Wolter type I x-ray focusing mirror using multilayer coatings

    International Nuclear Information System (INIS)

    Chon, Kwon Su; Namba, Yoshiharu; Yoon, Kwon-Ha

    2006-01-01

    A multilayer coating is a useful addition to a mirror in the x-ray region and has been applied to normal incidence mirrors used with soft x rays. When a multilayer coating is used on grazing incidence optics, higher performance can be achieved than without it.Cr/Sc multilayers coated on a Wolter type I mirror substrate for a soft x-ray microscope are considered. The reflectivity and effective solid angle are calculated for Wolter type I mirrors with uniform and laterally graded multilayer coatings. The laterally graded multilayer mirror showed superior x-ray performance, and the multilayer tolerances were relaxed. This multilayer mirror could be especially useful in the soft x-ray microscope intended for biological applications

  2. Optical systems for synchrotron radiation. Lecture 2. Mirror systems

    International Nuclear Information System (INIS)

    Howells, M.R.

    1986-02-01

    The process of reflection of VUV and x-radiation is summarized. The functions of mirrors in synchrotron beamlines are described, which include deflection, filtration, power absorption, formation of a real image, focusing, and collimation. Fabrication of optical surfaces for synchrotron radiation beamlines are described, and include polishing of a near spherical surface as well as bending a cylindrical surface to toroidal shape. The imperfections present in mirrors, aberrations and surface figure inaccuracy, are discussed. Calculation of the thermal load of a mirror in a synchrotron radiation beam and the cooling of the mirror are covered briefly. 50 refs., 7 figs

  3. Composite mirror facets for ground based gamma ray astronomy

    Energy Technology Data Exchange (ETDEWEB)

    Brun, P.; Carton, P.-H.; Durand, D.; Glicenstein, J.-F.; Jeanney, C. [CEA, Irfu, Centre de Saclay, F-91191 Gif sur Yvette (France); Medina, M.C., E-mail: clementina@iar.unlp.edu.ar [CEA, Irfu, Centre de Saclay, F-91191 Gif sur Yvette (France); Micolon, P.; Peyaud, B. [CEA, Irfu, Centre de Saclay, F-91191 Gif sur Yvette (France)

    2013-06-21

    Composite mirrors for gamma-ray astronomy have been developed to fulfill the specifications required for the next generation of Cherenkov telescopes represented by CTA (Cherenkov Telescope Array). In addition to the basic requirements on focus and reflection efficiency, the mirrors have to be stiff, lightweight, durable and cost efficient. In this paper, the technology developed to produce such mirrors is described, as well as some tests that have been performed to validate them. It is shown that these mirrors comply with the needs of CTA, making them good candidates for use on a significant part of the array.

  4. Backscattered EM-wave manipulation using low cost 1-bit reflective surface at W-band

    Science.gov (United States)

    Taher Al-Nuaimi, Mustafa K.; Hong, Wei; He, Yejun

    2018-04-01

    The design of low cost 1-bit reflective (non-absorptive) surfaces for manipulation of backscattered EM-waves and radar cross section (RCS) reduction at W-band is presented in this article. The presented surface is designed based on the reflection phase cancellation principle. The unit cell used to compose the proposed surface has an obelus (division symbol of short wire and two disks above and below) like shape printed on a grounded dielectric material. Using this unit cell, surfaces that can efficiently manipulate the backscattered RCS pattern by using the proposed obelus-shaped unit cell (as ‘0’ element) and its mirrored unit cell (as ‘1’ element) in one surface with a 180°  ±  35° reflection phase difference between their reflection phases are designed. The proposed surfaces can generate various kinds of backscattered RCS patterns, such as single, three, or four lobes or even a low-level (reduced RCS) diffused reflection pattern when those two unit cells are distributed randomly across the surface aperture. For experimental characterization purposes, a 50  ×  50 mm2 surface is fabricated and measured.

  5. Mirror, Mirror by the Stairs: The Impact of Mirror Exposure on Stair versus Elevator Use in College Students.

    Science.gov (United States)

    Hodgin, Katie L; Graham, Dan J

    2016-01-01

    Previous research has indicated that self-awareness-inducing mirrors can successfully incite behaviors that align with one's personal values, such as helping others. Other research has found a large discrepancy between the high percentage of young adults who report valuing the healthfulness of physical activity (PA) and the low percentage who actually meet PA participation standards. However, few studies have examined how mirror exposure and both perceived and actual body size influence highly valued PA participation among college students. The present study assessed stair versus elevator use on a western college campus and hypothesized that mirror exposure would increase the more personally healthy transportation method of stair use. In accordance with previous research, it was also hypothesized that males and those with a lower body mass index (BMI) would be more likely to take the stairs, and that body size distorting mirrors would impact the stair-elevator decision. One hundred sixty-seven students (51% male) enrolled in an introductory psychology course were recruited to take a survey about their "transportation choices" at an indoor campus parking garage. Participants were individually exposed to either no mirror, a standard full-length mirror, or a full-length mirror manipulated to make the reflected body size appear either slightly thinner or slightly wider than normal before being asked to go to the fourth floor of the garage for a survey. Participants' choice of floor-climbing method (stairs or elevator) was recorded, and they were administered an Internet-based survey assessing demographic information, BMI, self-awareness, perceived body size, and other variables likely to be associated with stair use. Results from logistic regression analyses revealed that participants who were not exposed to a mirror [odds ratios (OR) = 0.37, 95% CI: 0.14-0.96], males (OR = 0.33, 95% CI: 0.13-0.85), those with lower BMI (OR = 0.84, 95% CI: 0.71-0.99), those

  6. Mirror, Mirror by the Stairs: The Impact of Mirror Exposure on Stair versus Elevator Use in College Students

    Directory of Open Access Journals (Sweden)

    Katie L Hodgin

    2016-04-01

    Full Text Available AbstractPrevious research has indicated that self-awareness-inducing mirrors can successfully incite behaviors that align with one’s personal values, such as helping others. Other research has found a large discrepancy between the high percentage of young adults who report valuing the healthfulness of physical activity (PA and the low percentage who actually meet PA participation standards. Few studies, however, have examined how mirror exposure and both perceived and actual body size influence highly-valued PA participation among college students. The present study assessed stair versus elevator use on a western college campus and hypothesized that mirror exposure would increase the more personally-healthy transportation method of stair use. In accordance with previous research, it was also hypothesized that males and those with a lower body mass index (BMI would be more likely to take the stairs, and that body-size distorting mirrors would impact the stair-elevator decision. One hundred and sixty-seven students (51% male enrolled in an introductory psychology course were recruited to take a survey about their transportation choices at an indoor campus parking garage. Participants were individually exposed to either no mirror, a standard full-length mirror, or a full-length mirror manipulated to make the reflected body size appear either slightly thinner or slightly wider than normal before being asked to go to the fourth floor of the garage for a survey. Participants’ choice of floor climbing method (stairs or elevator was recorded and they were administered an internet-based survey assessing demographic information, BMI, self-awareness, perceived body size, and other variables likely to be associated with stair use. Results from logistic regression analyses revealed that participants who were not exposed to a mirror (OR = 0.37, 95% CI: 0.14 – 0.96, males (OR = 0.33, 95% CI: 0.13 – 0.85, those with lower BMI (OR = 0.84, 95% CI: 0.71

  7. Reflections on a Disco Ball

    Science.gov (United States)

    Ribeiro, Jair Lúcio Prados

    2016-01-01

    A disco ball is a spherical object covered with small plane mirrors. When light reflects on these mirrors, it is scattered in many directions, producing a novel effect. The mirror globe is usually set to rotate, creating a profusion of moving spots (Fig. 1). In this article, we present a geometrical description of the movement of these spots and…

  8. One-dimensional photonic crystals with highly Bi-substituted iron garnet defect in reflection polar geometry

    International Nuclear Information System (INIS)

    Mikhailova, T V; Berzhansky, V N; Karavainikov, A V; Shaposhnikov, A N; Prokopov, A R; Lyashko, S D

    2016-01-01

    It is represented the results of modelling of magnetooptical properties in reflection polar geometry of one-dimensional photonic crystal, in which highly Bi-substituted iron garnet defect of composition Bi 1.0 Y 0.5 Gd 1.5 Fe 4.2 A l0.8 O 12 / Bi 2.8 Y 0.2 Fe 5 Oi 2 is located between the dielectric Bragg mirrors (SiO 2 / TiO 2 ) m (were m is number of layer pairs) and buffer SiO 2 and gold top layers of different thicknesses is placed on structure. The modification of spectral line- shapes of microcavity and Tamm plasmon-polariton modes depending on m is found. (paper)

  9. Effects of mirror symmetry on the transmission fingerprints of quasiperiodic photonic multilayers

    International Nuclear Information System (INIS)

    Coelho, I.P.; Vasconcelos, M.S.; Bezerra, C.G.

    2010-01-01

    We address the transmission properties of light waves through symmetric Fibonacci, Thue-Morse and double-period photonic multilayers, i.e., a binary one-dimensional quasiperiodic structure made up of two different dielectric materials (more specifically SiO 2 and TiO 2 ), in quarter wavelength condition, presenting mirror symmetry. The optical spectra are calculated by using a theoretical model based on the transfer matrix approach in normal incidence geometry. In our results we present the self-similar features of the spectra and we also present the optical fingerprints through a return map of the transmission coefficients. We discuss these optical fingerprints and compare them with results of previous works, on similar quasiperiodic systems, in the absence of mirror symmetry.

  10. Mirror systems

    International Nuclear Information System (INIS)

    Howells, M.R.

    1985-12-01

    The physics of VUV and x-ray reflection is reviewed. The main functions of mirrors in synchrotron beamlines are stated briefly and include deflection, filtration, power absorption, formation of a real image of the source, focusing, and collimation. Methods of fabrication of optical surfaces are described. Types of imperfections are discussed, including, aberrations, surface figure inaccuracy, roughness, and degradation due to use. Calculation of the photon beam thermal load, including computer modelling, is considered. 50 refs., 7 figs

  11. Prototype Development and Evaluation of Self-Cleaning Concentrated Solar Power Collectors

    Energy Technology Data Exchange (ETDEWEB)

    Mazumder, Malay K. [Boston Univ., MA (United States); Horenstein, Mark N. [Boston Univ., MA (United States); Joglekar, Nitin R. [Boston Univ., MA (United States)

    2015-03-31

    The feasibility of integrating and retrofitting transparent electrodynamic screens (EDS) on the front surfaces of solar collectors was established as a means to provide active self-cleaning properties for parabolic trough and heliostat reflectors, solar panels, and Fresnel lenses. Prototype EDS-integrated solar collectors, including second-surface glass mirrors, metallized Acrylic-film mirrors, and dielectric mirrors, were produced and tested in environmental test chambers for removing the dust layer deposited on the front surface of the mirrors. The evaluation of the prototype EDS-integrated mirrors was conducted using dust and environmental conditions that simulate the field conditions of the Mojave Desert. Test results showed that the specular reflectivity of the mirrors could be maintained at over 90% over a wide range of dust loadings ranging from 0 to 10 g/m2, with particle diameter varying from 1 to 50 μm. The measurement of specular reflectivity (SR) was performed using a D&S Reflectometer at wavelength 660 nm. A non-contact reflectometer was designed and constructed for rapid measurement of specular reflectivity at the same wavelength. The use of this new noncontact instrument allowed us to measure SR before and after EDS activation. Several EDS prototypes were constructed and evaluated with different electrode configurations, electrode materials, and encapsulating dielectric materials.

  12. Error reduction techniques for measuring long synchrotron mirrors

    International Nuclear Information System (INIS)

    Irick, S.

    1998-07-01

    Many instruments and techniques are used for measuring long mirror surfaces. A Fizeau interferometer may be used to measure mirrors much longer than the interferometer aperture size by using grazing incidence at the mirror surface and analyzing the light reflected from a flat end mirror. Advantages of this technique are data acquisition speed and use of a common instrument. Disadvantages are reduced sampling interval, uncertainty of tangential position, and sagittal/tangential aspect ratio other than unity. Also, deep aspheric surfaces cannot be measured on a Fizeau interferometer without a specially made fringe nulling holographic plate. Other scanning instruments have been developed for measuring height, slope, or curvature profiles of the surface, but lack accuracy for very long scans required for X-ray synchrotron mirrors. The Long Trace Profiler (LTP) was developed specifically for long x-ray mirror measurement, and still outperforms other instruments, especially for aspheres. Thus, this paper focuses on error reduction techniques for the LTP

  13. Coherent, Short-Pulse X-ray Generation via Relativistic Flying Mirrors

    Directory of Open Access Journals (Sweden)

    Masaki Kando

    2018-04-01

    Full Text Available Coherent, Short X-ray pulses are demanded in material science and biology for the study of micro-structures. Currently, large-sized free-electron lasers are used; however, the available beam lines are limited because of the large construction cost. Here we review a novel method to downsize the system as well as providing fully (spatially and temporally coherent pulses. The method is based on the reflection of coherent laser light by a relativistically moving mirror (flying mirror. Due to the double Doppler effect, the reflected pulses are upshifted in frequency and compressed in time. Such mirrors are formed when an intense short laser pulse excites a strongly nonlinear plasma wave in tenuous plasma. Theory, proof-of-principle, experiments, and possible applications are addressed.

  14. A mirror for lab-based quasi-monochromatic parallel x-rays.

    Science.gov (United States)

    Nguyen, Thanhhai; Lu, Xun; Lee, Chang Jun; Jung, Jin-Ho; Jin, Gye-Hwan; Kim, Sung Youb; Jeon, Insu

    2014-09-01

    A multilayered parabolic mirror with six W/Al bilayers was designed and fabricated to generate monochromatic parallel x-rays using a lab-based x-ray source. Using this mirror, curved bright bands were obtained in x-ray images as reflected x-rays. The parallelism of the reflected x-rays was investigated using the shape of the bands. The intensity and monochromatic characteristics of the reflected x-rays were evaluated through measurements of the x-ray spectra in the band. High intensity, nearly monochromatic, and parallel x-rays, which can be used for high resolution x-ray microscopes and local radiation therapy systems, were obtained.

  15. Are horses capable of mirror self-recognition? A pilot study.

    Science.gov (United States)

    Baragli, Paolo; Demuru, Elisa; Scopa, Chiara; Palagi, Elisabetta

    2017-01-01

    Mirror Self-Recognition (MSR) unveils complex cognitive, social and emotional skills and it has been found only in humans and few other species, such as great apes, dolphins, elephants and magpies. In this pilot study, we tested if horses show the capacity of MSR. Four subjects living socially under naturalistic conditions were selected for the experiment. We adopted the classical mark test, which consists in placing a coloured mark on an out-of-view body part, visible only through mirror inspection. If the animal considers the image as its own, it will use its reflection to detect the mark and will try to explore it. We enhanced the classical paradigm by introducing a double-check control. Only in the presence of the reflecting surface, animals performed tactile and olfactory exploration of the mirror and looked behind it. These behaviors suggest that subjects were trying to associate multiple sensory cues (visual, tactile and olfactory) to the image in the mirror. The lack of correspondence between the collected stimuli in front of the mirror and the response to the colored mark lead us to affirm that horses are able to perceive that the reflected image is incongruent when compared with the memorized information of a real horse. However, without replication of data, the self-directed behavior towards the colored marks showed by our horses cannot be sufficient per se to affirm that horses are capable of self-recognition.

  16. Design of a Piezoelectric-Driven Tilt Mirror for a Fast Laser Scanner

    Science.gov (United States)

    Park, Jung-Ho; Lee, Hu-Seung; Lee, Jae-Hoon; Yun, So-Nam; Ham, Young-Bog; Yun, Dong-Won

    2012-09-01

    Recently, laser scanners have been used for laser processing such as cutting, welding, and grooving, especially in the automotive industry. The laser scanners need a high-speed driving to minimize cracks caused by thermal shock of brittle materials. Therefore, a novel laser processing system that is composed of a laser source and a piezoelectric-driven tilt mirror to control the reflection angle of the laser beam, and a stage equipped with the tilt mirror has been investigated. In this study, a piezoelectric-driven tilt mirror is designed and analyzed for scanning performance to achieve a beam spot of 30 µm, a pattern width of 1 mm, an overlap ratio of 70% of the circle area, and a scanning speed of 1 m/s. Then, structural analysis of the tilt mirror with three piezoelectric actuators is performed to determine the maximum reflection angle and resonance frequency. Finally, a prototype tilt mirror is fabricated and its basic characteristics are experimentally investigated and discussed.

  17. Design and Analysis of Multilayered Waveguide Structure With Metal-Dielectric Gratings for Sensing With Reflection Narrowband Notch Filter

    Directory of Open Access Journals (Sweden)

    Guiju ZHANG

    2015-11-01

    Full Text Available Developments in micro and nanofabrication technologies have led a variety of grating waveguide structures (GWS being proposed and implemented in optics and laser application systems. A new design of multilayered nanostructure double-grating is described for reflection notch filter. Thin metal film and dielectric film are used and designed with one-dimensional composite gratings. The results calculated by rigorous coupled-wave analysis (RCWA present that the thin metal film between substrate and grating can produce significant attenuated reflections and efficiency in a broad reflected spectral range. The behavior of such a reflection filter is evaluated for refractive index sensing, which can be applied inside the integrated waveguide structure while succeeding cycles in measurement. The filter peaks are designed and obtained in a visible range with full width half maximum (FWHM of several nanometers to less than one nanometer. The multilayered structure shows a sensitivity of refractive index of 220nm/RIU as changing the surroundings. The reflection spectra are studied under different periods, depths and duty cycles. The passive structure and its characteristics can achieve practical applications in various fields, such as optical sensing, color filtering, Raman spectroscopy and laser technology.DOI: http://dx.doi.org/10.5755/j01.ms.21.4.9625

  18. Rhodium coated mirrors deposited by magnetron sputtering for fusion applications

    International Nuclear Information System (INIS)

    Marot, L.; De Temmerman, G.; Oelhafen, P.; Covarel, G.; Litnovsky, A.

    2007-01-01

    Metallic mirrors will be essential components of all optical spectroscopy and imaging systems for ITER plasma diagnostics. Any change in the mirror performance, in particular, its reflectivity, due to erosion of the surface by charge exchange neutrals or deposition of impurities will influence the quality and reliability of the detected signals. Due to its high reflectivity in the visible wavelength range and its low sputtering yield, rhodium appears as an attractive material for first mirrors in ITER. However, the very high price of the raw material calls for using it in the form of a film deposited onto metallic substrates. The development of a reliable technique for the preparation of high reflectivity rhodium films is therefore of the highest importance. Rhodium layers with thicknesses of up to 2 μm were produced on different substrates of interest (Mo, stainless steel, Cu) by magnetron sputtering. Produced films exhibit a low roughness and crystallite size of about 10 nm with a dense columnar structure. No impurities were detected on the surface after deposition. Scratch tests demonstrate that adhesion properties increase with substrate hardness. Detailed optical characterizations of Rh-coated mirrors as well as results of erosion tests performed both under laboratory conditions and in the TEXTOR tokamak are presented in this paper

  19. Rhodium-coated mirrors deposited by magnetron sputtering for fusion applications

    International Nuclear Information System (INIS)

    Marot, L.; Temmermann, G. de; Oelhafen, P.; Mathys, D.; Covarel, G.; Litnovsky, A.

    2007-01-01

    Metallic mirrors will be essential components of all optical spectroscopy and imaging systems for plasma diagnostics that will be used in ITER. Any change in the mirror performance, in particular its reflectivity, will influence the quality and reliability of detected signals. Due to its high reflectivity in the visible wavelength range and its low sputtering yield, rhodium may be a good candidate material for first mirrors in ITER. However, the very high price of the raw material calls for using it in the form of a film deposited onto metallic substrates. The development of a reliable technique for the preparation of high reflectivity rhodium films is therefore of the highest importance. Rhodium layers with thicknesses of up to 2 μm were produced on different relevant substrates (Mo, Stainless Steel, Cu) by magnetron sputtering. Produced films exhibit a low roughness, crystallite size of about 10 nm with a dense columnar structure. No impurities were detected on the surface after deposition. Scratch test results demonstrate that adhesion properties increase with the substrate hardness. The detailed optical characterizations of Rh coated mirrors as well as the results of erosion tests performed both under laboratory conditions and in TEXTOR will be presented in this paper. (orig.)

  20. Relativistic electron mirrors from high intensity laser nanofoil interactions

    International Nuclear Information System (INIS)

    Kiefer, Daniel

    2012-01-01

    The reflection of a laser pulse from a mirror moving close to the speed of light could in principle create an X-ray pulse with unprecedented high brightness owing to the increase in photon energy and accompanying temporal compression by a factor of 4γ 2 , where γ is the Lorentz factor of the mirror. While this scheme is theoretically intriguingly simple and was first discussed by A. Einstein more than a century ago, the generation of a relativistic structure which acts as a mirror is demanding in many different aspects. Recently, the interaction of a high intensity laser pulse with a nanometer thin foil has raised great interest as it promises the creation of a dense, attosecond short, relativistic electron bunch capable of forming a mirror structure that scatters counter-propagating light coherently and shifts its frequency to higher photon energies. However, so far, this novel concept has been discussed only in theoretical studies using highly idealized interaction parameters. This thesis investigates the generation of a relativistic electron mirror from a nanometer foil with current state-of-the-art high intensity laser pulses and demonstrates for the first time the reflection from those structures in an experiment. To achieve this result, the electron acceleration from high intensity laser nanometer foil interactions was studied in a series of experiments using three inherently different high power laser systems and free-standing foils as thin as 3nm. A drastic increase in the electron energies was observed when reducing the target thickness from the micrometer to the nanometer scale. Quasi-monoenergetic electron beams were measured for the first time from ultrathin (≤5nm) foils, reaching energies up to ∝35MeV. The acceleration process was studied in simulations well-adapted to the experiments, indicating the transition from plasma to free electron dynamics as the target thickness is reduced to the few nanometer range. The experience gained from those

  1. Effective dielectric functions of samples obtained by evaporation of alkali halides

    International Nuclear Information System (INIS)

    Sturm, J.; Grosse, P.; Theiss, W.

    1991-01-01

    This paper investigates the dielectric properties of inhomogeneous samples consisting of small alkali halide particles (NaCl, KBr) on gold-coated substrates. Our reflection measurements in the far infrared can be simulated as a thin layer of the power with an effective dielectric function on a perfectly reflecting substrate. Scanning electron micrographs provide useful information about sample topology. Several mixing formulas (e.g. the Maxwell-Garnett, the Bruggeman- and the Looyenga-formula) lead to effective dielectric functions neglecting the individual arrangement of the particles. The essence of our work is that, in contrast, the general ansatz of the Bergman spectral representation has to be employed in order to take into account topology effects on the dielectric function based on the so-called spectral density g adjustable to the specific situation. (orig.)

  2. Reflection by Porro Prisms

    Science.gov (United States)

    Greenslade, Thomas B.

    2010-04-01

    Students all know that reflection from a plane mirror produces an image that is reversed right to left and so cannot be read by anyone but Leonardo da Vinci, who kept his notes in mirror writing. A useful counter-example is the Porro prism, which produces an image that is not reversed.

  3. Polarizer reflectivity variations

    International Nuclear Information System (INIS)

    Ozarski, R.G.; Prior, J.

    1980-01-01

    On Shiva the beam energy along the chain is monitored using available reflections and/or transmission through beam steering, splitting, and polarizing optics without the intrusion of any additional glass for diagnostics. On the preamp table the diagnostic signal is obtained from the signal transmitted through turning mirrors. At the input of each chain the signal is obtained from the transmission through one of the mirrors used for the chain input alignment sensor (CHIP). At the chain output the transmission through the final turning mirror is used. These diagnostics have proved stable and reliable. However, one of the prime diagnostic locations is at the output of the beta rod. The energy at this location is measured by collecting small reflections from the last polarizer surface of the beta Pockels cell polarizer package. Unfortunately, calibration of this diagnostic has varied randomly, seldom remaining stable for a week or more. The cause of this fluctuation has been investigated for the past year and'it has been discovered that polarizer reflectivity varies with humidity. This report will deal with the possible causes that were investigated, the evidence that humidity is causing the variation, and the associated mechanism

  4. Effects of mirror symmetry on the transmission fingerprints of quasiperiodic photonic multilayers

    Energy Technology Data Exchange (ETDEWEB)

    Coelho, I.P. [Departamento de Ensino Superior, Instituto Federal de Educacao, Ciencia e Tecnologia do Maranhao, Campus Imperatriz, 65919-050 Imperatriz, MA (Brazil); Vasconcelos, M.S., E-mail: mvasconcelos@ect.ufrn.b [Escola de Ciencias e Tecnologia, Universidade Federal do Rio Grande do Norte, 59072-970 Natal, RN (Brazil); Bezerra, C.G. [Departamento de Fisica, Universidade Federal do Rio Grande do Norte, 59072-970 Natal, RN (Brazil)

    2010-03-29

    We address the transmission properties of light waves through symmetric Fibonacci, Thue-Morse and double-period photonic multilayers, i.e., a binary one-dimensional quasiperiodic structure made up of two different dielectric materials (more specifically SiO{sub 2} and TiO{sub 2}), in quarter wavelength condition, presenting mirror symmetry. The optical spectra are calculated by using a theoretical model based on the transfer matrix approach in normal incidence geometry. In our results we present the self-similar features of the spectra and we also present the optical fingerprints through a return map of the transmission coefficients. We discuss these optical fingerprints and compare them with results of previous works, on similar quasiperiodic systems, in the absence of mirror symmetry.

  5. Mirror boxes and mirror mounts for photophysics beamline

    International Nuclear Information System (INIS)

    Raja Rao, P.M.; Raja Sekhar, B.N.; Das, N.C.; Khan, H.A.; Bhattacharya, S.S.; Roy, A.P.

    1996-01-01

    Photophysics beamline makes use of one metre Seya-Namioka monochromator and two toroidal mirrors in its fore optics. The first toroidal mirror (pre mirror) focuses light originating from the tangent point of the storage ring onto the entrance slit of the monochromator and second toroidal mirror (post mirror) collects light from the exit slit of the monochromator and focuses light onto the sample placed at a distance of about one metre away from the 2nd mirror. To steer light through monochromator and to focus it on the sample of 1mm x 1mm size require precision rotational and translational motion of the mirrors and this has been achieved with the help of precision mirror mounts. Since Indus-1 operates at pressures less than 10 -9 m.bar, the mirror mounts should be manipulated under similar ultra high vacuum conditions. Considering these requirements, two mirror boxes and two mirror mounts have been designed and fabricated. The coarse movements to the mirrors are imparted from outside the mirror chamber with the help of x-y tables and precision movements to the mirrors are achieved with the help of mirror mounts. The UHV compatibility and performance of the mirror mounts connected to mirror boxes under ultra high vacuum condition is evaluated. The details of the design, fabrication and performance evaluation are discussed in this report. 5 refs., 9 figs., 1 tab

  6. X-ray microfocusing with off-axis ellipsoidal mirror

    Energy Technology Data Exchange (ETDEWEB)

    Yumoto, Hirokatsu, E-mail: yumoto@spring8.or.jp; Koyama, Takahisa [Japan Synchrotron Radiation Research Institute/SPring-8, 1-1-1 Kouto, Sayo-cho, Sayo-gun, Hyogo 679-5198 (Japan); Matsuyama, Satoshi; Yamauchi, Kazuto [Department of Precision Science and Technology, Graduate School of Engineering, Osaka University, 2-1 Yamada-oka, Suita, Osaka 565-0871 (Japan); Kohmura, Yoshiki; Ishikawa, Tetsuya [RIKEN SPring-8 Center, 1-1-1 Kouto, Sayo-cho, Sayo-gun, Hyogo 679-5148 (Japan); Ohashi, Haruhiko [Japan Synchrotron Radiation Research Institute/SPring-8, 1-1-1 Kouto, Sayo-cho, Sayo-gun, Hyogo 679-5198 (Japan); RIKEN SPring-8 Center, 1-1-1 Kouto, Sayo-cho, Sayo-gun, Hyogo 679-5148 (Japan)

    2016-07-27

    High-precision ellipsoidal mirrors for two-dimensionally focusing X-rays to nanometer sizes have not been realized because of technical problems in their fabrication processes. The objective of the present study is to develop fabrication techniques for ellipsoidal focusing mirrors in the hard-X-ray region. We design an off-axis ellipsoidal mirror for use under total reflection conditions up to the X-ray energy of 8 keV. We fabricate an ellipsoidal mirror with a surface roughness of 0.3 nm RMS (root-mean-square) and a surface figure error height of 3.0 nm RMS by utilizing a surface profiler and surface finishing method developed by us. The focusing properties of the mirror are evaluated at the BL29XUL beamline in SPring-8. A focusing beam size of 270 nm × 360 nm FWHM (full width at half maximum) at an X-ray energy of 7 keV is observed with the use of the knife-edge scanning method. We expect to apply the developed fabrication techniques to construct ellipsoidal nanofocusing mirrors.

  7. Development of mirror coatings for gravitational-wave detectors

    Science.gov (United States)

    Steinlechner, J.

    2018-05-01

    Gravitational waves are detected by measuring length changes between mirrors in the arms of kilometre-long Michelson interferometers. Brownian thermal noise arising from thermal vibrations of the mirrors can limit the sensitivity to distance changes between the mirrors, and, therefore, the ability to measure gravitational-wave signals. Thermal noise arising from the highly reflective mirror coatings will limit the sensitivity both of current detectors (when they reach design performance) and of planned future detectors. Therefore, the development of coatings with low thermal noise, which at the same time meet strict optical requirements, is of great importance. This article gives an overview of the current status of coatings and of the different approaches for coating improvement. This article is part of a discussion meeting issue `The promises of gravitational-wave astronomy'.

  8. A two-in-one Faraday rotator mirror exempt of active optical alignment.

    Science.gov (United States)

    Wan, Qiong; Wan, Zhujun; Liu, Hai; Liu, Deming

    2014-02-10

    A two-in-one Faraday rotator mirror was presented, which functions as two independent Faraday rotation mirrors with a single device. With the introduction of a reflection lens as substitution of the mirror in traditional structure, this device is characterized by exemption of active optical alignment for the designers and manufacturers of Faraday rotator mirrors. A sample was fabricated by passive mechanical assembly. The insertion loss was measured as 0.46 dB/0.50 dB for the two independent ports, respectively.

  9. Interface characterization in B-based multilayer mirrors for next generation lithography

    International Nuclear Information System (INIS)

    Naujok, Philipp; Yulin, Sergiy; Müller, Robert; Kaiser, Norbert; Tünnermann, Andreas

    2016-01-01

    The interfaces in La/B_4C and LaN/B_4C multilayer mirrors designed for near normal incidence reflection of 6.x nm EUV light were investigated by grazing incidence X-ray reflectometry, high-resolution transmission electron microscopy and EUV reflectometry. The thickness and roughness asymmetries of the different interfaces in both studied systems have been identified. A development of interface roughness with an increasing number of bilayers was found by different investigation methods. For near normal incidence, R = 51.1% @ λ = 6.65 nm could be reached with our La/B_4C multilayer mirrors, whereas R = 58.1% was achieved with LaN/B_4C multilayers at the same wavelength. - Highlights: • Interface structure in B-based multilayer mirrors investigated. • Combining X-ray reflection, EUV reflection and transmission electron microscopy • Interface thickness and roughness asymmetry identified • Interface roughness increases with higher number of bilayers.

  10. Mirror coatings for large aperture UV optical infrared telescope optics

    Science.gov (United States)

    Balasubramanian, Kunjithapatham; Hennessy, John; Raouf, Nasrat; Nikzad, Shouleh; Del Hoyo, Javier; Quijada, Manuel

    2017-09-01

    Large space telescope concepts such as LUVOIR and HabEx aiming for observations from far UV to near IR require advanced coating technologies to enable efficient gathering of light with important spectral signatures including those in far UV region down to 90nm. Typical Aluminum mirrors protected with MgF2 fall short of the requirements below 120nm. New and improved coatings are sought to protect aluminum from oxidizing readily in normal environment causing severe absorption and reduction of reflectance in the deep UV. Choice of materials and the process of applying coatings present challenges. Here we present the progress achieved to date with experimental investigations of coatings at JPL and at GSFC and discuss the path forward to achieve high reflectance in the spectral region from 90 to 300nm without degrading performance in the visible and NIR regions taking into account durability concerns when the mirrors are exposed to normal laboratory environment as well as high humidity conditions. Reflectivity uniformity required on these mirrors is also discussed.

  11. Distributed sensing signal analysis of deformable plate/membrane mirrors

    Science.gov (United States)

    Lu, Yifan; Yue, Honghao; Deng, Zongquan; Tzou, Hornsen

    2017-11-01

    Deformable optical mirrors usually play key roles in aerospace and optical structural systems applied to space telescopes, radars, solar collectors, communication antennas, etc. Limited by the payload capacity of current launch vehicles, the deformable mirrors should be lightweight and are generally made of ultra-thin plates or even membranes. These plate/membrane mirrors are susceptible to external excitations and this may lead to surface inaccuracy and jeopardize relevant working performance. In order to investigate the modal vibration characteristics of the mirror, a piezoelectric layer is fully laminated on its non-reflective side to serve as sensors. The piezoelectric layer is segmented into infinitesimal elements so that microscopic distributed sensing signals can be explored. In this paper, the deformable mirror is modeled as a pre-tensioned plate and membrane respectively and sensing signal distributions of the two models are compared. Different pre-tensioning forces are also applied to reveal the tension effects on the mode shape and sensing signals of the mirror. Analytical results in this study could be used as guideline of optimal sensor/actuator placement for deformable space mirrors.

  12. Enhancing performance of a linear dielectric based concentrating photovoltaic system using a reflective film along the edge

    International Nuclear Information System (INIS)

    Baig, Hasan; Sarmah, Nabin; Chemisana, Daniel; Rosell, Joan; Mallick, Tapas K.

    2014-01-01

    In the present study, we model and analyse the performance of a dielectric based linear concentrating photovoltaic system using ray tracing and finite element methods. The results obtained are compared with the experiments. The system under study is a linear asymmetric CPC (Compound Parabolic Concentrator) designed to operate under extreme incident angles of 0° and 55° and have a geometrical concentration ratio of 2.8×. Initial experiments showed a maximum PR (power ratio) of 2.2 compared to a non concentrating counterpart. An improvement to this has been proposed and verified by adding a reflective film along the edges of the concentrator to capture the escaping rays and minimise optical losses. The addition of the reflective film changes the incoming distribution on the solar cell. Results show an increase of 16% in the average power output while using this reflective film. On including the thermal effects it was found that the overall benefit changes to about 6% while using a reflective film. Additionally, the effects of the non-uniformity of the incoming radiation are also analysed and reported for both the cases. It is found that adding the reflective film drops the maximum power at the output by only 0.5% due to the effect of non-uniformity. - Highlights: • Optical, thermal and electrical analysis of a concentrating photovoltaic system. • Improvement in performance by use of reflective film along the edge. • Experimental validation of results. • Effects of non-uniform illumination on the performance of the CPV system. • Impact of temperature profile on the overall performance

  13. Mirroring

    DEFF Research Database (Denmark)

    Wegener, Charlotte; Wegener, Gregers

    2016-01-01

    and metaphorical value of mirroring for creativity theory across two different research fields — neuroscience and learning. We engage in a mutual (possibly creative) exploration of mirroring from ‘mirror neurons’ to mirroring in social learning theory. One of the most fascinating aspects of mirroring...... as a neurobiological and as a learning phenomenon is that it points to the embodied and unconscious aspects of social interaction. Thus, mirroring should not be reduced to the non-creative, mechanical repetition of the original, outstanding creativity. To mirror is a human capability built into our capacity to create......Most definitions of creativity emphasise originality. The creative product is recognised as distinct from other products and the creative person as someone who stands out from the crowd. What tend to be overlooked are acts of mirroring as a crucial element of the creative process. The human ability...

  14. Multilayer active shell mirrors for space telescopes

    Science.gov (United States)

    Steeves, John; Jackson, Kathryn; Pellegrino, Sergio; Redding, David; Wallace, J. Kent; Bradford, Samuel Case; Barbee, Troy

    2016-07-01

    A novel active mirror technology based on carbon fiber reinforced polymer (CFRP) substrates and replication techniques has been developed. Multiple additional layers are implemented into the design serving various functions. Nanolaminate metal films are used to provide a high quality reflective front surface. A backing layer of thin active material is implemented to provide the surface-parallel actuation scheme. Printed electronics are used to create a custom electrode pattern and flexible routing layer. Mirrors of this design are thin (traditional optics. Such mirrors could be used as lightweight primaries for small CubeSat-based telescopes or as meter-class segments for future large aperture observatories. Multiple mirrors can be produced under identical conditions enabling a substantial reduction in manufacturing cost and complexity. An overview of the mirror design and manufacturing processes is presented. Predictions on the actuation performance have been made through finite element simulations demonstrating correctabilities on the order of 250-300× for astigmatic modes with only 41 independent actuators. A description of the custom metrology system used to characterize the active mirrors is also presented. The system is based on a Reverse Hartmann test and can accommodate extremely large deviations in mirror figure (> 100 μm PV) down to sub-micron precision. The system has been validated against several traditional techniques including photogrammetry and interferometry. The mirror performance has been characterized using this system, as well as closed-loop figure correction experiments on 150 mm dia. prototypes. The mirrors have demonstrated post-correction figure accuracies of 200 nm RMS (two dead actuators limiting performance).

  15. Biomedical bandpass filter for fluorescence microscopy imaging based on TiO2/SiO2 and TiO2/MgF2 dielectric multilayers

    International Nuclear Information System (INIS)

    Butt, M A; Fomchenkov, S A; Verma, P; Khonina, S N; Ullah, A

    2016-01-01

    We report a design for creating a multilayer dielectric optical filters based on TiO 2 and SiO 2 /MgF 2 alternating layers. We have selected Titanium dioxide (TiO 2 ) for high refractive index (2.5), Silicon dioxide (SiO 2 ) and Magnesium fluoride (MgF 2 ) as a low refractive index layer (1.45 and 1.37) respectively. Miniaturized visible spectrometers are useful for quick and mobile characterization of biological samples. Such devices can be fabricated by using Fabry-Perot (FP) filters consisting of two highly reflecting mirrors with a central cavity in between. Distributed Bragg Reflectors (DBRs) consisting of alternating high and low refractive index material pairs are the most commonly used mirrors in FP filters, due to their high reflectivity. However, DBRs have high reflectivity for a selected range of wavelengths known as the stopband of the DBR. This range is usually much smaller than the sensitivity range of the spectrometer range. Therefore a bandpass filters are required to restrict wavelength outside the stopband of the FP DBRs. The proposed filter shows a high quality with average transmission of 97.4% within the passbands and the transmission outside the passband is around 4%. Special attention has been given to keep the thickness of the filters within the economic limits. It can be suggested that these filters are exceptional choice for florescence imaging and Endoscope narrow band imaging. (paper)

  16. LUTE primary mirror materials and design study report

    Science.gov (United States)

    Ruthven, Greg

    1993-02-01

    The major objective of the Lunar Ultraviolet Telescope Experiment (LUTE) Primary Mirror Materials and Design Study is to investigate the feasibility of the LUTE telescope primary mirror. A systematic approach to accomplish this key goal was taken by first understanding the optical, thermal, and structural requirements and then deriving the critical primary mirror-level requirements for ground testing, launch, and lunar operations. After summarizing the results in those requirements which drove the selection of material and the design for the primary mirror are discussed. Most important of these are the optical design which was assumed to be the MSFC baseline (i.e. 3 mirror optical system), telescope wavefront error (WFE) allocations, the telescope weight budget, and the LUTE operational temperature ranges. Mechanical load levels, reflectance and microroughness issues, and options for the LUTE metering structure were discussed and an outline for the LUTE telescope sub-system design specification was initiated. The primary mirror analysis and results are presented. The six material substrate candidates are discussed and four distinct mirror geometries which are considered are shown. With these materials and configurations together with varying the location of the mirror support points, a total of 42 possible primary mirror designs resulted. The polishability of each substrate candidate was investigated and a usage history of 0.5 meter and larger precision cryogenic mirrors (the operational low end LUTE temperature of 60 K is the reason we feel a survey of cryogenic mirrors is appropriate) that were flown or tested are presented.

  17. Study of tin amalgam mirrors by {sup 119}Sn Mössbauer spectroscopy and other analytical methods

    Energy Technology Data Exchange (ETDEWEB)

    Lerf, A. [Bavarian Academy of Sciences, Walther Meißner Institute (Germany); Wagner, F. E., E-mail: fwagner@tum.de [Technical University of Munich, Physics Department E15 (Germany); Herrera, L. K. [Universidad Nacional de Colombia. Dpto. de Ingeniería Mecánica y Mecatrónica (Colombia); Justo, A.; Mu noz-Páez, A.; Pérez-Rodríguez, J. L. [University of Sevilla-CSIC, ICMSE (Spain)

    2016-12-15

    From the beginning of the 16 {sup th} until the end of the 19 {sup th} century the most widely used mirrors consisted of a pane of glass backed with a reflecting layer of tin-mercury amalgam. They were made by sliding the glass pane over a tin foil covered with liquid mercury. After removal of the superfluous mercury, tin amalgam formed slowly at ambient temperature and yielded a reflecting layer adhering to the surface of the glass. Such mirrors often deteriorate in the course of time by oxidation of the tin in the amalgam to stannous or stannic oxide. {sup 119}Sn Mössbauer spectroscopy, scanning electron microscopy, micro-XRF and X-ray diffraction have been used to study this deterioration process. The studied specimens were a modern mirror made for the reconstruction of the Green Vault in Dresden in the early 2000s, two rather well preserved German mirrors from the 17 {sup th} and 19 {sup th} centuries and several strongly deteriorated specimens of Baroque mirrors from the south of Spain. The modern mirror consists mainly of a Sn{sub 0.9}Hg{sub 0.1} amalgam with only 2 % of SnO{sub 2}. The older German mirrors showed more pronounced oxidation, containing 12 and 15 % of SnO{sub 2}, which did not noticeably impair their reflectivity. In the samples from the Spanish mirrors at best a few percent of metallic phase was left. The majority of the tin had oxidised to SnO{sub 2}, but between 8 and 20 % of the tin was present as SnO. X-ray diffraction yielded similar results and micro-XRF mapping using synchrotron radiation for excitation gave information on the distribution of Sn and Hg in the reflecting layer of the mirrors.

  18. Relativistic electron mirrors from high intensity laser nanofoil interactions

    Energy Technology Data Exchange (ETDEWEB)

    Kiefer, Daniel

    2012-12-21

    The reflection of a laser pulse from a mirror moving close to the speed of light could in principle create an X-ray pulse with unprecedented high brightness owing to the increase in photon energy and accompanying temporal compression by a factor of 4γ{sup 2}, where γ is the Lorentz factor of the mirror. While this scheme is theoretically intriguingly simple and was first discussed by A. Einstein more than a century ago, the generation of a relativistic structure which acts as a mirror is demanding in many different aspects. Recently, the interaction of a high intensity laser pulse with a nanometer thin foil has raised great interest as it promises the creation of a dense, attosecond short, relativistic electron bunch capable of forming a mirror structure that scatters counter-propagating light coherently and shifts its frequency to higher photon energies. However, so far, this novel concept has been discussed only in theoretical studies using highly idealized interaction parameters. This thesis investigates the generation of a relativistic electron mirror from a nanometer foil with current state-of-the-art high intensity laser pulses and demonstrates for the first time the reflection from those structures in an experiment. To achieve this result, the electron acceleration from high intensity laser nanometer foil interactions was studied in a series of experiments using three inherently different high power laser systems and free-standing foils as thin as 3nm. A drastic increase in the electron energies was observed when reducing the target thickness from the micrometer to the nanometer scale. Quasi-monoenergetic electron beams were measured for the first time from ultrathin (≤5nm) foils, reaching energies up to ∝35MeV. The acceleration process was studied in simulations well-adapted to the experiments, indicating the transition from plasma to free electron dynamics as the target thickness is reduced to the few nanometer range. The experience gained from those

  19. Reflective optics II; Proceedings of the Meeting, Orlando, FL, Mar. 27-29, 1989

    International Nuclear Information System (INIS)

    Korsch, D.G.

    1989-01-01

    Various papers on reflective optics are presented. Individual topics addressed include: measurement of the effect of particulate contamination on X-ray reflectivity; design optimization of astrometric reflectors; application of supersmooth optics to extrasolar planet detection; all-reflective spectrometer design of the Infrared Space Observatory; manufacturing the Keck 10-meter telescope structure and drives; advanced reflective optical systems for ground-based laboratory collimators; design of a catadioptric lens for long-range oblique aerial reconnaissance; development of a three-mirror, wide-field sensor; low-cost, lightweight, large-aperture laser transmitter/receiver; fabrication of the airborne optical adjunct mirrors; manufacturing simulation for precision optical fabrication of large mirrors; rapid optical fabrication technology for ultralightweight quartz-glass mirrors; cryogenic testing of reflective optical component and telescope systems

  20. Reflective optics II; Proceedings of the Meeting, Orlando, FL, Mar. 27-29, 1989

    Science.gov (United States)

    Korsch, Dietrich G.

    1989-10-01

    Various papers on reflective optics are presented. Individual topics addressed include: measurement of the effect of particulate contamination on X-ray reflectivity; design optimization of astrometric reflectors; application of supersmooth optics to extrasolar planet detection; all-reflective spectrometer design of the Infrared Space Observatory; manufacturing the Keck 10-meter telescope structure and drives; advanced reflective optical systems for ground-based laboratory collimators; design of a catadioptric lens for long-range oblique aerial reconnaissance; development of a three-mirror, wide-field sensor; low-cost, lightweight, large-aperture laser transmitter/receiver; fabrication of the airborne optical adjunct mirrors; manufacturing simulation for precision optical fabrication of large mirrors; rapid optical fabrication technology for ultralightweight quartz-glass mirrors; cryogenic testing of reflective optical component and telescope systems.

  1. Precision Optical Coatings for Large Space Telescope Mirrors

    Science.gov (United States)

    Sheikh, David

    This proposal “Precision Optical Coatings for Large Space Telescope Mirrors” addresses the need to develop and advance the state-of-the-art in optical coating technology. NASA is considering large monolithic mirrors 1 to 8-meters in diameter for future telescopes such as HabEx and LUVOIR. Improved large area coating processes are needed to meet the future requirements of large astronomical mirrors. In this project, we will demonstrate a broadband reflective coating process for achieving high reflectivity from 90-nm to 2500-nm over a 2.3-meter diameter coating area. The coating process is scalable to larger mirrors, 6+ meters in diameter. We will use a battery-driven coating process to make an aluminum reflector, and a motion-controlled coating technology for depositing protective layers. We will advance the state-of-the-art for coating technology and manufacturing infrastructure, to meet the reflectance and wavefront requirements of both HabEx and LUVOIR. Specifically, we will combine the broadband reflective coating designs and processes developed at GSFC and JPL with large area manufacturing technologies developed at ZeCoat Corporation. Our primary objectives are to: Demonstrate an aluminum coating process to create uniform coatings over large areas with near-theoretical aluminum reflectance Demonstrate a motion-controlled coating process to apply very precise 2-nm to 5- nm thick protective/interference layers to large areas, Demonstrate a broadband coating system (90-nm to 2500-nm) over a 2.3-meter coating area and test it against the current coating specifications for LUVOIR/HabEx. We will perform simulated space-environment testing, and we expect to advance the TRL from 3 to >5 in 3-years.

  2. Development of iridium coated x-ray mirrors for astronomical applications

    Science.gov (United States)

    Döhring, Thorsten; Probst, Anne-Catherine; Emmerich, Florian; Stollenwerk, Manfred; Stehlíková, Veronika; Friedrich, Peter; Damm, Christine

    2017-08-01

    Future space-based X-ray observatories need to be very lightweight for launcher mass constraints. Therefore they will use a reduced mirror thickness, which results in the additional requirement of low coating stress to avoid deformation of the initial precisely shaped mirror substrates. Due to their excellent reflection properties iridium coatings are sometimes applied for grazing incidence mirrors in astronomical X-ray telescopes. At Aschaffenburg University of Applied Sciences the coating of thin iridium films by an RF-magnetron sputtering technique is under development. The work is embedded in collaborations with the Max-Planck-Institute for Extraterrestrial Physics in Germany, the Czech Technical University in Prague, the Osservatorio Astronomico di Brera in Italy, the German Leibniz Institute for Solid State and Materials Research in Dresden, and the French Institute Fresnel. Sputtering with different parameters leads to iridium films with different properties. The current work is focused on the microstructure of the iridium coatings to study the influence of the substrate and of the argon gas pressure on the thin film growing process. Correlations between coating density, surface micro-roughness, the crystalline structure of the iridium layers, and the expected reflectivity of the X-ray mirror as well as coating stress effects are presented and discussed. The final goal of the project is to integrate the produced prototype mirrors into an X-ray telescope module. On a longer timescale measurements of the mirror modules optical performance are planned at the X-ray test facility PANTER.

  3. A reflectivity profilometer for the optical characterisation of grade reflectivity mirrors in the 250 nm - 1100 nm spectral region

    Energy Technology Data Exchange (ETDEWEB)

    Colucci, Alessandro; Nichelatti, Enrico [ENEA, Centro Ricerche Casaccia, Rome (Italy). Dipt. Innovazione

    1998-04-01

    It`s developed the prototype of an instrument that can be used for the optical characterisation of graded reflectivity mirrors at any wavelength in the spectral region from 250 nm to 1100 nm. The instrument utilises a high-pressure Xe arc lamp as light source. Light is spectrally filtered by means of a grating monochromator. The sample is illuminated with an image of the monochromator exit slit. After reflection from the sample, this image is projected onto a 1024-elements charge-coupled device linear array driven by a digital frame board and interfaced with a personal computer. It`s tested the instrument accuracy by comparing measurement results with the corresponding ones obtained by means of a laser scanning technique. Measurement Rms repeatability has been estimated to be approximately of 0.8%. [Italiano] E` stato sviluppato il prototipo di uno strumento per la catatterizzazione ottica di specchi a riflettivita` variabile, operante a qualsiasi lunghezza d`onda nell`intervallo spettrale da 250 nm a 1100 nm. La sorgente dello strumento e` una lampada ad arco allo Xenon ad alta pressione. La luce e` filtrata spettralmente per mezzo di un monocromatore a reticolo. Il campione viene illuminato da un`immagine della fenditura d`uscita del monocromatore. Dopo essere stata riflessa dal campione, questa immagine viene proiettata su un array CCD lineare a 1024 elementi, connesso elettronicamente a una scheda digitale e interfacciato a un personal computer. L`accuratezza dello strumento e` stata verificata confrontando alcune misure con le corrispondenti misure ottenute mediante una tecnica a scansione laser. La ripetibilita` RMS delle misure e` stata stimata essere circa dello 0.8%.

  4. Mirror therapy: A potential intervention for pain management

    Directory of Open Access Journals (Sweden)

    Priscilla G. Wittkopf

    Full Text Available Summary The consequences of chronic pain and associated disabilities to the patient and to the health care system are well known. Medication is often the first treatment of choice for chronic pain, although side effects and high costs restrict long-term use. Inexpensive, safe and easy to self-administer non-pharmacological therapies, such as mirror therapy, are recommended as adjuncts to pain treatment. The purpose of this review is to describe the principles of use of mirror therapy so it can be incorporated into a health care delivery. The physiological rationale of mirror therapy for the management of pain and the evidence of clinical efficacy based on recent systematic reviews are also discussed. Mirror therapy, whereby a mirror is placed in a position so that the patient can view a reflection of a body part, has been used to treat phantom limb pain, complex regional pain syndrome, neuropathy and low back pain. Research evidence suggests that a course of treatment (four weeks of mirror therapy may reduce chronic pain. Contraindications and side effects are few. The mechanism of action of mirror therapy remains uncertain, with reintegration of motor and sensory systems, restored body image and control over fear-avoidance likely to influence outcome. The evidence for clinical efficacy of mirror therapy is encouraging, but not yet definitive. Nevertheless, mirror therapy is inexpensive, safe and easy for the patient to self-administer.

  5. Mathematical Modeling of Radiant Heat Transfer in Mirror Systems Considering Deep Reflecting Surface Defects

    Directory of Open Access Journals (Sweden)

    V. V. Leonov

    2014-01-01

    Full Text Available When designing large-sized mirror concentrating systems (MCS for high-temperature solar power plants, one must have at disposal reasonably reliable and economical methods and tools, making it possible to analyze its characteristics, to predict them depending on the operation conditions and accordingly to choose the most suitable system for the solution of particular task.Experimental determination of MCS characteristics requires complicated and expensive experimentation, having significant limitations on interpretation of the results, as well as limitations imposed due to the size of the structure. Therefore it is of particular interest to develop a mathematical model capable of estimating power characteristics of MCS considering the influence of operating conditions, design features, roughness and other surface defects.For efficient solution of the tasks the model must ensure simulation of solar radiant flux as well as simulation of geometrical and optical characteristics of reflection surface and their interaction. In this connection a statistical mathematical model of radiation heat exchange based on use of Monte Carlo methods and Finite Element Method was developed and realized in the software complex, making it possible to determine main characteristics of the MCS.In this paper the main attention is given to definition of MCS radiation characteristics with account for deep reflecting surface defects (cavities, craters. Deep cavities are not typical for MCS, but their occurrence is possible during operation as a result of erosion or any physical damage. For example, for space technology it is primarily micrometeorite erosion.

  6. Development of in situ cleaning techniques for diagnostic mirrors in ITER

    International Nuclear Information System (INIS)

    Litnovsky, A.; Laengner, M.; Matveeva, M.; Schulz, Ch.; Marot, L.; Voitsenya, V.S.; Philipps, V.; Biel, W.; Samm, U.

    2011-01-01

    Mirrors will be used in all optical and laser-based diagnostic systems of ITER. In the severe environment, the optical characteristics of mirrors will be degraded, hampering the entire performance of the respective diagnostics. A minute impurity deposition of 20 nm of carbon on the mirror is sufficient to decrease the mirror reflectivity by tens of percent outlining the necessity of the mirror cleaning in ITER. The results of R and D on plasma cleaning of molybdenum diagnostic mirrors are reported. The mirrors contaminated with amorphous carbon films in the laboratory conditions and in the tokamaks were cleaned in steady-state hydrogenic plasmas. The maximum cleaning efficiency of 4.2 nm/min was reached for the laboratory and soft tokamak hydrocarbon films, whereas for the hard tokamak films the carbidization of mirrors drastically decreased the cleaning efficiency down to 0.016 nm/min. This implies the necessity of sputtering cleaning of contaminated mirrors as the only reliable tool to remove the deposits by plasma cleaning. An overview of R and D program on mirror cleaning is provided along with plans for further studies and the recommendations for ITER mirror-based diagnostics.

  7. Reflection and Refraction of Light in Absorbing Media

    Science.gov (United States)

    Katsumata, Koichi; Sasaki, Shosuke

    2018-05-01

    The results of a rigorous calculation of optical phenomena in absorbing media based on Maxwell's equations are reported. In the case of an absorbing dielectric, we assume a complex dielectric constant. We find an expression for the angle of refraction as a function of the incident angle and the real and imaginary parts of the complex dielectric constant, all of which are real. The amplitudes of the reflected and transmitted waves are calculated on the same footing. These amplitudes are shown to be complex, from which we deduce the magnitude and phase change of the reflection and transmission coefficients. The same argument applies to an absorbing magnetic material if we replace the complex dielectric constant by a complex magnetic permeability.

  8. Plasma mirrors for ultrahigh-intensity optics

    Energy Technology Data Exchange (ETDEWEB)

    Thaury, C; Quere, F; Levy, A; Ceccotti, T; Monot, P; Bougeard, M; Reau, F; D' Oliveira, P; Martin, PH [CEA, DSM, DRECAM, Serv Photons Atomes and Mol, F-91191 Gif Sur Yvette, (France); Geindre, J P; Audebert, P [Ecole Polytech, CNRS, Lab Utilisat Lasers Inst, F-91128 Palaiseau, (France); Marjoribanks, R [Univ Toronto, Dept Phys, Toronto, ON M5S 1A7, (Canada); Marjoribanks, R [Univ Toronto, Inst Opt Sci, Toronto, ON M5S 1A7, (Canada)

    2007-07-01

    Specular reflection is one of the most fundamental processes of optics. At moderate light intensities generated by conventional light sources this process is well understood. But at those capable of being produced by modern ultrahigh-intensity lasers, many new and potentially useful phenomena arise. When a pulse from such a laser hits an optically polished surface, it generates a dense plasma that itself acts as a mirror, known as a plasma mirror (PM). PMs do not just reflect the remainder of the incident beam, but can act as active optical elements. Using a set of three consecutive PMs in different regimes, we significantly improve the temporal contrast of femtosecond pulses, and demonstrate that high-order harmonics of the laser frequency can be generated through two distinct mechanisms. A better understanding of these processes should aid the development of laser-driven atto-second sources for use in fields from materials science to molecular biology. (authors)

  9. Plasma mirrors for ultrahigh-intensity optics

    International Nuclear Information System (INIS)

    Thaury, C.; Quere, F.; Levy, A.; Ceccotti, T.; Monot, P.; Bougeard, M.; Reau, F.; D'Oliveira, P.; Martin, PH.; Geindre, J.P.; Audebert, P.; Marjoribanks, R.; Marjoribanks, R.

    2007-01-01

    Specular reflection is one of the most fundamental processes of optics. At moderate light intensities generated by conventional light sources this process is well understood. But at those capable of being produced by modern ultrahigh-intensity lasers, many new and potentially useful phenomena arise. When a pulse from such a laser hits an optically polished surface, it generates a dense plasma that itself acts as a mirror, known as a plasma mirror (PM). PMs do not just reflect the remainder of the incident beam, but can act as active optical elements. Using a set of three consecutive PMs in different regimes, we significantly improve the temporal contrast of femtosecond pulses, and demonstrate that high-order harmonics of the laser frequency can be generated through two distinct mechanisms. A better understanding of these processes should aid the development of laser-driven atto-second sources for use in fields from materials science to molecular biology. (authors)

  10. Angularly symmetric splitting of a light beam upon reflection and refraction at an air-dielectric plane boundary.

    Science.gov (United States)

    Azzam, R M A

    2015-12-01

    Conditions for achieving equal and opposite angular deflections of a light beam by reflection and refraction at an air-dielectric boundary are determined. Such angularly symmetric beam splitting (ASBS) is possible only if the angle of incidence is >60° by exactly one third of the angle of refraction. This simple law, plus Snell's law, leads to several analytical results that clarify all aspects of this phenomenon. In particular, it is shown that the intensities of the two symmetrically deflected beams can be equalized by proper choice of the prism refractive index and the azimuth of incident linearly polarized light. ASBS enables a geometrically attractive layout of optical systems that employ multiple prism beam splitters.

  11. Effects of the LDEF orbital environment on the reflectance of optical mirror materials

    Science.gov (United States)

    Herzig, Howard; Fleetwood, Charles, Jr.

    1995-01-01

    Specimens of eight different optical mirror materials were flown in low earth orbit as part of the Long Duration Exposure Facility (LDEF) manifest to determine their ability to withstand exposure to the residual atomic oxygen and other environmental effects at those altitudes. Optical thin films of aluminum, gold, iridium, osmium, platinum, magnesium fluoride-overcoated aluminum and reactively deposited, silicon monoxide-protected aluminum, all of which were vacuum deposited on polished fused silica substrates, were included as part of Experiment S0010, Exposure of Spacecraft Coatings. Two specimens of polished, chemical vapor deposited (CVD) silicon carbide were installed in sites available in Experiment A0114, Interaction of Atomic Oxygen with Solid Surfaces at Orbital Altitudes, which included trays in two of the spacecraft bays, one on the leading edge and the other on the trailing edge. One of the silicon carbide samples was located in each of these trays. This paper will compare specular reflectance data from the preflight and postflight measurements made on each of these samples and attempt to explain the changes in light of the specific environments to which the experiments were exposed.

  12. Mirror monochromator

    Energy Technology Data Exchange (ETDEWEB)

    Mankos, Marian [Electron Optica, Inc., Palo Alto, CA (United States); Shadman, Khashayar [Electron Optica, Inc., Palo Alto, CA (United States)

    2014-12-02

    In this SBIR project, Electron Optica, Inc. (EOI) is developing a mirror electron monochromator (MirrorChrom) attachment to new and retrofitted electron microscopes (EMs) for improving the energy resolution of the EM from the characteristic range of 0.2-0.5 eV to the range of 10-50 meV. This improvement will enhance the characterization of materials by imaging and spectroscopy. In particular, the monochromator will refine the energy spectra characterizing materials, as obtained from transmission EMs [TEMs] fitted with electron spectrometers, and it will increase the spatial resolution of the images of materials taken with scanning EMs (SEMs) operated at low voltages. EOI’s MirrorChrom technology utilizes a magnetic prism to simultaneously deflect the electron beam off the axis of the microscope column by 90° and disperse the electrons in proportional to their energies into a module with an electron mirror and a knife-edge. The knife-edge cuts off the tails of the energy distribution to reduce the energy spread of the electrons that are reflected, and subsequently deflected, back into the microscope column. The knife-edge is less prone to contamination, and thereby charging, than the conventional slits used in existing monochromators, which improves the reliability and stability of the module. The overall design of the MirrorChrom exploits the symmetry inherent in reversing the electron trajectory in order to maintain the beam brightness – a parameter that impacts how well the electron beam can be focused downstream onto a sample. During phase I, EOI drafted a set of candidate monochromator architectures and evaluated the trade-offs between energy resolution and beam current to achieve the optimum design for three particular applications with market potential: increasing the spatial resolution of low voltage SEMs, increasing the energy resolution of low voltage TEMs (beam energy of 5-20 keV), and increasing the energy resolution of conventional TEMs (beam

  13. The influence of various dielectric parameters on the reststrahlen region of SiC

    International Nuclear Information System (INIS)

    Engelbrecht, J.A.A.; Rooyen, I.J. van

    2011-01-01

    The reststrahlen region of SiC is analysed with the goal of establishing the origin of different shapes of this band, by varying the dielectric parameters involved when simulating the reststrahlen region as obtained by infrared reflectance. -- Research highlights: → An anomalous peak observed in the reststrahlen band of SiC was investigated. → The reflection spectrum of SiC in the reststrahlen region was simulated by theoretical calculations. → The influence on the reststrahlen band of the dielectric parameters used in the simulations is discussed. → Dielectric parameters used in the simulations did not yield the anomalous peak that is observed experimentally.

  14. The influence of various dielectric parameters on the reststrahlen region of SiC

    Energy Technology Data Exchange (ETDEWEB)

    Engelbrecht, J.A.A., E-mail: Japie.Engelbrecht@nmmu.ac.z [Physics Department, Nelson Mandela Metropolitan University, PO Box 77000, Port Elizabeth 6031 (South Africa); Rooyen, I.J. van [Physics Department, Nelson Mandela Metropolitan University, PO Box 77000, Port Elizabeth 6031 (South Africa); National Laser Centre, CSIR, PO Box 395, Pretoria 0001 (South Africa)

    2011-02-01

    The reststrahlen region of SiC is analysed with the goal of establishing the origin of different shapes of this band, by varying the dielectric parameters involved when simulating the reststrahlen region as obtained by infrared reflectance. -- Research highlights: {yields} An anomalous peak observed in the reststrahlen band of SiC was investigated. {yields} The reflection spectrum of SiC in the reststrahlen region was simulated by theoretical calculations. {yields} The influence on the reststrahlen band of the dielectric parameters used in the simulations is discussed. {yields} Dielectric parameters used in the simulations did not yield the anomalous peak that is observed experimentally.

  15. Large-area soft x-ray projection lithography using multilayer mirrors structured by RIE

    Science.gov (United States)

    Rahn, Steffen; Kloidt, Andreas; Kleineberg, Ulf; Schmiedeskamp, Bernt; Kadel, Klaus; Schomburg, Werner K.; Hormes, F. J.; Heinzmann, Ulrich

    1993-01-01

    SXPL (soft X-ray projection lithography) is one of the most promising applications of X-ray reflecting optics using multilayer mirrors. Within our collaboration, such multilayer mirrors were fabricated, characterized, laterally structured and then used as reflection masks in a projecting lithography procedure. Mo/Si-multilayer mirrors were produced by electron beam evaporation in UHV under thermal treatment with an in-situ X-ray controlled thickness in the region of 2d equals 14 nm. The reflectivities measured at normal incidence reached up to 54%. Various surface analysis techniques have been applied in order to characterize and optimize the X-ray mirrors. The multilayers were patterned by reactive ion etching (RIE) with CF(subscript 4), using a photoresist as the etch mask, thus producing X-ray reflection masks. The masks were tested in the synchrotron radiation laboratory of the electron accelerator ELSA at the Physikalisches Institut of Bonn University. A double crystal X-ray monochromator was modified so as to allow about 0.5 cm(superscript 2) of the reflection mask to be illuminated by white synchrotron radiation. The reflected patterns were projected (with an energy of 100 eV) onto the resist (Hoechst AZ PF 514), which was mounted at an average distance of about 7 mm. In the first test-experiments, structure sizes down to 8 micrometers were nicely reproduced over the whole of the exposed area. Smaller structures were distorted by Fresnel-diffraction. The theoretically calculated diffraction images agree very well with the observed images.

  16. Hard X-ray mirrors for Nuclear Security

    Energy Technology Data Exchange (ETDEWEB)

    Descalle, M. A. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Brejnholt, N. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Hill, R. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Decker, T. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Alameda, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Soufli, R. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Pivovaroff, M. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Pardini, T. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2016-01-07

    Research performed under this LDRD aimed to demonstrate the ability to detect and measure hard X-ray emissions using multilayer X-ray reflective optics above 400 keV, to enable the development of inexpensive and high-accuracy mirror substrates, and to investigate applications of hard X-ray mirrors of interest to the nuclear security community. Experiments conducted at the European Synchrotron Radiation Facility demonstrated hard X-ray mirror reflectivity up to 650 keV for the first time. Hard X-ray optics substrates must have surface roughness under 3 to 4 Angstrom rms, and three materials were evaluated as potential substrates: polycarbonates, thin Schott glass and a new type of flexible glass called Willow Glass®. Chemical smoothing and thermal heating of the surface of polycarbonate samples, which are inexpensive but have poor intrinsic surface characteristics, did not yield acceptable surface roughness. D263 Schott glass was used for the focusing optics of the NASA NuSTAR telescope. The required specialized hardware and process were costly and motivated experiments with a modified non-contact slumping technique. The surface roughness of the glass was preserved and the process yielded cylindrical shells with good net shape pointing to the potential advantage of this technique. Finally, measured surface roughness of 200 and 130 μm thick Willow Glass sheets was between 2 and 2.5 A rms. Additional results of flexibility tests and multilayer deposition campaigns indicated it is a promising substrate for hard X-ray optics. The detection of U and Pu characteristics X-ray lines and gamma emission lines in a high background environment was identified as an area for which X-ray mirrors could have an impact and where focusing optics could help reduce signal to noise ratio by focusing signal onto a smaller detector. Hence the first one twelvetant of a Wolter I focusing optics for the 90 to 140 keV energy range based on aperiodic multilayer coating was designed. Finally

  17. PREFACE: Dielectrics 2009: Measurement Analysis and Applications

    Science.gov (United States)

    Vaughan, Alun; Williams, Graham

    2009-07-01

    College, Dublin. The Meeting discussed dielectric relaxation behaviour arising from molecular motions of dipolar molecules in the liquid and elastomeric states (now known as soft condensed matter) with measurements spanning a frequency range from a few Hz, through power and radiofrequencies, UHF and VHF, the microwave range and into the far infra-red. As a result of its success, it was decided at the Meeting that a continuing Dielectrics Discussion Group would be established to meet not more frequently than once a year. It was appreciated at the time that the subject of 'Dielectrics' covered many sub-areas, broadly classified into those of polarization, relaxation, conduction and high-field phenomena. For the DDG, a solution was to run annual meetings on chosen themes in dielectrics research, where the theme would change from one meeting to the next. Topics addressed in the early years of the DDG included high field phenomena and impurity effects, heterogeneous systems and biomaterials, polarization and conduction and non-linear dielectrics and ferroelectrics. The number of participants at these early meetings grew from 50 to the low 100's, which reflected both the increased awareness of the Group and increased participation from researchers in Continental Europe. However, the majority of participants in this period were from the UK, which reflected the considerable activities in dielectrics research in University, Industry and Government laboratories in the UK. There followed a series of DDG Meetings until 1974, at which point, the DDG became a registered charity: The Dielectrics Society. Many of the earlier meetings were held in the attractive and convenient venues of Oxford and Cambridge colleges but, in the early 1990's, a new venue for the meetings was established at the University of Kent at Canterbury. In 2001, the next major change occurred when The Dielectrics Society was incorporated into the Institute of Physics, becoming their Dielectrics Group. From 1968 to

  18. Irradiation test of mirror samples for the LHCb SciFi tracker

    CERN Document Server

    Joram, Christian; Gavardi, Laura; Ravotti, Federico; Schneider, Thomas

    2016-01-01

    The optical mirrors at the inner ends of the SciFi fibre modules in the upgraded LHCb detector will be exposed to an ionising dose reaching 35 kGy for an integrated luminosity of 50 /fb. This note describes a campaign at the cyclotron at KIT where 7 different samples were irradiated with 23 MeV protons. The samples consisted of plastic scintillator tiles, on which two different mirror foils – aluminised mylar and 3M ESR - were attached with two different glues – Epotek H301-2FL and Dow Corning RTV 3145. The transmission and/or reflectivity of the samples were measured before and after irradiation. The measurements reveal the combination of 3M ESR foil and Epotek H301-2FL to give the highest reflectivity, before and also after irradiation. In all cases, the irradiation leads only to a small, i.e. less than 10%, degradation of the transmission or reflectivity. From a radiation hardness point of view, all investigated mirror samples qualify for use in the SciFi detector.

  19. Study of tin amalgam mirrors by "1"1"9Sn Mössbauer spectroscopy and other analytical methods

    International Nuclear Information System (INIS)

    Lerf, A.; Wagner, F. E.; Herrera, L. K.; Justo, A.; Mu noz-Páez, A.; Pérez-Rodríguez, J. L.

    2016-01-01

    From the beginning of the 16 "t"h until the end of the 19 "t"h century the most widely used mirrors consisted of a pane of glass backed with a reflecting layer of tin-mercury amalgam. They were made by sliding the glass pane over a tin foil covered with liquid mercury. After removal of the superfluous mercury, tin amalgam formed slowly at ambient temperature and yielded a reflecting layer adhering to the surface of the glass. Such mirrors often deteriorate in the course of time by oxidation of the tin in the amalgam to stannous or stannic oxide. "1"1"9Sn Mössbauer spectroscopy, scanning electron microscopy, micro-XRF and X-ray diffraction have been used to study this deterioration process. The studied specimens were a modern mirror made for the reconstruction of the Green Vault in Dresden in the early 2000s, two rather well preserved German mirrors from the 17 "t"h and 19 "t"h centuries and several strongly deteriorated specimens of Baroque mirrors from the south of Spain. The modern mirror consists mainly of a Sn_0_._9Hg_0_._1 amalgam with only 2 % of SnO_2. The older German mirrors showed more pronounced oxidation, containing 12 and 15 % of SnO_2, which did not noticeably impair their reflectivity. In the samples from the Spanish mirrors at best a few percent of metallic phase was left. The majority of the tin had oxidised to SnO_2, but between 8 and 20 % of the tin was present as SnO. X-ray diffraction yielded similar results and micro-XRF mapping using synchrotron radiation for excitation gave information on the distribution of Sn and Hg in the reflecting layer of the mirrors.

  20. Mirror-Image Equivalence and Interhemispheric Mirror-Image Reversal.

    Science.gov (United States)

    Corballis, Michael C

    2018-01-01

    Mirror-image confusions are common, especially in children and in some cases of neurological impairment. They can be a special impediment in activities such as reading and writing directional scripts, where mirror-image patterns (such as b and d ) must be distinguished. Treating mirror images as equivalent, though, can also be adaptive in the natural world, which carries no systematic left-right bias and where the same object or event can appear in opposite viewpoints. Mirror-image equivalence and confusion are natural consequences of a bilaterally symmetrical brain. In the course of learning, mirror-image equivalence may be established through a process of symmetrization, achieved through homotopic interhemispheric exchange in the formation of memory circuits. Such circuits would not distinguish between mirror images. Learning to discriminate mirror-image discriminations may depend either on existing brain asymmetries, or on extensive learning overriding the symmetrization process. The balance between mirror-image equivalence and mirror-image discrimination may nevertheless be precarious, with spontaneous confusions or reversals, such as mirror writing, sometimes appearing naturally or as a manifestation of conditions like dyslexia.

  1. Mirror-Image Equivalence and Interhemispheric Mirror-Image Reversal

    Directory of Open Access Journals (Sweden)

    Michael C. Corballis

    2018-04-01

    Full Text Available Mirror-image confusions are common, especially in children and in some cases of neurological impairment. They can be a special impediment in activities such as reading and writing directional scripts, where mirror-image patterns (such as b and d must be distinguished. Treating mirror images as equivalent, though, can also be adaptive in the natural world, which carries no systematic left-right bias and where the same object or event can appear in opposite viewpoints. Mirror-image equivalence and confusion are natural consequences of a bilaterally symmetrical brain. In the course of learning, mirror-image equivalence may be established through a process of symmetrization, achieved through homotopic interhemispheric exchange in the formation of memory circuits. Such circuits would not distinguish between mirror images. Learning to discriminate mirror-image discriminations may depend either on existing brain asymmetries, or on extensive learning overriding the symmetrization process. The balance between mirror-image equivalence and mirror-image discrimination may nevertheless be precarious, with spontaneous confusions or reversals, such as mirror writing, sometimes appearing naturally or as a manifestation of conditions like dyslexia.

  2. VCSELs and silicon light sources exploiting SOI grating mirrors

    DEFF Research Database (Denmark)

    Chung, Il-Sug; Mørk, Jesper

    2012-01-01

    In this talk, novel vertical-cavity laser structure consisting of a dielectric Bragg reflector, a III-V active region, and a high-index-contrast grating made in the Si layer of a silicon-on-insulator (SOI) wafer will be presented. In the Si light source version of this laser structure, the SOI...... the Bragg reflector. Numerical simulations show that both the silicon light source and the VCSEL exploiting SOI grating mirrors have superior performances, compared to existing silicon light sources and long wavelength VCSELs. These devices are highly adequate for chip-level optical interconnects as well...

  3. Mirror Neurons and Mirror-Touch Synesthesia.

    Science.gov (United States)

    Linkovski, Omer; Katzin, Naama; Salti, Moti

    2016-05-30

    Since mirror neurons were introduced to the neuroscientific community more than 20 years ago, they have become an elegant and intuitive account for different cognitive mechanisms (e.g., empathy, goal understanding) and conditions (e.g., autism spectrum disorders). Recently, mirror neurons were suggested to be the mechanism underlying a specific type of synesthesia. Mirror-touch synesthesia is a phenomenon in which individuals experience somatosensory sensations when seeing someone else being touched. Appealing as it is, careful delineation is required when applying this mechanism. Using the mirror-touch synesthesia case, we put forward theoretical and methodological issues that should be addressed before relying on the mirror-neurons account. © The Author(s) 2016.

  4. Transparent Electrochemical Gratings from a Patterned Bistable Silver Mirror.

    Science.gov (United States)

    Park, Chihyun; Na, Jongbeom; Han, Minsu; Kim, Eunkyoung

    2017-07-25

    Silver mirror patterns were formed reversibly on a polystyrene (PS)-patterned electrode to produce gratings through the electrochemical reduction of silver ions. The electrochemical gratings exhibited high transparency (T > 95%), similar to a see-through window, by matching the refractive index of the grating pattern with the surrounding medium. The gratings switch to a diffractive state upon the formation of a mirror pattern (T modulation, NIR light reflection, and on-demand heat transfer.

  5. Wavefront error measurement of the concave ellipsoidal mirrors of the METIS coronagraph on ESA Solar Orbiter mission

    Science.gov (United States)

    Sandri, P.

    2017-12-01

    The paper describes the alignment technique developed for the wavefront error measurement of ellipsoidal mirrors presenting a central hole. The achievement of a good alignment with a classic setup at the finite conjugates when mirrors are uncoated cannot be based on the identification and materialization at naked eye of the retro-reflected spot by the mirror under test as the intensity of the retro-reflected spot results to be ≈1E-3 of the intensity of the injected laser beam of the interferometer. We present the technique developed for the achievement of an accurate alignment in the setup at the finite conjugate even in condition of low intensity based on the use of an autocollimator adjustable in focus position and a small polished flat surface on the rear side of the mirror. The technique for the alignment has successfully been used for the optical test of the concave ellipsoidal mirrors of the METIS coronagraph of the ESA Solar Orbiter mission. The presented method results to be advantageous in terms of precision and of time saving also when the mirrors are reflective coated and integrated into their mechanical hardware.

  6. Reflective and antireflective coatings for the optical chain of the ASTRI SST-2M prototype

    Science.gov (United States)

    Bonnoli, Giacomo; Canestrari, Rodolfo; Catalano, Osvaldo; Pareschi, Giovanni; Perri, Luca; Stringhetti, Luca

    2013-09-01

    ASTRI is a Flagship Project of the Italian Ministry of Education, University and Research, led by the Italian National Institute of Astrophysics, INAF. One of the main aims of the ASTRI Project is the design, construction and on-field verification of a dual mirror (2M) end-to-end prototype for the Small Size Telescope (SST) envisaged to become part of the Cherenkov Telescope Array. The ASTRI SST-2M prototype is designed according to the Schwarzschild-Couder optical scheme, and adopts a camera based on Silicon Photo Multipliers (SiPM); it will be assembled at the INAF astronomical site of Serra La Nave on mount Etna (Catania, Italy) in the second half of 2014, and will start scientific validation phase soon after. With its 4m wide primary dish, the telescope will be sensitive to multi-TeV Very High Energy (VHE) gamma rays up to 100 TeV and above, with a point spread function of ~2 arcminutes and a wide (semiaperture 4.8°) corrected field of view. The peculiarities of the optical design and of the SiPM bandpass pushed towards specifically optimized choices in terms of reflective coatings for both the primary and the secondary mirror. Fully dielectric multi-layer coatings have been developed and tested as an option for the primary mirror, aiming to filter out the large Night Sky Background contamination at wavelengths λ>~700 nm. On the other hand, for the large monolithic secondary mirror a simpler design with quartz-overcoated aluminium has been optimized for incidences far from normality. The conformation of the ASTRI camera in turn pushed towards the design of a reimaging system based on thin pyramidal light guides, that could be optionally integrated in the focal surface, aiming to increase the fill factor. An anti-reflective coating optimized for a wide range of incident angles faraway from normality was specifically developed to enhance the UV-optical transparency of these elements. The issues, strategy, simulations and experimental results are thoroughly

  7. An Evaluation of the Instruction Carried out with Printed Laboratory Materials Designed in Accordance with 5E Model: Reflection of Light and Image on a Plane Mirror

    Science.gov (United States)

    Ayvaci, Hakan Sevki; Yildiz, Mehmet; Bakirci, Hasan

    2015-01-01

    This study employed a print laboratory material based on 5E model of constructivist learning approach to teach reflection of light and Image on a Plane Mirror. The effect of the instruction which conducted with the designed print laboratory material on academic achievements of prospective science and technology teachers and their attitudes towards…

  8. Process analytical technology (PAT) in insect and mammalian cell culture processes: dielectric spectroscopy and focused beam reflectance measurement (FBRM).

    Science.gov (United States)

    Druzinec, Damir; Weiss, Katja; Elseberg, Christiane; Salzig, Denise; Kraume, Matthias; Pörtner, Ralf; Czermak, Peter

    2014-01-01

    Modern bioprocesses demand for a careful definition of the critical process parameters (CPPs) already during the early stages of process development in order to ensure high-quality products and satisfactory yields. In this context, online monitoring tools can be applied to recognize unfavorable changes of CPPs during the production processes and to allow for early interventions in order to prevent losses of production batches due to quality issues. Process analytical technologies such as the dielectric spectroscopy or focused beam reflectance measurement (FBRM) are possible online monitoring tools, which can be applied to monitor cell growth as well as morphological changes. Since the dielectric spectroscopy only captures cells with intact cell membranes, even information about dead cells with ruptured or leaking cell membranes can be derived. The following chapter describes the application of dielectric spectroscopy on various virus-infected and non-infected cell lines with respect to adherent as well as suspension cultures in common stirred tank reactors. The adherent mammalian cell lines Vero (African green monkey kidney cells) and hMSC-TERT (telomerase-immortalized human mesenchymal stem cells) are thereby cultured on microcarrier, which provide the required growth surface and allow the cultivation of these cells even in dynamic culture systems. In turn, the insect-derived cell lines S2 and Sf21 are used as examples for cells typically cultured in suspension. Moreover, the FBRM technology as a further monitoring tool for cell culture applications has been included in this chapter using the example of Drosophila S2 insect cells.

  9. Quantum noise in the mirror-field system: A field theoretic approach

    Energy Technology Data Exchange (ETDEWEB)

    Hsiang, Jen-Tsung, E-mail: cosmology@gmail.com [Department of Physics, National Dong-Hwa University, Hua-lien, Taiwan, ROC (China); Wu, Tai-Hung [Department of Physics, National Dong-Hwa University, Hua-lien, Taiwan, ROC (China); Lee, Da-Shin, E-mail: dslee@mail.ndhu.edu.tw [Department of Physics, National Dong-Hwa University, Hua-lien, Taiwan, ROC (China); King, Sun-Kun [Institutes of Astronomy and Astrophysics, Academia Sinica, Taipei, Taiwan, ROC (China); Wu, Chun-Hsien [Department of Physics, Soochow University, Taipei, Taiwan, ROC (China)

    2013-02-15

    We revisit the quantum noise problem in the mirror-field system by a field-theoretic approach. Here a perfectly reflecting mirror is illuminated by a single-mode coherent state of the massless scalar field. The associated radiation pressure is described by a surface integral of the stress-tensor of the field. The read-out field is measured by a monopole detector, from which the effective distance between the detector and mirror can be obtained. In the slow-motion limit of the mirror, this field-theoretic approach allows to identify various sources of quantum noise that all in all leads to uncertainty of the read-out measurement. In addition to well-known sources from shot noise and radiation pressure fluctuations, a new source of noise is found from field fluctuations modified by the mirror's displacement. Correlation between different sources of noise can be established in the read-out measurement as the consequence of interference between the incident field and the field reflected off the mirror. In the case of negative correlation, we found that the uncertainty can be lowered than the value predicted by the standard quantum limit. Since the particle-number approach is often used in quantum optics, we compared results obtained by both approaches and examine its validity. We also derive a Langevin equation that describes the stochastic dynamics of the mirror. The underlying fluctuation-dissipation relation is briefly mentioned. Finally we discuss the backreaction induced by the radiation pressure. It will alter the mean displacement of the mirror, but we argue this backreaction can be ignored for a slowly moving mirror. - Highlights: Black-Right-Pointing-Pointer The quantum noise problem in the mirror-field system is re-visited by a field-theoretic approach. Black-Right-Pointing-Pointer Other than the shot noise and radiation pressure noise, we show there are new sources of noise and correlation between them. Black-Right-Pointing-Pointer The noise

  10. A hollow coaxial cable Fabry-Pérot resonator for liquid dielectric constant measurement

    Science.gov (United States)

    Zhu, Chen; Zhuang, Yiyang; Chen, Yizheng; Huang, Jie

    2018-04-01

    We report, for the first time, a low-cost and robust homemade hollow coaxial cable Fabry-Pérot resonator (HCC-FPR) for measuring liquid dielectric constant. In the HCC design, the traditional dielectric insulating layer is replaced by air. A metal disk is welded onto the end of the HCC serving as a highly reflective reflector, and an open cavity is engineered on the HCC. After the open cavity is filled with the liquid analyte (e.g., water), the air-liquid interface acts as a highly reflective reflector due to large impedance mismatch. As a result, an HCC-FPR is formed by the two highly reflective reflectors, i.e., the air-liquid interface and the metal disk. We measured the room temperature dielectric constant for ethanol/water mixtures with different concentrations using this homemade HCC-FPR. Monitoring the evaporation of ethanol in ethanol/water mixtures was also conducted to demonstrate the ability of the sensor for continuously monitoring the change in dielectric constant. The results revealed that the HCC-FPR could be a promising evaporation rate detection platform with high performance. Due to its great advantages, such as high robustness, simple configuration, and ease of fabrication, the novel HCC-FPR based liquid dielectric constant sensor is believed to be of high interest in various fields.

  11. On the use of Plexiglass Substrates for Neutron Mirrors

    International Nuclear Information System (INIS)

    Maayouf, R.M.A.

    2009-01-01

    The work deals with neutron reflectivity measurements performed for Ni films coated on different types of the commercially available plexiglass substrates. The Ni coatings were Ni Cr (80% Ni, 20% Cr), 58 Ni and natural nickel. The reflectivity behaviors of 58 Ni and natural nickel are compared with a present measurement performed for a 58 Ni film (150 nm thick) coated on glass substrate. Some of the present mirrors were measured several years before and are included in the presented measurements in order to check the quality of the plexiglass mirrors over years. It has been found, from the presented measurements, that plexiglass, as a substrate, successfully substitutes glass and the quality of the Ni coating can last for several years without deterioration.

  12. X ray reflection masks: Manufacturing, characterization and first tests

    Science.gov (United States)

    Rahn, Stephen

    1992-09-01

    SXPL (Soft X-ray Projection Lithography) multilayer mirrors are characterized, laterally structured and then used as reflection masks in a projecting lithography procedure. Mo/Si-multilayer mirrors with a 2d in the region of 14 nm were characterized by Cu-k(alpha) grazing incidence as well as soft X-ray normal incidence reflectivity measurements. The multilayer mirrors were patterned by reactive ion etching with CF4 using a photoresist as etch mask, thus producing X-ray reflection masks. The masks were tested at the synchrotron radiation laboratory of the electron accelerator ELSA. A double crystal X-ray monochromator was modified so as to allow about 0.5 sq cm of the reflection mask to be illuminated by white synchrotron radiation. The reflected patterns were projected (with an energy of 100 eV) onto a resist and structure sizes down to 8 micrometers were nicely reproduced. Smaller structures were distorted by Fresnel-diffraction. The theoretically calculated diffraction images agree very well with the observed images.

  13. Nonimaging polygonal mirrors achieving uniform irradiance distributions on concentrating photovoltaic cells.

    Science.gov (United States)

    Schmitz, Max; Dähler, Fabian; Elvinger, François; Pedretti, Andrea; Steinfeld, Aldo

    2017-04-10

    We introduce a design methodology for nonimaging, single-reflection mirrors with polygonal inlet apertures that generate a uniform irradiance distribution on a polygonal outlet aperture, enabling a multitude of applications within the domain of concentrated photovoltaics. Notably, we present single-mirror concentrators of square and hexagonal perimeter that achieve very high irradiance uniformity on a square receiver at concentrations ranging from 100 to 1000 suns. These optical designs can be assembled in compound concentrators with maximized active area fraction by leveraging tessellation. More advanced multi-mirror concentrators, where each mirror individually illuminates the whole area of the receiver, allow for improved performance while permitting greater flexibility for the concentrator shape and robustness against partial shading of the inlet aperture.

  14. High resolution imaging of dielectric surfaces with an evanescent field optical microscope

    NARCIS (Netherlands)

    van Hulst, N.F.; Segerink, Franciscus B.; Bölger, B.

    1992-01-01

    An evanescent field optical microscope (EFOM) is presented which employs frustrated total internal reflection o­n a localized scale by scanning a dielectric tip in close proximity to a sample surface. High resolution images of dielectric gratings and spheres containing both topographic and

  15. The Mirror and Ballet Training: Do You Know How Much the Mirror's Presence Is Really Affecting You?

    Science.gov (United States)

    Diehl, Kathy

    2016-01-01

    It is clear that the relationship that dancers have with the mirror, the reflected image, and the accompanying perceptions (and often, distortions) is complicated. One area that is quite complex involves the development of a student's ability to sense movement, which directly connects to other issues involving body image, self-perception,…

  16. Coherent dynamics of plasma mirrors

    Energy Technology Data Exchange (ETDEWEB)

    Thaury, C; George, H; Quere, F; Monot, P; Martin, Ph [CEA, DSM, IRAMIS, Serv Photons Atomes and Mol, F-91191 Gif Sur Yvette, (France); Loch, R [Univ Twente, Laser Phys and Nonlinear Opt Grp, Fac Sci and Technol, MESA Inst Nanotechnol, NL-7500 AE Enschede, (Netherlands); Geindre, J P [Ecole Polytech, Lab Pour Utilisat Lasers Intenses, CNRS, F-91128 Palaiseau, (France)

    2008-07-01

    Coherent ultrashort X-ray pulses provide new ways to probe matter and its ultrafast dynamics. One of the promising paths to generate these pulses consists of using a nonlinear interaction with a system to strongly and periodically distort the waveform of intense laser fields, and thus produce high-order harmonics. Such distortions have so far been induced by using the nonlinear polarizability of atoms, leading to the production of atto-second light bursts, short enough to study the dynamics of electrons in matter. Shorter and more intense atto-second pulses, together with higher harmonic orders, are expected by reflecting ultra intense laser pulses on a plasma mirror - a dense (approximate to 10{sup 23} electrons cm{sup -3}) plasma with a steep interface. However, short-wavelength-light sources produced by such plasmas are known to generally be incoherent. In contrast, we demonstrate that like in usual low-intensity reflection, the coherence of the light wave is preserved during harmonic generation on plasma mirrors. We then exploit this coherence for interferometric measurements and thus carry out a first study of the laser-driven coherent dynamics of the plasma electrons. (authors)

  17. Reflective optical imaging system for extreme ultraviolet wavelengths

    Science.gov (United States)

    Viswanathan, V.K.; Newnam, B.E.

    1993-05-18

    A projection reflection optical system has two mirrors in a coaxial, four reflection configuration to reproduce the image of an object. The mirrors have spherical reflection surfaces to provide a very high resolution of object feature wavelengths less than 200 [mu]m, and preferably less than 100 [mu]m. An image resolution of features less than 0.05-0.1 [mu]m, is obtained over a large area field; i.e., 25.4 mm [times] 25.4 mm, with a distortion less than 0.1 of the resolution over the image field.

  18. Experimental demonstration of a variable reflectivity signal recycled Michelson interferometer for gravitational wave detection

    International Nuclear Information System (INIS)

    De Vine, G.; Shaddock, D.; McClelland, D.

    2002-01-01

    Full text: One technique of improving the sensitivity of interferometric gravitational wave detectors is to implement a signal mirror. This involves placing a mirror at the output of the Michelson interferometer. The gravitational wave signal is then 'recycled' back into the interferometer where it can coherently add with the gravitational wave signal still being produced. The frequency of the improved sensitivity is dependent on the position of the signal mirror, while the peak height and bandwidth are dependent on the reflectivity of the signal mirror. This is because the signal mirror forms a cavity with the Michelson interferometer and this cavity has a resonant frequency dependent on its length and a bandwidth dependent on its finesse, which are a function of signal mirror position and reflectivity, respectively. Due to the varying and/or unknown nature of the gravitational wave frequencies and wave-forms, it is desirable to be able to control both the peak frequency and bandwidth of the detector. The peak frequency can be easily adjusted by altering the signal mirror position. The bandwidth, however, is fixed with the signal mirror reflectivity. In a long base-line gravitational wave detector it is impractical to swap the signal mirror with one of different reflectivity for a number of reasons, for example, the detector's high vacuum would have to be broken, realignment performed and locking re-acquired. This is addressed by the proposal of two different forms of variable reflectivity signal mirror (VRSM): a Fabry-Perot cavity and a Michelson interferometer. These are analysed and the reasons for choosing to investigate the Michelson VRSM are given. The reasons include the potential for easier control and the smooth variation in reflectivity with arm length difference. The experiment is discussed and the results of the first demonstration of variable reflectivity signal recycling are presented in the form of frequency responses obtained by injecting a second

  19. Optical fiber end-facet polymer suspended-mirror devices

    Science.gov (United States)

    Yao, Mian; Wu, Jushuai; Zhang, A. Ping; Tam, Hwa-Yaw; Wai, P. K. A.

    2017-04-01

    This paper presents a novel optical fiber device based on a polymer suspended mirror on the end facet of an optical fiber. With an own-developed optical 3D micro-printing technology, SU-8 suspended-mirror devices (SMDs) were successfully fabricated on the top of a standard single-mode optical fiber. Optical reflection spectra of the fabricated SU- 8 SMDs were measured and compared with theoretical analysis. The proposed technology paves a way towards 3D microengineering of the small end-facet of optical fibers to develop novel fiber-optic sensors.

  20. Characterization of a next-generation piezo bimorph X-ray mirror for synchrotron beamlines

    Energy Technology Data Exchange (ETDEWEB)

    Alcock, Simon G., E-mail: simon.alcock@diamond.ac.uk; Nistea, Ioana; Sutter, John P.; Sawhney, Kawal [Diamond Light Source, Harwell Science and Innovation Campus, Didcot, Oxfordshire OX11 0DE (United Kingdom); Fermé, Jean-Jacques; Thellièr, Christophe; Peverini, Luca [Thales-SESO, 305 rue Louis Armand, Pôle d’Activités d’Aix les Milles, Aix-en-Provence (France)

    2015-01-01

    A next-generation bimorph mirror with piezos bonded to the side faces of a monolithic substrate was created. When replacing a first-generation bimorph mirror suffering from the junction effect, the new type of mirror significantly improved the size and shape of the reflected synchrotron X-ray beam. No evidence of the junction effect was observed even after eight months of continuous beamline usage. Piezo bimorph mirrors are versatile active optics used on many synchrotron beamlines. However, many bimorphs suffer from the ‘junction effect’: a periodic deformation of the optical surface which causes major aberrations to the reflected X-ray beam. This effect is linked to the construction of such mirrors, where piezo ceramics are glued directly below the thin optical substrate. In order to address this problem, a next-generation bimorph with piezos bonded to the side faces of a monolithic substrate was developed at Thales-SESO and optimized at Diamond Light Source. Using metrology feedback from the Diamond-NOM, the optical slope error was reduced to ∼0.5 µrad r.m.s. for a range of ellipses. To maximize usability, a novel holder was built to accommodate the substrate in any orientation. When replacing a first-generation bimorph on a synchrotron beamline, the new mirror significantly improved the size and shape of the reflected X-ray beam. Most importantly, there was no evidence of the junction effect even after eight months of continuous beamline usage. It is hoped that this new design will reinvigorate the use of active bimorph optics at synchrotron and free-electron laser facilities to manipulate and correct X-ray wavefronts.

  1. Characterization of a next-generation piezo bimorph X-ray mirror for synchrotron beamlines

    International Nuclear Information System (INIS)

    Alcock, Simon G.; Nistea, Ioana; Sutter, John P.; Sawhney, Kawal; Fermé, Jean-Jacques; Thellièr, Christophe; Peverini, Luca

    2015-01-01

    A next-generation bimorph mirror with piezos bonded to the side faces of a monolithic substrate was created. When replacing a first-generation bimorph mirror suffering from the junction effect, the new type of mirror significantly improved the size and shape of the reflected synchrotron X-ray beam. No evidence of the junction effect was observed even after eight months of continuous beamline usage. Piezo bimorph mirrors are versatile active optics used on many synchrotron beamlines. However, many bimorphs suffer from the ‘junction effect’: a periodic deformation of the optical surface which causes major aberrations to the reflected X-ray beam. This effect is linked to the construction of such mirrors, where piezo ceramics are glued directly below the thin optical substrate. In order to address this problem, a next-generation bimorph with piezos bonded to the side faces of a monolithic substrate was developed at Thales-SESO and optimized at Diamond Light Source. Using metrology feedback from the Diamond-NOM, the optical slope error was reduced to ∼0.5 µrad r.m.s. for a range of ellipses. To maximize usability, a novel holder was built to accommodate the substrate in any orientation. When replacing a first-generation bimorph on a synchrotron beamline, the new mirror significantly improved the size and shape of the reflected X-ray beam. Most importantly, there was no evidence of the junction effect even after eight months of continuous beamline usage. It is hoped that this new design will reinvigorate the use of active bimorph optics at synchrotron and free-electron laser facilities to manipulate and correct X-ray wavefronts

  2. Evanescent light-wave atom mirrors, resonators, waveguides, and traps

    International Nuclear Information System (INIS)

    Dowling, J.P.; Gea-Banacloche, J.

    1996-01-01

    For many years, it has been known that light can be used to trap and manipulate small dielectric particles and atoms. In particular, the intense coherent light of lasers has been used to cool neutral atoms down to the micro-Kelvin and now even the nano-Kelvin regimes. At such low temperatures, the de Broglie wavelike character of the atoms becomes pronounced, making it necessary to treat the atoms as wave phenomena. To this end, the study of atom optics has recently developed, in which atom optical elements are fabricated in order to manipulate atoms, while utilizing and preserving the coherence and superposition properties inherent in their wavelike propagation. For example, there has been a concerted effort to study theoretically and produce experimentally the atom optic analogs of photonic optical elements, such as atom beam splitters, atom diffraction gratings, atom lenses, atom interferometers, and-last but not least-atom mirrors. It is light-induced atom mirrors, and their application to making atom resonators, waveguides, and traps, that we shall focus on in this chapter. 133 refs., 26 figs., 1 tab

  3. Epoxy-based broadband anti-reflection coating for millimeter-wave optics

    OpenAIRE

    Rosen, Darin; Suzuki, Aritoki; Keating, Brian; Krantz, William; Lee, Adrian T.; Quealy, Erin; Richards, Paul L.; Siritanasak, Praween; Walker, William

    2013-01-01

    We have developed epoxy-based, broadband anti-reflection coatings for millimeter-wave astrophysics experiments with cryogenic optics. By using multiple-layer coatings where each layer steps in dielectric constant, we achieved low reflection over a wide bandwidth. We suppressed the reflection from an alumina disk to 10% over fractional bandwidths of 92% and 104% using two-layer and three-layer coatings, respectively. The dielectric constants of epoxies were tuned between 2.06 and 7.44 by mixin...

  4. Temperature and flow fields in samples heated in monoellipsoidal mirror furnaces

    Science.gov (United States)

    Rivas, D.; Haya, R.

    The temperature field in samples heated in monoellipsoidal mirror furnaces will be analyzed. The radiation heat exchange between the sample and the mirror is formulated analytically, taking into account multiple reflections at the mirror. It will be shown that the effect of these multiple reflections in the heating process is quite important, and, as a consequence, the effect of the mirror reflectance in the temperature field is quite strong. The conduction-radiation model will be used to simulate the heating process in the floating-zone technique in microgravity conditions; important parameters like the Marangoni number (that drives the thermocapillary flow in the melt), and the temperature gradient at the melt-crystal interface will be estimated. The model will be validated comparing with experimental data. The case of samples mounted in a wall-free configuration (as in the MAXUS-4 programme) will be also considered. Application to the case of compound samples (graphite-silicon-graphite) will be made; the melting of the silicon part and the surface temperature distribution in the melt will be analyzed. Of special interest is the temperature difference between the two graphite rods that hold the silicon part, since it drives the thermocapillary flow in the melt. This thermocapillary flow will be studied, after coupling the previous model with the convective effects. The possibility of counterbalancing this flow by the controlled vibration of the graphite rods will be studied as well. Numerical results show that suppressing the thermocapillary flow can be accomplished quite effectively.

  5. Organic wavelength selective mirrors for luminescent solar concentrators

    NARCIS (Netherlands)

    Verbunt, P.P.C.; Debije, M.G.; Broer, D.J.; Bastiaansen, C.W.M.; Boer, de D.K.G.; Wehrspohn, R.; Gombert, A.

    2012-01-01

    Organic polymeric chiral nematic liquid crystalline (cholesteric) wavelength selective mirrors can increase the efficiency of luminescent solar concentrators (LSCs) when they are illuminated with direct sunlight normal to the device. However, due to the angular dependence of the reflection band, at

  6. Study of the laser-induced damage of reflective components in the sub-picosecond regime

    International Nuclear Information System (INIS)

    Sozet, Martin

    2016-01-01

    In this thesis, laser-induced damage phenomenon of reflective components is investigated in the sub-picosecond regime. These components, made of stacks of dielectric materials, are widely used in powerful laser facilities such as PETAL laser. PETAL laser has been built at the CEA-CESTA in France to deliver multi-kJ/500 fs pulses at 1053 nm and reach a power higher than 6 PW. For this kind of laser systems, reflective components are commonly used instead of optics operating in transmission to limit the accumulation of non-linear phase along the beam propagation due to the high intensities. Optical components irradiated by the highest power densities are the pulse compression gratings, transport mirrors and the focusing parabola, located at the end of the laser chain. Nowadays, laser-induced damage is the main factor that limits the overall performances of powerful laser systems. This manuscript presents three study axes to better understand and control damage phenomenon. The first one concerns the conception of reflective optics for the peta-watt applications. The design of new structures has been investigated to reach high diffraction efficiencies in the case of pulse compression gratings and a high reflectivity in the case of mirrors, while reducing the Electric-field enhancement which is one of the causes of the laser-induced damage. The second axis deals with the development of a precise damage metrology with new testing tools which brings new perspectives and a new viewpoint for the assessment of the laser resistance of optical components. Finally, the third axis concerns the study the damage growth after several irradiations in the sub-picosecond regime. The evolution of the damage area during growth sequences is observed and compared to numerical simulations. It enables to improve the understanding in the growth phenomenon. In the end, these studies will allow to develop predictive models of the laser-induced damage and new tools for the conception of

  7. The Paper Mirror: Understanding Reflective Journaling

    Science.gov (United States)

    Hubbs, Delaura L.; Brand, Charles F.

    2005-01-01

    Although pervasive throughout counseling psychology and other training programs that incorporate experiential activities, reflective journals have sparse, fragmented and disparate theoretical bases to support their use. Coming from the fields of counseling and professional education, the authors use counselor education as a template to explore the…

  8. Age-specific effects of mirror-muscle activity on cross-limb adaptations under mirror and non-mirror visual feedback conditions.

    Directory of Open Access Journals (Sweden)

    Paola eReissig

    2015-12-01

    Full Text Available Cross-limb transfer (CLT describes the observation of bilateral performance gains due to unilateral motor practice. Previous research has suggested that CLT may be reduced, or absent, in older adults, possibly due to age-related structural and functional brain changes. Based on research showing increases in CLT due to the provision of mirror visual feedback (MVF during task execution in young adults, our study aimed to investigate whether MVF can facilitate CLT in older adults, who are known to be more reliant on visual feedback for accurate motor performance. Participants (N = 53 engaged in a short-term training regime (300 movements involving a ballistic finger task using their dominant hand, while being provided with either visual feedback of their active limb, or a mirror reflection of their active limb (superimposed over the quiescent limb. Bilateral performance was examined before, during and following the training. Furthermore, we measured corticospinal excitability (using TMS at these time points, and assessed muscle activity bilaterally during the task via EMG; these parameters were used to investigate the mechanisms mediating and predicting CLT. Training resulted in significant bilateral performance gains that did not differ as a result of age or visual feedback (all ps > 0.1. Training also elicited bilateral increases in corticospinal excitability (p < 0.05. For younger adults, CLT was significantly predicted by performance gains in the trained hand (β = 0.47, whereas for older adults it was significantly predicted by mirror activity in the untrained hand during training (β = 0.60. The present study suggests that older adults are capable of exhibiting CLT to a similar degree to younger adults. The prominent role of mirror activity in the untrained hand for CLT in older adults indicates that bilateral cortical activity during unilateral motor tasks is a compensatory mechanism. In this particular task, MVF did not facilitate the

  9. Electromagnetically induced reflectance and Fano resonance in one dimensional superconducting photonic crystal

    Science.gov (United States)

    Athe, Pratik; Srivastava, Sanjay; Thapa, Khem B.

    2018-04-01

    In the present work, we demonstrate the generation of optical Fano resonance and electromagnetically induced reflectance (EIR) in one-dimensional superconducting photonic crystal (1D SPC) by numerical simulation using transfer matrix method as analysis tool. We investigated the optical response of 1D SPC structure consisting of alternate layer of two different superconductors and observed that the optical spectra of this structure exhibit two narrow reflectance peaks with zero reflectivity of sidebands. Further, we added a dielectric cap layer to this 1D SPC structure and found that addition of dielectric cap layer transforms the line shape of sidebands around the narrow reflectance peaks which leads to the formation of Fano resonance and EIR line shape in reflectance spectra. We also studied the effects of the number of periods, refractive index and thickness of dielectric cap layer on the lineshape of EIR and Fano resonances. It was observed that the amplitude of peak reflectance of EIR achieves 100% reflectance by increasing the number of periods.

  10. Worthwhile optical method for free-form mirrors qualification

    Science.gov (United States)

    Sironi, G.; Canestrari, R.; Toso, G.; Pareschi, G.

    2013-09-01

    We present an optical method for free-form mirrors qualification developed by the Italian National Institute for Astrophysics (INAF) in the context of the ASTRI (Astrofisica con Specchi a Tecnologia Replicante Italiana) Project which includes, among its items, the design, development and installation of a dual-mirror telescope prototype for the Cherenkov Telescope Array (CTA) observatory. The primary mirror panels of the telescope prototype are free-form concave mirrors with few microns accuracy required on the shape error. The developed technique is based on the synergy between a Ronchi-like optical test performed on the reflecting surface and the image, obtained by means of the TraceIT ray-tracing proprietary code, a perfect optics should generate in the same configuration. This deflectometry test allows the reconstruction of the slope error map that the TraceIT code can process to evaluate the measured mirror optical performance at the telescope focus. The advantages of the proposed method is that it substitutes the use of 3D coordinates measuring machine reducing production time and costs and offering the possibility to evaluate on-site the mirror image quality at the focus. In this paper we report the measuring concept and compare the obtained results to the similar ones obtained processing the shape error acquired by means of a 3D coordinates measuring machine.

  11. Motive of the Mirror in the Chechen Text

    Directory of Open Access Journals (Sweden)

    Alexandra V. Butenko

    2017-03-01

    Full Text Available The article discusses the concept of «Chechen text», which represents the local supratext, formed as a result of the literary and journalistic reflection events of the first and second Chechen campaign (1994-1996s, 1999-2009s. V.N.Toporov’s discovery of the Petersburg Text of Russian literature led to the idea of extrapolating of the supratext on other culturally significant loci. The article notes that the mythology and liminality are important features of the supratext, and its integrity forms common elements: the space-time organization, landscape description, through motives. One of these motives is the motive of the mirror, which functions in accordance with the tradition mythopoetic, indicating the border. Crossing through the mirror facet, due to the literary texts by G.Sadulaev, Z.Prilepin, A.Prokhanov symbolizes the immersion in a surreal hostile space, the space of war and violence. The image of a cloudy broken mirror, manifested in the story by P.Zherebtsova «Little angel», is interpreted as a sign of universal misery, destruction of the fundamental laws of life. The article deals with options such as complex semantic mirror, like a bridge, and glass. The implementation of the principle of the mirror vision (analogue mirror – dream may be the subject of a separate study.

  12. Analyses of metallic first mirror samples after long term plasma exposure in Tore Supra

    International Nuclear Information System (INIS)

    Lipa, M.; Schunke, B.; Gil, Ch.; Bucalossi, J.; Voitsenya, V.S.; Konovalov, V.; Vukolov, K.; Balden, M.; De Temmerman, G.; Oelhafen, P.; Litnovsky, A.; Wienhold, P.

    2006-01-01

    Metallic mirrors are foreseen in ITER diagnostic systems as optical elements directly viewing the plasma radiation. In the frame of an EFDA contract, metallic mirror samples have been exposed for long pulse plasma discharges in Tore Supra (TS) in order to investigate surface modifications caused by erosion and re-deposition processes. Three different materials have been selected: mono-crystalline molybdenum (mc-Mo), polycrystalline stainless steel (SS) and copper (Cu). The mc-Mo samples showed after TS exposure almost no surface roughness modifications and the lowest net-erosion. A slight reflectivity reduction, most pronounced in the near UV, is attributed to light absorption in a thin carbon deposit. Cu mirrors showed by far the highest surface roughness, erosion and diffusive reflectivity. Comparative laboratory glow discharge experiments with virgin reference samples and numerical simulations of erosion/deposition confirm the dominant contribution of conditioning procedures to erosion of mirrors exposed (without shutter protection) in Tore Supra

  13. Mirror fusion reactors

    International Nuclear Information System (INIS)

    Carlson, G.A.; Moir, R.W.

    1978-01-01

    We have carried out conceptual design studies of fusion reactors based on the three current mirror confinement concepts: the standard mirror, the tandem mirror, and the field-reversed mirror. Recent studies of the standard mirror have emphasized its potential as a fusion-fission hybrid reactor, designed to produce fission fuel for fission reactors. We have designed a large commercial hybrid based on standard mirror confinement, and also a small pilot plant hybrid. Tandem mirror designs include a commercial 1000 MWe fusion power plant and a nearer term tandem mirror hybrid. Field-reversed mirror designs include a multicell commercial reactor producing 75 MWe and a single cell pilot plant

  14. Mirror fusion reactors

    International Nuclear Information System (INIS)

    Anon.

    1978-01-01

    Conceptual design studies were made of fusion reactors based on the three current mirror-confinement concepts: the standard mirror, the tandem mirror, and the field-reversed mirror. Recent studies of the standard mirror have emphasized its potential as a fusion-fission hybrid reactor, designed to produce fuel for fission reactors. We have designed a large commercial hybrid and a small pilot-plant hybrid based on standard mirror confinement. Tandem mirror designs include a commercial 1000-MWe fusion power plant and a nearer term tandem mirror hybrid. Field-reversed mirror designs include a multicell commercial reactor producing 75 MWe and a single-cell pilot plant

  15. NiCrNx interlayer thickness dependence of spectral performance and environmental durability of protected-silver mirrors

    Science.gov (United States)

    Xu, Xu; Li, Bincheng; He, Wenyan; Wang, Changjun; Wei, Ming

    2018-04-01

    Gemini-style protected-silver mirror (Sub / NiCrNx / Ag / NiCrNx / SiNx / Air) is a suitable choice for optical instruments requiring both long-term environmental durability and high broadband reflectance. Three Gemini-style protected-silver mirrors with NiCrNx interlayer thicknesses between 0.1 and 0.6 nm were prepared by magnetron sputtering, and the dependences of spectral properties and environmental durability of these protected-silver mirrors on the thickness of NiCrNx interlayer between the silver layer and SiNx layer were investigated in-depth. The reflectance, transmittance and total scattering loss measurements, optical microscope, and scanning electron microscope imaging were employed to characterize the spectral properties and surface morphology, and accelerated environmental tests, including humidity test and salt fog test, were applied to investigate the environmental durability. The experimental results showed that both optical and corrosion-resistant properties of protected-silver mirrors were NiCrNx interlayer thickness dependent, and an optimum NiCrNx interlayer thickness should be ˜0.3 nm for Gemini-style protected-silver mirrors to have reasonably both high reflectance in a broadband spectral range from visible to far infrared and good corrosion resistance for long-lifetime applications in harsh environments.

  16. Low reflectance radio frequency load

    Science.gov (United States)

    Ives, R. Lawrence; Mizuhara, Yosuke M

    2014-04-01

    A load for traveling microwave energy has an absorptive volume defined by cylindrical body enclosed by a first end cap and a second end cap. The first end cap has an aperture for the passage of an input waveguide with a rotating part that is coupled to a reflective mirror. The inner surfaces of the absorptive volume consist of a resistive material or are coated with a coating which absorbs a fraction of incident RF energy, and the remainder of the RF energy reflects. The angle of the reflector and end caps is selected such that reflected RF energy dissipates an increasing percentage of the remaining RF energy at each reflection, and the reflected RF energy which returns to the rotating mirror is directed to the back surface of the rotating reflector, and is not coupled to the input waveguide. Additionally, the reflector may have a surface which generates a more uniform power distribution function axially and laterally, to increase the power handling capability of the RF load. The input waveguide may be corrugated for HE11 mode input energy.

  17. Onset of superradiant instabilities in the composed Kerr-black-hole–mirror bomb

    Directory of Open Access Journals (Sweden)

    Shahar Hod

    2014-09-01

    Full Text Available It was first pointed out by Press and Teukolsky that a system composed of a spinning Kerr black hole surrounded by a reflecting mirror may develop instabilities. The physical mechanism responsible for the development of these exponentially growing instabilities is the superradiant amplification of bosonic fields confined between the black hole and the mirror. A remarkable feature of this composed black-hole–mirror-field system is the existence of a critical mirror radius, rmstat, which supports stationary (marginally-stable field configurations. This critical (‘stationary’ mirror radius marks the boundary between stable and unstable black-hole–mirror-field configurations: composed systems whose confining mirror is situated in the region rmrmstat are unstable (that is, there are confined field modes which grow exponentially over time. In the present paper we explore this critical (marginally-stable boundary between stable and explosive black-hole–mirror-field configurations. It is shown that the innermost (smallest radius of the confining mirror which allows the extraction of rotational energy from a spinning Kerr black hole approaches the black-hole horizon radius in the extremal limit of rapidly-rotating black holes. We find, in particular, that this critical mirror radius (which marks the onset of superradiant instabilities in the composed system scales linearly with the black-hole temperature.

  18. Plasma cleaning of ITER edge Thomson scattering mock-up mirror in the EAST tokamak

    Science.gov (United States)

    Yan, Rong; Moser, Lucas; Wang, Baoguo; Peng, Jiao; Vorpahl, Christian; Leipold, Frank; Reichle, Roger; Ding, Rui; Chen, Junling; Mu, Lei; Steiner, Roland; Meyer, Ernst; Zhao, Mingzhong; Wu, Jinhua; Marot, Laurent

    2018-02-01

    First mirrors are the key element of all optical and laser diagnostics in ITER. Facing the plasma directly, the surface of the first mirrors could be sputtered by energetic particles or deposited with contaminants eroded from the first wall (tungsten and beryllium), which would result in the degradation of the reflectivity. The impurity deposits emphasize the necessity of the first mirror in situ cleaning for ITER. The mock-up first mirror system for ITER edge Thomson scattering diagnostics has been cleaned in EAST for the first time in a tokamak using radio frequency capacitively coupled plasma. The cleaning properties, namely the removal of contaminants and homogeneity of cleaning were investigated with molybdenum mirror insets (25 mm diameter) located at five positions over the mock-up plate (center to edge) on which 10 nm of aluminum oxide, used as beryllium proxy, were deposited. The cleaning efficiency was evaluated using energy dispersive x-ray spectroscopy, reflectivity measurements and x-ray photoelectron spectroscopy. Using argon or neon plasma without magnetic field in the laboratory and with a 1.7 T magnetic field in the EAST tokamak, the aluminum oxide films were homogeneously removed. The full recovery of the mirrors’ reflectivity was attained after cleaning in EAST with the magnetic field, and the cleaning efficiency was about 40 times higher than that without the magnetic field. All these results are promising for the plasma cleaning baseline scenario of ITER.

  19. Polarization-Independent Wideband High-Index-Contrast Grating Mirror

    DEFF Research Database (Denmark)

    Bekele, Dagmawi Alemayehu; Park, Gyeong Cheol; Malureanu, Radu

    2015-01-01

    Island-type two-dimensional high-index-contrast grating mirror based on a standard silicon-on-insulator wafer have been experimentally demonstrated. The measured spectra shows a bandwidth of ∼192 nm with a reflectivity over 99% as well as polarization independence. Numerical simulations show...

  20. Plasma accumulation in the HF supplemented mirror trap

    International Nuclear Information System (INIS)

    Dougar-Jabon, V.D.; Golovaniksky, K.S.; Karyaka, V.I.

    1975-01-01

    The confinement of plasma bunches in the mirror trap with the combined barrier at ECR is experimentally studied. Under fulfilment of the phase autofocusing condition the reflection of electrons by the combined barrier is quasiadiabatic and plasma losses are determined by perpendicular diffusion. (Auth.)

  1. Mirror profile optimization for nano-focusing KB mirror

    International Nuclear Information System (INIS)

    Zhang Lin; Baker, Robert; Barrett, Ray; Cloetens, Peter; Dabin, Yves

    2010-01-01

    A KB focusing mirror width profile has been optimized to achieve nano-focusing for the nano-imaging end-station ID22NI at the ESRF. The complete mirror and flexure bender assembly has been modeled in 3D with finite element analysis using ANSYS. Bender stiffness, anticlastic effects and geometrical non-linear effects have been considered. Various points have been studied: anisotropy and crystal orientation, stress in the mirror and bender, actuator resolution and the mirror-bender adhesive bonding... Extremely high performance of the mirror is expected with residual slope error smaller than 0.6 μrad, peak-to-valley, compared to the bent slope of 3000 μrad.

  2. Understanding what is visible in a mirror or through a window before and after updating the position of an object.

    Science.gov (United States)

    Bertamini, Marco

    2014-01-01

    In the Venus effect observers assume that Venus is admiring her own reflection in the mirror (Bertamini et al., 2003a). However, since the observer sees her face in the mirror, Venus is actually looking at the reflection of the painter. This effect is general because it is not specific to paintings or to images of people. This study tests whether people have difficulties in estimating what is visible from a given viewpoint using a paper and pencil task. Participants (N = 80) judged what is visible in a scene that could include a mirror or an aperture. The object in the scene (a train) was already located in front of the mirror or behind the aperture, or the same object had to be imagined to move to that location. The hypothesis was that this extra step (spatial transformation) is always part of how people reason about mirrors because they have to imagine the location of the reflection based on the location of the physical object. If so, this manipulation would equate the difficulty of the mirror and of the aperture conditions. Results show that performance on the paper and pencil task was better than expected, probably because of the asymmetric nature of the object used. However, an additional cost in reasoning about mirrors was confirmed.

  3. Reflection of neutrons from a helicoidal system

    International Nuclear Information System (INIS)

    Aksenov, V.L.; Ignatovich, V.K.; Nikitenko, Yu.V.

    2006-01-01

    Analytical solution for neutron reflection and transmission of magnetic mirrors with helicoidal magnetization is found. The dependence of neutron speed of reflection and transmission curves is shown. Resonant properties of helicoidal systems are found

  4. Timecourse of mirror and counter-mirror effects measured with transcranial magnetic stimulation.

    Science.gov (United States)

    Cavallo, Andrea; Heyes, Cecilia; Becchio, Cristina; Bird, Geoffrey; Catmur, Caroline

    2014-08-01

    The human mirror system has been the subject of much research over the past two decades, but little is known about the timecourse of mirror responses. In addition, it is unclear whether mirror and counter-mirror effects follow the same timecourse. We used single-pulse transcranial magnetic stimulation to investigate the timecourse of mirror and counter-mirror responses in the human brain. Experiment 1 demonstrated that mirror responses can be measured from around 200 ms after observed action onset. Experiment 2 demonstrated significant effects of counter-mirror sensorimotor training at all timepoints at which a mirror response was found in Experiment 1 (i.e. from 200 ms onward), indicating that mirror and counter-mirror responses follow the same timecourse. By suggesting similarly direct routes for mirror and counter-mirror responses, these results support the associative account of mirror neuron origins whereby mirror responses arise as a result of correlated sensorimotor experience during development. More generally, they contribute to theorizing regarding mirror neuron function by providing some constraints on how quickly mirror responses can influence social cognition. © The Author (2013). Published by Oxford University Press. For Permissions, please email: journals.permissions@oup.com.

  5. Design and performance of capping layers for extreme-ultraviolet multilayer mirrors

    International Nuclear Information System (INIS)

    Bajt, Sasa; Chapman, Henry N.; Nguyen, Nhan; Alameda, Jennifer; Robinson, Jeffrey C.; Malinowski, Michael; Gullikson, Eric; Aquila, Andrew; Tarrio, Charles; Grantham, Steven

    2003-01-01

    Multilayer lifetime has emerged as one of the major issues for the commercialization of extreme-ultraviolet lithography (EUVL). We describe the performance of an oxidation-resistant capping layer of Ru atop multilayers that results in a reflectivity above 69% at 13.2 nm, which is suitable for EUVL projection optics and has been tested with accelerated electron-beam and extreme-ultraviolet (EUV) light in a water-vapor environment. Based on accelerated exposure results, we calculated multilayer lifetimes for all reflective mirrors in a typical commercial EUVL tool and concluded that Ru-capped multilayers have ∼40x longer lifetimes than Si-capped multilayers, which translates to 3 months to many years, depending on the mirror dose

  6. Mirroring of fibre ends for the LHCb SciFi project

    CERN Document Server

    Joram, Christian

    2014-01-01

    The relatively low light yields for tracks close to the midplane (y=0) of the SciFi tracker, in particular after radiation damage due to ionizing radiation, suggests to mirror the fibre ends. This note describes a set of tests and measurements in order to establish a viable mirror technology which combines high reflectivity with simplicity and low cost. The following technologies were evaluated: Aluminized Mylar film glued to the fibre ends, 3M Enhanced Specular Reflectance film glued to the fibre ends, Thin Film Aluminium vacuum coated on the fibre ends. The tests show that Aluminized Mylar film is a viable solution fulfilling all SciFi requirements. ESR film leads potentially to a higher reflectivity but its usability when glued to fibre ends could not (yet) be demonstrated. The Thin Film Aluminium coating disqualifies for reasons of cost and complexity without any performance gain. This report is meant as backup document for the LHCb SciFi TDR document to be submitted in February 2014.

  7. Stabilized thermally compensated mirror

    International Nuclear Information System (INIS)

    Dunn, C. III; Tobin, R.D.; Bergstreser, N.E.; Heinz, T.A.

    1975-01-01

    A thermally compensated mirror is described that is formed by a laminated structure. The structure is comprised of a front plate having a reflective front surface and having a plurality of grooves formed in the rear surface for conducting coolant fluid in heat exchanging relation with said reflective surface, a rear plate having coolant inlet and coolant outlet openings extending therethrough, a minimum temperature plate interposed between said front and rear plates and formed with a plurality of coolant distribution passageways coupled to receive coolant fluid from said coolant inlet and oriented to distribute said coolant fluid in a manner to establish a minimum temperature plane parallel to said reflective surface, a temperature stabilization plate interposed between said front plate and said minimum temperature plate and formed with a plurality of coolant distribution channels coupled to receive said coolant fluid after said coolant fluid has passed in heat exchanging relation with said reflective surface and oriented to distribute said coolant fluid in a manner to establish a uniform temperature plane parallel to said reflective surface, and means for circulating said coolant fluid through said structure in a predetermined path. (U.S.)

  8. Calibration of AXAF Mirrors Using Synchrotron Radiation

    Science.gov (United States)

    Graessle, D. E.; Fitch, J.; Harris, B.; Hsieh, P.; Nguyen, D.; Hughes, J.; Schwartz, D.; Blake, R.

    1995-12-01

    Over the past five years, the SAO AXAF Mission Support Team has been developing methods and systems to provide a tunable, narrow-energy-bandwidth calibration of the reflecting efficiency of the AXAF High Resolution Mirror Assembly. A group of synchrotron beamlines at the National Synchrotron Light Source was selected for this calibration. Measurements and analysis are now available for the 2-12 keV energy range. An X-ray beam with energy purity E/Delta E ~ 5000 has been used to calibrate several witness flats which were coated simultaneously with elements of the flight mirror. In the iridium-edge range, (2010-3200 eV), these may be the first measurements ever to be reported. Optical constants for the iridium have been derived from a fit of reflectance versus grazing angle to a Fresnel equation model for the 2-12 keV energy range. The eight AXAF HRMA elements are being coated individually; however reflectance results are quite consistent from coating run to coating run for the first few pieces. The measurement precision is approximately 0.2%-0.4%. Residuals of the fit are nearly always within 1.0% of the data values, in the angle ranges of interest to AXAF.

  9. Improved Mirror Source Method in Roomacoustics

    Science.gov (United States)

    Mechel, F. P.

    2002-10-01

    Most authors in room acoustics qualify the mirror source method (MS-method) as the only exact method to evaluate sound fields in auditoria. But evidently nobody applies it. The reason for this discrepancy is the abundantly high numbers of needed mirror sources which are reported in the literature, although such estimations of needed numbers of mirror sources mostly are used for the justification of more or less heuristic modifications of the MS-method. The present, intentionally tutorial article accentuates the analytical foundations of the MS-method whereby the number of needed mirror sources is reduced already. Further, the task of field evaluation in three-dimensional spaces is reduced to a sequence of tasks in two-dimensional room edges. This not only allows the use of easier geometrical computations in two dimensions, but also the sound field in corner areas can be represented by a single (directional) source sitting on the corner line, so that only this "corner source" must be mirror-reflected in the further process. This procedure gives a drastic reduction of the number of needed equivalent sources. Finally, the traditional MS-method is not applicable in rooms with convex corners (the angle between the corner flanks, measured on the room side, exceeds 180°). In such cases, the MS-method is combined below with the second principle of superposition(PSP). It reduces the scattering task at convex corners to two sub-tasks between one flank and the median plane of the room wedge, i.e., always in concave corner areas where the MS-method can be applied.

  10. Dielectric image line groove antennas for millimeterwaves

    Science.gov (United States)

    Solbach, K.; Wolff, I.

    Grooves in the ground plane of dielectric image lines are proposed as a new radiating structure. A figure is included showing the proposed groove structure as a discontinuity in a dielectric image line. A wave incident on the dielectric image line is partly reflected by the discontinuity, partly transmitted across the groove, and partly radiated into space above the line. In a travelling-wave antenna, a number of grooves are arranged below a dielectric guide, with spacings around one guide wavelength to produce a beam in the upper half space. A prescribed aperture distribution can be effected by tapering the series radiation resistance of the grooves. This can be done by adjusting the depths of the grooves with a constant width or by varying the widths of the grooves with a constant depth. Attention is also given to circular grooves. Here, the widths of the holes are chosen so that they can be considered as waveguides operating far below the cut-off frequency of the fundamental circular waveguide mode.

  11. Self-Reflection

    DEFF Research Database (Denmark)

    Fausing, Bent

    2018-01-01

    Reflecting has a double meaning, mirroring and thinking. The seminar will investigate how these two meanings intervene in each other. As we perceive we are already in pre-refectory state, and thinking involves a lot of not only thoughts, but also of senses and sensing, wherefrom our thoughts star...

  12. Bimorph mirrors: The Good, the Bad, and the Ugly

    Science.gov (United States)

    Alcock, Simon G.; Sutter, John P.; Sawhney, Kawal J. S.; Hall, David R.; McAuley, Katherine; Sorensen, Thomas

    2013-05-01

    Bimorph mirrors are widely used by the X-ray, Laser, Space, and Astronomy communities to focus or collimate photon beams. Applying voltages to the embedded piezo ceramics enables the user to globally bend the optical substrate to a range of figures (including cylindrical, parabolic, and elliptical), and finely correct low spatial frequency errors, thus improving optical performance. Bimorph mirrors are employed on numerous synchrotron X-ray beamlines, including several at Diamond Light Source. However, many such beamlines were not achieving the desired size and shape of the reflected X-ray beam. Metrology data from ex-situ, slope measuring profilometry (using the Diamond-NOM) and in-situ, synchrotron X-ray "pencil-beam" scans, revealed sharp defects on the optical substrate directly above the locations at which the piezo ceramics are bonded together. This so-called "junction effect" has been observed on a variety of bimorph mirrors with different numbers of piezos, substrate length, and thickness. To repair this damage, three pairs of bimorph mirrors were re-polished at Thales-SESO. We review the re-polishing process, and show that it successfully removed the junction effect, and significantly improved beamline performance. Since the internal structure of the bimorph mirrors was not modified during re-polishing, it is hoped that the mirrors will retain their surface quality, and remain operational for many years. We also highlight the combination of super-polishing techniques with bimorph technology to create the "Ultimate" mirror, and discuss a next generation, bimorph mirror which is predicted not to suffer from the junction effect.

  13. Bimorph mirrors: The Good, the Bad, and the Ugly

    International Nuclear Information System (INIS)

    Alcock, Simon G.; Sutter, John P.; Sawhney, Kawal J.S.; Hall, David R.; McAuley, Katherine; Sorensen, Thomas

    2013-01-01

    Bimorph mirrors are widely used by the X-ray, Laser, Space, and Astronomy communities to focus or collimate photon beams. Applying voltages to the embedded piezo ceramics enables the user to globally bend the optical substrate to a range of figures (including cylindrical, parabolic, and elliptical), and finely correct low spatial frequency errors, thus improving optical performance. Bimorph mirrors are employed on numerous synchrotron X-ray beamlines, including several at Diamond Light Source. However, many such beamlines were not achieving the desired size and shape of the reflected X-ray beam. Metrology data from ex-situ, slope measuring profilometry (using the Diamond-NOM) and in-situ, synchrotron X-ray “pencil-beam” scans, revealed sharp defects on the optical substrate directly above the locations at which the piezo ceramics are bonded together. This so-called “junction effect” has been observed on a variety of bimorph mirrors with different numbers of piezos, substrate length, and thickness. To repair this damage, three pairs of bimorph mirrors were re-polished at Thales-SESO. We review the re-polishing process, and show that it successfully removed the junction effect, and significantly improved beamline performance. Since the internal structure of the bimorph mirrors was not modified during re-polishing, it is hoped that the mirrors will retain their surface quality, and remain operational for many years. We also highlight the combination of super-polishing techniques with bimorph technology to create the “Ultimate” mirror, and discuss a next generation, bimorph mirror which is predicted not to suffer from the junction effect

  14. Phase measuring deflectometry. An improved setup for measuring CTA mirror facets

    Energy Technology Data Exchange (ETDEWEB)

    Specovius, Andreas; Eldik, Christopher van; Woernlein, Andre; Ziegler, Alexander [Erlangen Centre for Astroparticle Physics (ECAP) (Germany)

    2016-07-01

    The future Cherenkov Telescope Array (CTA) will consist of up to 100 single telescopes with a total reflecting surface of ∝10.000 m{sup 2} made of numerous mirror facets. Characterizing the surface properties of these facets is quite challenging concerning time and logistics. An efficient way to reliably reconstruct the surface of specular free-forms is Phase Measuring Deflectometry (PMD). PMD is routinely used to characterize the focal distance and point spread function of spherical CTA prototype mirrors. To address the possibility to measure the surface properties of aspherical mirrors, a new PMD setup has recently been built. First experience with this setup is reported.

  15. From Tramp to Traveller: V.S. Naipaul Mirrors Immigrant Experiences in In a Free State

    Directory of Open Access Journals (Sweden)

    Nivedita Misra

    2017-05-01

    Full Text Available There is a scene in ‘One out of Many’ in In A Free State where Santosh, a character from the foothills of Himalaya, looks at himself in the mirror and realises that he is an individual. He takes the step of breaking away from his employer and seeks his own identity in the big city of Washington DC. What does the mirror stand for in the the scene? Is the mirror symptomatic of colonial subservience, or economic servility, or caste demarcations that he must transcend to achieve individuality? Or is the mirror the medium to bridge the gaps between tradition and modernity, community and individuality, faith and rationality? Ironically, Lewis Carroll uses the mirror in Through the Looking Glass to invert the everyday world and reflect on reality. The article compares the text to Lewis Carroll’s Through the Looking Glass in a bid to read Naipaul’s mirroring of immigrant experiences by presenting various personas from and in different parts of the world. Their immigrant experiences differ in specifics but all of them sense alienation from their environment and their communities. The same is reflected in the narrator’s experiences in the Prologue and the Epilogue with the tramp and the traveller being eternally alienated from their fellow travellers.

  16. Measurements of mirror soiling at a candidate CSP site

    CSIR Research Space (South Africa)

    Griffith, DJ

    2013-09-01

    Full Text Available Loss of mirror reflectivity due to soiling at Concentrated Solar Power (CSP) plants is a significant consideration for design and operation of the plant. Increasingly, a bankable case for establishment of a new plant will include an evaluation...

  17. A tunable Fabry-Perot filter (λ/18) based on all-dielectric metamaterials

    Science.gov (United States)

    Ao, Tianhong; Xu, Xiangdong; Gu, Yu; Jiang, Yadong; Li, Xinrong; Lian, Yuxiang; Wang, Fu

    2018-05-01

    A tunable Fabry-Perot filter composed of two separated all-dielectric metamaterials is proposed and numerically investigated. Different from metallic metamaterials reflectors, the all-dielectric metamaterials are constructed by high-permittivity TiO2 cylinder arrays and exhibit high reflection in a broadband of 2.49-3.08 THz. The high reflection is attributed to the first and second Mie resonances, by which the all-dielectric metamaterials can serve as reflectors in the Fabry-Perot filter. Both the results from phase analysis method and CST simulations reveal that the resonant frequency of the as-proposed filter appears at 2.78 THz, responding to a cavity with λ/18 wavelength thickness. Particularly, the resonant frequency can be adjusted by changing the cavity thickness. This work provides a feasible approach to design low-loss terahertz filters with a thin air cavity.

  18. A Research on the Primary Mirror Manipulator of Large Segmented-mirror Telescope

    Science.gov (United States)

    Zuo, H.

    2012-09-01

    Since Galileo firstly used the telescope to observe the sky 400 years ago, the aperture of the telescope has become larger and larger to observe the deeper universe, and the segmented-mirror telescope is becoming more and more popular with increasing aperture. In the early 21st century, a series of segmented-mirror telescopes have been constructed including the Large Sky Area Multi-object Fiber Spectroscopic Telescope (LAMOST) of China. LAMOST is a meridian reflecting Schmidt telescope, and the dimension of the primary mirror is about 6.7 m× 6 m, which is composed of 37 hexagonal sub-mirrors. However, a problem about the mirror installation appears with the increasing aperture. If there are hundreds of sub-mirrors in the telescope, it is a challenging job to mount and dismount them to the truss. This problem is discussed in this paper and a manipulator for the primary mirror of LAMOST is designed to perform the mount and dismount work. In chapter 1, all the segmented-mirror telescopes in the world are introduced and how the sub-mirrors of these telescopes are installed has been investigated. After comparing with the serial and the parallel robot, a serial robot manipulator proposal, which has several redundant degrees of freedom (DOFs), has been chosen from a series of design proposals. In chapter 2, the theoretical analysis has been carried out on the basis of the design proposal, which includes the forward kinematics and the inverse kinematics. Firstly the D-H coordinate is built according to the structure of the manipulator, so it is possible to obtain the end-effector position and orientation from the individual joint motion thanks to the forward kinematics. Because of the redundant DOFs of the manipulator, the inverse kinematics solution can be a very trick task, and the result may not be only, therefore a kind of simulation is carried out to get the numerical solution using ADAMS (Automatic Dynamic Analysis of Mechanical System). In the dynamics analysis the

  19. Achromatic nested Kirkpatrick–Baez mirror optics for hard X-ray nanofocusing

    International Nuclear Information System (INIS)

    Liu, Wenjun; Ice, Gene E.; Assoufid, Lahsen; Liu, Chian; Shi, Bing; Khachatryan, Ruben; Qian, Jun; Zschack, Paul; Tischler, Jonathan Z.; Choi, J.-Y.

    2011-01-01

    A nested Kirkpatrick–Baez mirror pair has been designed, fabricated and tested for achromatic nanofocusing synchrotron hard X-rays. The prototype system achieved a FWHM focal spot of about 150 nm in both horizontal and vertical directions. The first test of nanoscale-focusing Kirkpatrick–Baez (KB) mirrors in the nested (or Montel) configuration used at a hard X-ray synchrotron beamline is reported. The two mirrors are both 40 mm long and coated with Pt to produce a focal length of 60 mm at 3 mrad incident angle, and collect up to a 120 µm by 120 µm incident X-ray beam with maximum angular acceptance of 2 mrad and a broad bandwidth of energies up to 30 keV. In an initial test a focal spot of about 150 nm in both horizontal and vertical directions was achieved with either polychromatic or monochromatic beam. The nested mirror geometry, with two mirrors mounted side-by-side and perpendicular to each other, is significantly more compact and provides higher demagnification than the traditional sequential KB mirror arrangement. Ultimately, nested mirrors can focus larger divergence to improve the diffraction limit of achromatic optics. A major challenge with the fabrication of the required mirrors is the need for near-perfect mirror surfaces near the edge of at least one of the mirrors. Special polishing procedures and surface profile coating were used to preserve the mirror surface quality at the reflecting edge. Further developments aimed at achieving diffraction-limited focusing below 50 nm are underway

  20. Electrocurtain coating process for coating solar mirrors

    Science.gov (United States)

    Kabagambe, Benjamin; Boyd, Donald W.; Buchanan, Michael J.; Kelly, Patrick; Kutilek, Luke A.; McCamy, James W.; McPheron, Douglas A.; Orosz, Gary R.; Limbacher, Raymond D.

    2013-10-15

    An electrically conductive protective coating or film is provided over the surface of a reflective coating of a solar mirror by flowing or directing a cation containing liquid and an anion containing liquid onto the conductive surface. The cation and the anion containing liquids are spaced from, and preferably out of contact with one another on the surface of the reflective coating as an electric current is moved through the anion containing liquid, the conductive surface between the liquids and the cation containing liquid to coat the conductive surface with the electrically conductive coating.

  1. 1.06 μm reflectivity measurements of metal optics

    International Nuclear Information System (INIS)

    Saito, T.T.

    1975-01-01

    The equipment and technique for the measurement of 1.06 μm reflectivity of diamond turned, diamond turned/polished, and polished metal mirrors is presented. From an analysis of repeatability and systematic errors it is concluded the precision of the measurements is 0.003 and the accuracy is 0.005. Diamond turned mirrors compete well with the best 1 μm reflectivity values reported and are superior to polished surfaces studied in this work. (U.S.)

  2. Nonlinear Dynamic Behavior of a Bi-Axial Torsional MEMS Mirror with Sidewall Electrodes

    Directory of Open Access Journals (Sweden)

    Mehmet Ozdogan

    2016-03-01

    Full Text Available Nonlinear dynamic responses of a Micro-Electro-Mechanical Systems (MEMS mirror with sidewall electrodes are presented that are in close agreement with previously-reported experimental data. An analysis of frequency responses reveals softening behavior, and secondary resonances originated from the dominant quadratic nonlinearity. The quadratic nonlinearity is an electromechanical coupling effect caused by the electrostatic force. This effect is reflected in our mathematical model used to simulate the dynamic response of the micro-mirror. The effects of increased forcing and decreased damping on the frequency response are investigated as the mirrors are mostly used in vacuum packages. The results can predict MEMS mirror behaviors in optical devices better than previously-reported models.

  3. Understanding what is visible in a mirror or through a window before and after updating the position of an object.

    Directory of Open Access Journals (Sweden)

    Marco eBertamini

    2014-06-01

    Full Text Available In the Venus effect observers assume that Venus is admiring her own reflection in the mirror (Bertamini et al. 2003. However, since the observer sees her face in the mirror, Venus is actually looking at the reflection of the painter. This effect is general because it is not specific to paintings or to images of people. This study tests whether people have difficulties in estimating what is visible from a given viewpoint using a paper and pencil task. Participants (N=80 judged what is visible in a scene that could include a mirror or an aperture. The object in the scene (a train was already located in front of the mirror or behind the aperture, or the same object had to be imagined to move to that location. The hypothesis was that this extra step (spatial transformation is always part of how people reason about mirrors because they have to imagine the location of the reflection based on the location of the physical object. If so, this manipulation would equate the difficulty of the mirror and of the aperture conditions. Results show that performance on the paper and pencil task was better than expected, probably because of the asymmetric nature of the object used. However, an additional cost in reasoning about mirrors was confirmed.

  4. Metasurface-based anti-reflection coatings at optical frequencies

    Science.gov (United States)

    Monti, Alessio; Alù, Andrea; Toscano, Alessandro; Bilotti, Filiberto

    2018-05-01

    In this manuscript, we propose a metasurface approach for the reduction of electromagnetic reflection from an arbitrary air‑dielectric interface. The proposed technique exploits the exotic optical response of plasmonic nanoparticles to achieve complete cancellation of the field reflected by a dielectric substrate by means of destructive interference. Differently from other, earlier anti-reflection approaches based on nanoparticles, our design scheme is supported by a simple transmission-line formulation that allows a closed-form characterization of the anti-reflection performance of a nanoparticle array. Furthermore, since the working principle of the proposed devices relies on an average effect that does not critically depend on the array geometry, our approach enables low-cost production and easy scalability to large sizes. Our theoretical considerations are supported by full-wave simulations confirming the effectiveness of this design principle.

  5. Low reflectance high power RF load

    Science.gov (United States)

    Ives, R. Lawrence; Mizuhara, Yosuke M.

    2016-02-02

    A load for traveling microwave energy has an absorptive volume defined by cylindrical body enclosed by a first end cap and a second end cap. The first end cap has an aperture for the passage of an input waveguide with a rotating part that is coupled to a reflective mirror. The inner surfaces of the absorptive volume consist of a resistive material or are coated with a coating which absorbs a fraction of incident RF energy, and the remainder of the RF energy reflects. The angle of the reflector and end caps is selected such that reflected RF energy dissipates an increasing percentage of the remaining RF energy at each reflection, and the reflected RF energy which returns to the rotating mirror is directed to the back surface of the rotating reflector, and is not coupled to the input waveguide. Additionally, the reflector may have a surface which generates a more uniform power distribution function axially and laterally, to increase the power handling capability of the RF load. The input waveguide may be corrugated for HE11 mode input energy.

  6. Tentative investigation on neutron mirror fabrication with electroless nickel plating

    International Nuclear Information System (INIS)

    Guo, Jiang; Morita, Shin-ya; Yamagata, Yutaka; Takeda, Shin; Kato, Jun-ichi; Hino, Masahiro; Furusaka, Michihiro

    2013-01-01

    Neutron optics becomes highly required due to the rapid development of neutron technology. In this paper, we attempt to fabricate the neutron mirror by using a metal substrate made of electroless nickel plating to take place of glass concerning about mirror's optical performance and manufacturing method. A new manufacture process chain of neutron mirror is proposed by following the steps of fast milling and precision cutting of aluminium/stainless, electroless nickel plating, ultra-precision cutting by diamond tools, super-smooth polishing and super mirror coating to obtain high form accuracy and good surface roughness time-efficiently. Some tentative investigations are carried out. A workpiece (□ 50 x 50 mm 2 ) with flat surface made of electroless nickel plating is machined by ultra-precision cutting and polishing. The surface roughness with 0.728 nm rms (0.588 nm Ra) is acquired. According to results of reflectometry, the neutron beam can be reflected effectively with high intensity and little scattering. (author)

  7. Reflectivity reduction of retro-reflector installed in LHD due to plasma surface interaction

    International Nuclear Information System (INIS)

    Yoshida, N.; Ohtawa, Y.; Ebihara, A.; Akiyama, T.; Tokitani, M.; Ashikawa, N.; Kawahata, K.

    2008-10-01

    Optical reflectivity of the retro-reflector installed in LHD as the first mirror was reduced seriously by plasma wall interaction. In order to understand the mechanism of the reflectivity reduction, optical and material properties of the mirror surfaces have been examined extensively. It was found that the deposited impurity layers caused the serious reduction of the reflectivity. Formation of iron oxide, bulges structure and He bubbles are the major factors for the reflectivity reduction in the wide wave length range. (author)

  8. Relationships between non-pathological dream-enactment and mirror behaviors.

    Science.gov (United States)

    Nielsen, Tore; Kuiken, Don

    2013-09-01

    Dream-enacting behaviors (DEBs) are behavioral expressions of forceful dream images often occurring during sleep-to-wakefulness transitions. We propose that DEBs reflect brain activity underlying social cognition, in particular, motor-affective resonance generated by the mirror neuron system. We developed a Mirror Behavior Questionnaire (MBQ) to assess some dimensions of mirror behaviors and investigated relationships between MBQ scores and DEBs in a large of university undergraduate cohort. MBQ scores were normally distributed and described by a four-factor structure (Empathy/Emotional Contagion, Behavioral Imitation, Sleepiness/Anger Contagion, Motor Skill Imitation). DEB scores correlated positively with MBQ total and factor scores even with social desirability, somnambulism and somniloquy controlled. Emotion-specific DEB items correlated with corresponding emotion-specific MBQ items, especially crying and smiling. Results provide preliminary evidence for cross-state relationships between propensities for dream-enacting and mirror behaviors--especially behaviors involving motor-affective resonance--and our suggestion that motor-affective resonance mediates dream-enactment imagery during sleep and emotional empathy during waking. Copyright © 2013 Elsevier Inc. All rights reserved.

  9. Laser modes and threshold condition i N-mirror resonator

    DEFF Research Database (Denmark)

    Pedersen, Christian; Skettrup, Torben

    1996-01-01

    Two formal methods for finding laser modes and threshold conditions in laser resonators containing as many as N mirrors are presented. The first method is based on an analysis determining the reflectivity and the transmittivity of an N-mirror system with gain. This is an extension of the classical...... 2 × 2 matrix method. The second method is based on self-consistency equations for the system and directly yields the circulating fields of the individual resonators. A set of rules has been proved to allow these fields to be calculated directly by means of inspection. The laser oscillation condition...

  10. System and method for online inspection of turbines using an optical tube with broadspectrum mirrors

    Science.gov (United States)

    Baleine, Erwan

    2015-12-22

    An optical inspection system for nondestructive internal visual inspection and non-contact infra-red (IR) temperature monitoring of an online, operating power generation turbine. The optical inspection system includes an optical tube having a viewing port, at least one reflective mirror or a mirror array having a reflectivity spectral range from 550 nm to 20 .mu.m, and capable of continuous operation at temperatures greater than 932 degrees Fahrenheit (500 degrees Celsius), and a transparent window with high transmission within the same spectral range mounted distal the viewing port. The same optical mirror array may be used to measure selectively surface temperature of metal turbine blades in the near IR range (approximately 1 .mu.m wavelength) and of thermal barrier coated turbine blades in the long IR range (approximately 10 .mu.m wavelength).

  11. Ion beam sputtered aluminum based multilayer mirrors for extreme ultraviolet solar imaging

    Energy Technology Data Exchange (ETDEWEB)

    Ziani, A. [Laboratoire Charles Fabry, Institut d' Optique, CNRS, Univ Paris Sud, 2 Avenue Augustin Fresnel, 91127 Palaiseau cedex France (France); Centre National d’Etudes Spatiales (CNES), 18 Avenue E. Belin, 31401 Toulouse (France); Delmotte, F., E-mail: Franck.Delmotte@InstitutOptique.fr [Laboratoire Charles Fabry, Institut d' Optique, CNRS, Univ Paris Sud, 2 Avenue Augustin Fresnel, 91127 Palaiseau cedex France (France); Le Paven-Thivet, C. [Institut d' Electronique et de Télécommunications de Rennes (IETR) UMR-CNRS 6164, Université de Rennes 1, UEB, IUT Saint Brieuc, 18 rue Henri Wallon, 22004 Saint Brieuc cedex France (France); Meltchakov, E.; Jérome, A. [Laboratoire Charles Fabry, Institut d' Optique, CNRS, Univ Paris Sud, 2 Avenue Augustin Fresnel, 91127 Palaiseau cedex France (France); Roulliay, M. [Institut des Sciences Moléculaires d’Orsay UMR 8214, Univ Paris Sud, 91405 Orsay France (France); Bridou, F. [Laboratoire Charles Fabry, Institut d' Optique, CNRS, Univ Paris Sud, 2 Avenue Augustin Fresnel, 91127 Palaiseau cedex France (France); Gasc, K. [Centre National d’Etudes Spatiales (CNES), 18 Avenue E. Belin, 31401 Toulouse (France)

    2014-02-03

    In this paper, we report on the design, synthesis and characterization of extreme ultraviolet interferential mirrors for solar imaging applications in the spectral range 17 nm–34 nm. This research is carried out in the context of the preparation of the European Space Agency Solar Orbiter mission. The purpose of this study consists in optimizing the deposition of Al-based multilayers by ion beam sputtering according to several parameters such as the ion beam current and the sputtering angle. After optimization of Al thin films, several kinds of Al-based multilayer mirrors have been compared. We have deposited and characterized bi-material and also tri-material periodic multilayers: aluminum/molybdenum [Al/Mo], aluminum/molybdenum/boron carbide [Al/Mo/B{sub 4}C] and aluminum/molybdenum/silicon carbide [Al/Mo/SiC]. Best experimental results have been obtained on Al/Mo/SiC samples: we have measured reflectivity up to 48% at 17.3 nm and 27.5% at 28.2 nm on a synchrotron radiation source. - Highlights: • Design and synthesis of extreme ultraviolet interferential mirrors. • Optimization of aluminum thin films by adjusting several deposition parameters. • Comparison of results obtained with different types of Al-based multilayer mirrors. • Reflectivity up to 48% at 17.3 nm on a synchrotron radiation source.

  12. Ion beam sputtered aluminum based multilayer mirrors for extreme ultraviolet solar imaging

    International Nuclear Information System (INIS)

    Ziani, A.; Delmotte, F.; Le Paven-Thivet, C.; Meltchakov, E.; Jérome, A.; Roulliay, M.; Bridou, F.; Gasc, K.

    2014-01-01

    In this paper, we report on the design, synthesis and characterization of extreme ultraviolet interferential mirrors for solar imaging applications in the spectral range 17 nm–34 nm. This research is carried out in the context of the preparation of the European Space Agency Solar Orbiter mission. The purpose of this study consists in optimizing the deposition of Al-based multilayers by ion beam sputtering according to several parameters such as the ion beam current and the sputtering angle. After optimization of Al thin films, several kinds of Al-based multilayer mirrors have been compared. We have deposited and characterized bi-material and also tri-material periodic multilayers: aluminum/molybdenum [Al/Mo], aluminum/molybdenum/boron carbide [Al/Mo/B 4 C] and aluminum/molybdenum/silicon carbide [Al/Mo/SiC]. Best experimental results have been obtained on Al/Mo/SiC samples: we have measured reflectivity up to 48% at 17.3 nm and 27.5% at 28.2 nm on a synchrotron radiation source. - Highlights: • Design and synthesis of extreme ultraviolet interferential mirrors. • Optimization of aluminum thin films by adjusting several deposition parameters. • Comparison of results obtained with different types of Al-based multilayer mirrors. • Reflectivity up to 48% at 17.3 nm on a synchrotron radiation source

  13. Depolarization current relaxation process of insulating dielectrics after corona poling under different charging conditions

    Directory of Open Access Journals (Sweden)

    J. W. Zhang

    2017-10-01

    Full Text Available As an insulating dielectric, polyimide is favorable for the application of optoelectronics, electrical insulation system in electric power industry, insulating, and packaging materials in space aircraft, due to its excellent thermal, mechanical and electrical insulating stability. The charge storage profile of such insulating dielectric is utmost important to its application, when it is exposed to electron irradiation, high voltage corona discharge or other treatments. These treatments could induce changes in physical and chemical properties of treated samples. To investigate the charge storage mechanism of the insulating dielectrics after high-voltage corona discharge, the relaxation processes responsible for corona charged polyimide films under different poling conditions were analyzed by the Thermally Stimulated Discharge Currents method (TSDC. In the results of thermal relaxation process, the appearance of various peaks in TSDC spectra provided a deep insight into the molecular status in the dielectric material and reflected stored space charge relaxation process in the insulating polymers after corona discharge treatments. Furthermore, the different space charge distribution status under various poling temperature and different discharge voltage level were also investigated, which could partly reflect the influence of the ambiance condition on the functional dielectrics after corona poling.

  14. Depolarization current relaxation process of insulating dielectrics after corona poling under different charging conditions

    Science.gov (United States)

    Zhang, J. W.; Zhou, T. C.; Wang, J. X.; Yang, X. F.; Zhu, F.; Tian, L. M.; Liu, R. T.

    2017-10-01

    As an insulating dielectric, polyimide is favorable for the application of optoelectronics, electrical insulation system in electric power industry, insulating, and packaging materials in space aircraft, due to its excellent thermal, mechanical and electrical insulating stability. The charge storage profile of such insulating dielectric is utmost important to its application, when it is exposed to electron irradiation, high voltage corona discharge or other treatments. These treatments could induce changes in physical and chemical properties of treated samples. To investigate the charge storage mechanism of the insulating dielectrics after high-voltage corona discharge, the relaxation processes responsible for corona charged polyimide films under different poling conditions were analyzed by the Thermally Stimulated Discharge Currents method (TSDC). In the results of thermal relaxation process, the appearance of various peaks in TSDC spectra provided a deep insight into the molecular status in the dielectric material and reflected stored space charge relaxation process in the insulating polymers after corona discharge treatments. Furthermore, the different space charge distribution status under various poling temperature and different discharge voltage level were also investigated, which could partly reflect the influence of the ambiance condition on the functional dielectrics after corona poling.

  15. Topological mirror superconductivity.

    Science.gov (United States)

    Zhang, Fan; Kane, C L; Mele, E J

    2013-08-02

    We demonstrate the existence of topological superconductors (SCs) protected by mirror and time-reversal symmetries. D-dimensional (D=1, 2, 3) crystalline SCs are characterized by 2(D-1) independent integer topological invariants, which take the form of mirror Berry phases. These invariants determine the distribution of Majorana modes on a mirror symmetric boundary. The parity of total mirror Berry phase is the Z(2) index of a class DIII SC, implying that a DIII topological SC with a mirror line must also be a topological mirror SC but not vice versa and that a DIII SC with a mirror plane is always time-reversal trivial but can be mirror topological. We introduce representative models and suggest experimental signatures in feasible systems. Advances in quantum computing, the case for nodal SCs, the case for class D, and topological SCs protected by rotational symmetries are pointed out.

  16. Modulation of the mirror system by social relevance.

    Science.gov (United States)

    Kilner, James M; Marchant, Jennifer L; Frith, Chris D

    2006-09-01

    When we observe the actions of others, certain areas of the brain are activated in a similar manner as to when we perform the same actions ourselves. This 'mirror system' includes areas in the ventral premotor cortex and the inferior parietal lobule. Experimental studies suggest that action observation automatically elicits activity in the observer, which precisely mirrors the activity observed. In this case we would expect this activity to be independent of observer's viewpoint. Here we use whole-head magnetoencephalography (MEG) to record cortical activity of human subjects whilst they watched a series of videos of an actor making a movement recorded from different viewpoints. We show that one cortical response to action observation (oscillatory activity in the 7-12 Hz frequency range) is modulated by the relationship between the observer and the actor. We suggest that this modulation reflects a mechanism that filters information into the 'mirror system', allowing only socially relevant information to pass.

  17. Tunable Resonant-Cavity-Enhanced Photodetector with Double High-Index-Contrast Grating Mirrors

    DEFF Research Database (Denmark)

    Learkthanakhachon, Supannee; Yvind, Kresten; Chung, Il-Sug

    2013-01-01

    In this paper, we propose a broadband-tunable resonant-cavity-enhanced photodetector (RCE-PD) structure with double high-index-contrast grating (HCG) mirrors and numerically investigate its characteristics. The detector is designed to operate at 1550-nm wavelength. The detector structure consists....... Furthermore, the fact that it can be fabricated on a silicon platform offers us a possibility of integration with electronics.......In this paper, we propose a broadband-tunable resonant-cavity-enhanced photodetector (RCE-PD) structure with double high-index-contrast grating (HCG) mirrors and numerically investigate its characteristics. The detector is designed to operate at 1550-nm wavelength. The detector structure consists...... of a top InP HCG mirror, a p-i-n photodiode embedding multiple quantum wells, and a Si HCG mirror formed in the Si layer of a silicon-on-insulator wafer. The detection wavelength can be changed by moving the top InP HCG mirror suspended in the air. High reflectivity and small penetration length of HCGs...

  18. Reflections on mirror therapy: a systematic review of the effect of mirror visual feedback on the brain.

    Science.gov (United States)

    Deconinck, Frederik J A; Smorenburg, Ana R P; Benham, Alex; Ledebt, Annick; Feltham, Max G; Savelsbergh, Geert J P

    2015-05-01

    Mirror visual feedback (MVF), a phenomenon where movement of one limb is perceived as movement of the other limb, has the capacity to alleviate phantom limb pain or promote motor recovery of the upper limbs after stroke. The tool has received great interest from health professionals; however, a clear understanding of the mechanisms underlying the neural recovery owing to MVF is lacking. We performed a systematic review to assess the effect of MVF on brain activation during a motor task. We searched PubMed, CINAHL, and EMBASE databases for neuroimaging studies investigating the effect of MVF on the brain. Key details for each study regarding participants, imaging methods, and results were extracted. The database search yielded 347 article, of which we identified 33 suitable for inclusion. Compared with a control condition, MVF increases neural activity in areas involved with allocation of attention and cognitive control (dorsolateral prefrontal cortex, posterior cingulate cortex, S1 and S2, precuneus). Apart from activation in the superior temporal gyrus and premotor cortex, there is little evidence that MVF activates the mirror neuron system. MVF increases the excitability of the ipsilateral primary motor cortex (M1) that projects to the "untrained" hand/arm. There is also evidence for ipsilateral projections from the contralateral M1 to the untrained/affected hand as a consequence of training with MVF. MVF can exert a strong influence on the motor network, mainly through increased cognitive penetration in action control, though the variance in methodology and the lack of studies that shed light on the functional connectivity between areas still limit insight into the actual underlying mechanisms. © The Author(s) 2014.

  19. Thermo-stimulated current and dielectric loss in composite materials

    International Nuclear Information System (INIS)

    Nishijima, S.; Hagihara, T.; Okada, T.

    1986-01-01

    Thermo-stimulated current and dielectric loss measurements have been performed on five kinds of commercially available composite materials in order to study the electric properties of composite materials at low temperatures. Thermo-stimulated current measurements have been made on the composite materials in which the matrix quality was changed intentionally. The changes in the matrices were introduced by gamma irradiation or different curing conditions. Thermo-stimulated current and dielectric loss measurements revealed the number and the molecular weight of dipolar molecules. The different features of thermo-stimulated current and dielectric losses were determined for different composite materials. The gamma irradiation and the curing conditions especially affect the thermo-stimulated current features. The changes in macroscopic mechanical properties reflect those of thermo-stimulated current. It was found that the change in quality and/or degradation of the composite materials could be detected by means of thermo-stimulated current and/or dielectric loss measurements

  20. Onset of superradiant instabilities in the composed Kerr-black-hole–mirror bomb

    Energy Technology Data Exchange (ETDEWEB)

    Hod, Shahar [The Ruppin Academic Center, Emeq Hefer 40250 (Israel); The Hadassah Institute, Jerusalem 91010 (Israel)

    2014-09-07

    It was first pointed out by Press and Teukolsky that a system composed of a spinning Kerr black hole surrounded by a reflecting mirror may develop instabilities. The physical mechanism responsible for the development of these exponentially growing instabilities is the superradiant amplification of bosonic fields confined between the black hole and the mirror. A remarkable feature of this composed black-hole–mirror-field system is the existence of a critical mirror radius, r{sub m}{sup stat}, which supports stationary (marginally-stable) field configurations. This critical (‘stationary’) mirror radius marks the boundary between stable and unstable black-hole–mirror-field configurations: composed systems whose confining mirror is situated in the region r{sub m}mirror is situated in the region r{sub m}>r{sub m}{sup stat} are unstable (that is, there are confined field modes which grow exponentially over time). In the present paper we explore this critical (marginally-stable) boundary between stable and explosive black-hole–mirror-field configurations. It is shown that the innermost (smallest) radius of the confining mirror which allows the extraction of rotational energy from a spinning Kerr black hole approaches the black-hole horizon radius in the extremal limit of rapidly-rotating black holes. We find, in particular, that this critical mirror radius (which marks the onset of superradiant instabilities in the composed system) scales linearly with the black-hole temperature.

  1. Final Technical Report - Polymeric Multilayer Infrared Reflecting Mirrors

    Energy Technology Data Exchange (ETDEWEB)

    Reed, John [3M Company, St. Paul, MN (United States)

    2016-09-16

    The goal of this project was to develop a clear, polymeric, multilayer film with an expanded infrared (IR) reflection band which would allow improved rejection of incident IR energy. The IR reflection band is covering the region from about 850 nm to 1830 nm. This film is essentially clear and colorless in the visible portion of the electromagnetic spectra (visible light transmission of about 89%) while reflecting 90-95% of the IR energy over the portion of the spectra indicated above. This film has a nominal thickness of 3 mils, is polymeric in nature (contains no metals, metal oxides, or other material types) and is essentially clear in appearance This film can then be used as a component of other products such as a solar window film, an IR reflecting interlayer for laminated glass, a heat rejecting skylight film, a base film for daylight redirecting products, a greenhouse film, and many more applications. One of the main strengths of this product is that because it is a standalone IR rejecting film, it can be incorporated and retrofitted into many applications that desire or require the transmission of visible light, but want to block other portions of the solar spectra, especially the IR portion. Many of the applications exist in the window glazing product area where this film can provide for substantial energy improvements in applications where visible light is desired.

  2. Matlab fractal techniques used to study the structural degradation caused by alpha radiation to laser mirrors

    Science.gov (United States)

    Ioan, M.-R.

    2018-01-01

    Almost all optical diagnostic systems associated with classical particle accelerators or with new state-of-the-art particle accelerators, such as those developed within the European Collaboration ELI-NP (Extreme Light Infrastructure-Nuclear Physics) (involving extreme power laser beams), contain in their infrastructure high quality laser mirrors, used for their reflectivity and/or their partial transmittance. These high quality mirrors facilitate the extraction and handling of optical signals. When optical mirrors are exposed to high energy ionizing radiation fields, their optical and structural properties will change over time and their functionality will be affected, meaning that they will provide imprecise information. In some experiments, being exposed to mixed laser and accelerated particle beams, the deterioration of laser mirrors is even more acute, since the destruction mechanisms of both types of beams are cumulated. The main task of the work described in this paper was to find a novel specific method to analyse and highlight such degradation processes. By using complex fractal techniques integrated in a MATLAB code, the effects induced by alpha radiation to laser mirrors were studied. The fractal analysis technique represents an alternative approach to the classical Euclidean one. It can be applied for the characterization of the defects occurred in mirrors structure due to their exposure to high energy alpha particle beams. The proposed method may be further integrated into mirrors manufacturing process, as a testing instrument, to obtain better quality mirrors (enhanced resistance to high energy ionizing beams) by using different types of reflective coating materials and different deposition techniques. Moreover, the effect of high energy alpha ionizing particles on the optical properties of the exposed laser mirrors was studied by using spectrophotometric techniques.

  3. Media for Reflection

    DEFF Research Database (Denmark)

    Knudsen, Morten

    2016-01-01

    This article develops the concept media for reflection in the interest of conceptualizing the interpretative frames that enable and limit reflection in management and leadership education. The concept ‘media for reflection’ allows us to conceptualize the social and cultural mediation of reflection...... without reducing reflection to an effect of the social structures and cultural norms in which it is embedded. Based on the developed theoretical framework, this article analyses how a renaissance ‘mirror for princes’ and contemporary research-based management education mediate reflection. The content...... of the mediations is analysed as well as the societal and organizational background. Furthermore, the means by which the two media enable and limit reflection in different ways is compared. Finally, the article discusses possible implications of the analysis in terms of management and leadership education....

  4. Review Essay: Mirror Neurons in the Discourse of Social Sciences

    Directory of Open Access Journals (Sweden)

    Henning Pätzold

    2010-08-01

    Full Text Available Since their discovery in the mid-1990s, mirror neurons have been the subject of continuous discussions in neurosciences as well as in the social sciences. The interest of scientists outside the life sciences in mirror neurons is primarily based on the fact that mirror neurons not only have epistemological meaning, but also seem to play an important role in processes of social insights and emotions, like empathy. With her book, Nadia ZABOURA provides a new contribution from a social and cultural sciences point of view, which critically reflects the discussion on mirror neurons and its consequences on the social sciences and humanities. Starting off from philosophical approaches to the mind-matter-dualism and the question of intersubjectivity, she explores the meaning of mirror neurons for the debate on empathy and communication. By discussing concepts of philosophy and communication sciences as well as current knowledge on mirror neurons, she concludes that they do not provide a stable basis for any material reductionism, which would explain phenomena like intersubjectivity only by recordable neuronal processes. The book refers to a variety of related theories (ranging from DESCARTES through to MEAD and TOMASELLO; these references are inspiring, yet they stay cursory for the most part. All in all the book offers avenues for further inquiry on the issues in focus, and can rather be taken as "tour of suggestions" through the topical field of mirror neurons and the related research. URN: urn:nbn:de:0114-fqs1003245

  5. Real-time defect detection on highly reflective curved surfaces

    Science.gov (United States)

    Rosati, G.; Boschetti, G.; Biondi, A.; Rossi, A.

    2009-03-01

    This paper presents an automated defect detection system for coated plastic components for the automotive industry. This research activity came up as an evolution of a previous study which employed a non-flat mirror to illuminate and inspect high reflective curved surfaces. According to this method, the rays emitted from a light source are conveyed on the surface under investigation by means of a suitably curved mirror. After the reflection on the surface, the light rays are collected by a CCD camera, in which the coating defects appear as shadows of various shapes and dimensions. In this paper we present an evolution of the above-mentioned method, introducing a simplified mirror set-up in order to reduce the costs and the complexity of the defect detection system. In fact, a set of plane mirrors is employed instead of the curved one. Moreover, the inspection of multiple bend radius parts is investigated. A prototype of the machine vision system has been developed in order to test this simplified method. This device is made up of a light projector, a set of plane mirrors for light rays reflection, a conveyor belt for handling components, a CCD camera and a desktop PC which performs image acquisition and processing. Like in the previous system, the defects are identified as shadows inside a high brightness image. At the end of the paper, first experimental results are presented.

  6. New fabrication method for an ellipsoidal neutron focusing mirror with a metal substrate.

    Science.gov (United States)

    Guo, Jiang; Takeda, Shin; Morita, Shin-ya; Hino, Masahiro; Oda, Tatsuro; Kato, Jun-ichi; Yamagata, Yutaka; Furusaka, Michihiro

    2014-10-06

    We propose an ellipsoidal neutron focusing mirror using a metal substrate made with electroless nickel-phosphorus (NiP) plated material for the first time. Electroless NiP has great advantages for realizing an ellipsoidal neutron mirror because of its amorphous structure, good machinability and relatively large critical angle of total reflection for neutrons. We manufactured the mirror by combining ultrahigh precision cutting and fine polishing to generate high form accuracy and low surface roughness. The form accuracy of the mirror was estimated to be 5.3 μm P-V and 0.8 μm P-V for the minor-axis and major-axis direction respectively, while the surface roughness was reduced to 0.2 nm rms. The effect of form error on focusing spot size was evaluated by using a laser beam and the focusing performance of the mirror was verified by neutron experiments.

  7. Super dielectric capacitor using scaffold dielectric

    OpenAIRE

    Phillips, Jonathan

    2018-01-01

    Patent A capacitor having first and second electrodes and a scaffold dielectric. The scaffold dielectric comprises an insulating material with a plurality of longitudinal channels extending across the dielectric and filled with a liquid comprising cations and anions. The plurality of longitudinal channels are substantially parallel and the liquid within the longitudinal channels generally has an ionic strength of at least 0.1. Capacitance results from the migrations of...

  8. High order harmonic generation from plasma mirrors

    International Nuclear Information System (INIS)

    George, H.

    2010-01-01

    When an intense laser beam is focused on a solid target, the target's surface is rapidly ionized and forms dense plasma that reflects the incident field. For laser intensities above few 10 to the power of 15 Wcm -2 , high order harmonics of the laser frequency, associated in the time domain to a train of atto-second pulses (1 as 10 -18 s), can be generated upon this reflection. In this thesis, we developed numerical tools to reveal original aspects of harmonic generation mechanisms in three different interaction regime: the coherent wake emission, the relativistic emission and the resonant absorption. In particular, we established the role of these mechanisms when the target is a very thin foil (thickness of the order of 100 nm). Then we study experimentally the spectral, spatial and coherence properties of the emitted light. We illustrate how to exploit these measurements to get information on the plasma mirror dynamics on the femtosecond and atto-second time scales. Last, we propose a technique for the single-shot complete characterization of the temporal structure of the harmonic light emission from the laser-plasma mirror interaction. (author)

  9. Method of making dielectric capacitors with increased dielectric breakdown strength

    Science.gov (United States)

    Ma, Beihai; Balachandran, Uthamalingam; Liu, Shanshan

    2017-05-09

    The invention is directed to a process for making a dielectric ceramic film capacitor and the ceramic dielectric laminated capacitor formed therefrom, the dielectric ceramic film capacitors having increased dielectric breakdown strength. The invention increases breakdown strength by embedding a conductive oxide layer between electrode layers within the dielectric layer of the capacitors. The conductive oxide layer redistributes and dissipates charge, thus mitigating charge concentration and micro fractures formed within the dielectric by electric fields.

  10. Closely spaced mirror pair for reshaping and homogenizing pump beams in laser amplifiers

    International Nuclear Information System (INIS)

    Bass, I.L.

    1992-12-01

    Channeling a laser beam by multiple reflections between two closely-spaced, parallel or nearly parallel mirrors, serves to reshape and homogenize the beam at the output gap between the mirrors. Application of this device to improve the spatial overlap of a copper laser pump beam with the signal beam in a dye laser amplifier is described. This technique has been applied to the AVLIS program at the Lawrence Livermore National Laboratory

  11. Mirror-based broadband scanner with minimized aberration

    Science.gov (United States)

    Yu, Jiun-Yann; Tzeng, Yu-Yi; Huang, Chen-Han; Chui, Hsiang-Chen; Chu, Shi-Wei

    2009-02-01

    To obtain specific biochemical information in optical scanning microscopy, labeling technique is routinely required. Instead of the complex and invasive sample preparation procedures, incorporating spectral acquisition, which commonly requires a broadband light source, provides another mechanism to enhance molecular contrast. But most current optical scanning system is lens-based and thus the spectral bandwidth is limited to several hundred nanometers due to anti-reflection coating and chromatic aberration. The spectral range of interest in biological research covers ultraviolet to infrared. For example, the absorption peak of water falls around 3 μm, while most proteins exhibit absorption in the UV-visible regime. For imaging purpose, the transmission window of skin and cerebral tissues fall around 1300 and 1800 nm, respectively. Therefore, to extend the spectral bandwidth of an optical scanning system from visible to mid-infrared, we propose a system composed of metallic coated mirrors. A common issue in such a mirror-based system is aberrations induced by oblique incidence. We propose to compensate astigmatism by exchanging the sagittal and tangential planes of the converging spherical mirrors in the scanning system. With the aid of an optical design software, we build a diffraction-limited broadband scanning system with wavefront flatness better than λ/4 at focal plane. Combined with a mirror-based objective this microscopic system will exhibit full spectral capability and will be useful in microscopic imaging and therapeutic applications.

  12. Metal-in-metal localized surface plasmon resonance

    Energy Technology Data Exchange (ETDEWEB)

    Smith, G B; Earp, A A, E-mail: g.smith@uts.edu.au [Department of Physics and Advanced Materials and Institute of Nanoscale Technology, University of Technology, Sydney, PO Box 123, Broadway NSW 2007 (Australia)

    2010-01-08

    Anomalous strong resonances in silver and gold nanoporous thin films which conduct are found to arise from isolated metal nano-islands separated from the surrounding percolating metal network by a thin loop of insulator. This observed resonant optical response is modelled. The observed peak position is in agreement with the observed average dimensions of the silver core and insulator shell. As the insulating ring thickness shrinks, the resonance moves to longer wavelengths and strengthens. This structure is the Babinet's principle counterpart of dielectric core-metal shell nanoparticles embedded in dielectric. Like for the latter, tuning of resonant absorption is possible, but here the matrix reflects rather than transmits, and tuning to longer wavelengths is more practical. A new class of metal mirror occurring as a single thin layer is identified using the same resonances in dense metal mirrors. Narrow band deep localized dips in reflectance result.

  13. Metal-in-metal localized surface plasmon resonance

    Science.gov (United States)

    Smith, G. B.; Earp, A. A.

    2010-01-01

    Anomalous strong resonances in silver and gold nanoporous thin films which conduct are found to arise from isolated metal nano-islands separated from the surrounding percolating metal network by a thin loop of insulator. This observed resonant optical response is modelled. The observed peak position is in agreement with the observed average dimensions of the silver core and insulator shell. As the insulating ring thickness shrinks, the resonance moves to longer wavelengths and strengthens. This structure is the Babinet's principle counterpart of dielectric core-metal shell nanoparticles embedded in dielectric. Like for the latter, tuning of resonant absorption is possible, but here the matrix reflects rather than transmits, and tuning to longer wavelengths is more practical. A new class of metal mirror occurring as a single thin layer is identified using the same resonances in dense metal mirrors. Narrow band deep localized dips in reflectance result.

  14. GLASS AND SILICON FOILS FOR X-RAY SPACE TELESCOPE MIRRORS

    Directory of Open Access Journals (Sweden)

    M. MIKA

    2011-12-01

    Full Text Available Unique observations delivered by space X-ray imaging telescopes have been significantly contributing to important discoveries of current astrophysics. The telescopes’ most crucial part is a high throughput, heavily nested mirror array reflecting X-rays and focusing them to a detector. Future astronomical projects on large X-ray telescopes require novel materials and technologies for the construction of the reflecting mirrors. The future mirrors must be lightweight and precisely shaped to achieve large collecting area with high angular resolution of a few arc sec. The new materials and technologies must be cost-effective as well. Currently, the most promising materials are glass or silicon foils which are commercially produced on a large scale. A thermal forming process was used for the precise shaping of these foils. The forced and free slumping of the foils was studied in the temperature range of hot plastic deformation and the shapes obtained by the different slumping processes were compared. The shapes and the surface quality of the foils were measured by a Taylor Hobson contact profilemeter, a ZYGO interferometer and Atomic Forced Microscopy. In the experiments, both heat-treatment temperature and time were varied following our experiment design. The obtained data and relations can be used for modelling and optimizing the thermal forming procedure.

  15. Laser cleaning of diagnostic mirrors from tokamak-like carbon contaminants

    Energy Technology Data Exchange (ETDEWEB)

    Maffini, A., E-mail: alessandro.maffini@polimi.it [Dipartimento di Energia, Politecnico di Milano, Milan (Italy); Uccello, A. [Dipartimento di Energia, Politecnico di Milano, Milan (Italy); Dellasega, D. [Dipartimento di Energia, Politecnico di Milano, Milan (Italy); Istituto di Fisica del Plasma, Consiglio Nazionale delle Ricerche, EURATOM-ENEA-CNR Association, Milan (Italy); Russo, V. [Dipartimento di Energia, Politecnico di Milano, Milan (Italy); Perissinotto, S. [Center for Nano Science and Technology @ Polimi, Istituto Italiano di Tecnologia, Milan (Italy); Passoni, M. [Dipartimento di Energia, Politecnico di Milano, Milan (Italy); Istituto di Fisica del Plasma, Consiglio Nazionale delle Ricerche, EURATOM-ENEA-CNR Association, Milan (Italy)

    2015-08-15

    This paper presents a laboratory-scale experimental investigation of laser cleaning of diagnostic First Mirrors (FMs). Redeposition of contaminants sputtered from tokamak first wall onto FMs surface could dramatically decrease their reflectivity in an unacceptable way for the functioning of the plasma diagnostic systems. Laser cleaning is a promising solution to tackle this issue. In this work, pulsed laser deposition was exploited to produce rhodium films functional as FMs and to deposit onto them carbon contaminants with tailored features, resembling those found in tokamaks. The same laser system was also used to perform laser cleaning experiments by means of a sample handling procedure that allows to clean some cm{sup 2} in few minutes. The cleaning effectiveness was evaluated in terms of specular reflectivity recovery and mirror surface integrity. The effect of different laser wavelengths (λ = 1064, 266 nm) on the cleaning process is also addressed.

  16. Amorphous Metals and Composites as Mirrors and Mirror Assemblies

    Science.gov (United States)

    Hofmann, Douglas C. (Inventor); Davis, Gregory L. (Inventor); Agnes, Gregory S. (Inventor); Shapiro, Andrew A. (Inventor)

    2016-01-01

    A mirror or mirror assembly fabricated by molding, pressing, assembling, or depositing one or more bulk metal glass (BMG), bulk metal glass composite (BMGMC), or amorphous metal (AM) parts and where the optical surface and backing of the mirror can be fabricated without machining or polishing by utilizing the unique molding capabilities of this class of materials.

  17. Mirror machine reactors

    International Nuclear Information System (INIS)

    Carlson, G.A.; Moir, R.W.

    1976-01-01

    Recent mirror reactor conceptual design studies are described. Considered in detail is the design of ''standard'' Yin-Yang fusion power reactors with classical and enhanced confinement. It is shown that to be economically competitive with estimates for other future energy sources, mirror reactors require a considerable increase in Q, or major design simplifications, or preferably both. These improvements may require a departure from the ''standard'' configuration. Two attractive possibilities, both of which would use much of the same physics and technology as the ''standard'' mirror, are the field reversed mirror and the end-stoppered mirror

  18. Improved mirror coatings for use in the Lyman Ultraviolet to enhance astronomical instrument capabilities

    Science.gov (United States)

    Quijada, Manuel A.; del Hoyo, Javier; Boris, David R.; Walton, Scott G.

    2017-09-01

    This paper will describe efforts at developing broadband mirror coatings with high performance that will extend from infrared wavelengths down to the Far-Ultraviolet (FUV) spectral region. These mirror coatings would be realized by passivating the surface of freshly made aluminum coatings with fluorine ions in order to form a thin AlF3 overcoat that will protect the aluminum from oxidation and, hence, realize the high-reflectance of this material down to its intrinsic cut-off wavelength of 90 nm. Improved reflective coatings for optics, particularly in the FUV region (90-120 nm), could yield dramatically more sensitive instruments and permit more instrument design freedom.

  19. Black metal thin films by deposition on dielectric antireflective moth-eye nanostructures

    DEFF Research Database (Denmark)

    Christiansen, Alexander Bruun; Caringal, Gideon Peter; Clausen, Jeppe Sandvik

    2015-01-01

    Although metals are commonly shiny and highly reflective, we here show that thin metal films appear black when deposited on a dielectric with antireflective moth-eye nanostructures. The nanostructures were tapered and close-packed, with heights in the range 300-600 nm, and a lateral, spatial...... frequency in the range 5-7 mu m(-1). A reflectance in the visible spectrum as low as 6%, and an absorbance of 90% was observed for an Al film of 100 nm thickness. Corresponding experiments on a planar film yielded 80% reflectance and 20% absorbance. The observed absorbance enhancement is attributed...... to a gradient effect causing the metal film to be antireflective, analogous to the mechanism in dielectrics and semiconductors. We find that the investigated nanostructures have too large spatial frequency to facilitate efficient coupling to the otherwise non-radiating surface plasmons. Applications...

  20. Radiation from a moving mirror in two dimensional space-time: conformal anomaly

    International Nuclear Information System (INIS)

    Fulling, S.A.; Davies, P.C.W.

    1976-01-01

    The energy-momentum tensor is calculated in the two dimensional quantum theory of a massless scalar field influenced by the motion of a perfectly reflecting boundary (mirror). The simple model system evidently can provide insight into more sophisticated processes, such as particle production in cosmological models and exploding black holes. In spite of the conformally static nature of the problem, the vacuum expectation value of the tensor for an arbitrary mirror trajectory exhibits a non-vanishing radiation flux (which may be readily computed). The expectation value of the instantaneous energy flux is negative when the proper acceleration of the mirror is increasing, but the total energy radiated during a bounded mirror motion is positive. A uniformly accelerating mirror does not radiate; however, the quantization does not coincide with the treatment of that system as a 'static universe'. The calculation of the expectation value requires a regularization procedure of covariant separation of points (in products of field operators) along time-like geodesics; more naive methods do not yield the same answers. A striking example involving two mirrors clarifies the significance of the conformal anomaly. (author)

  1. Classical mirror symmetry

    CERN Document Server

    Jinzenji, Masao

    2018-01-01

    This book furnishes a brief introduction to classical mirror symmetry, a term that denotes the process of computing Gromov–Witten invariants of a Calabi–Yau threefold by using the Picard–Fuchs differential equation of period integrals of its mirror Calabi–Yau threefold. The book concentrates on the best-known example, the quintic hypersurface in 4-dimensional projective space, and its mirror manifold. First, there is a brief review of the process of discovery of mirror symmetry and the striking result proposed in the celebrated paper by Candelas and his collaborators. Next, some elementary results of complex manifolds and Chern classes needed for study of mirror symmetry are explained. Then the topological sigma models, the A-model and the B-model, are introduced. The classical mirror symmetry hypothesis is explained as the equivalence between the correlation function of the A-model of a quintic hyper-surface and that of the B-model of its mirror manifold. On the B-model side, the process of construct...

  2. Dichroic mirror embedded in a submicrometer waveguide for enhanced resonant nonlinear optical devices.

    Science.gov (United States)

    Scaccabarozzi, Luigi; Fejer, M M; Huo, Yijie; Fan, Shanhui; Yu, Xiaojun; Harris, James S

    2006-11-15

    We report the design, fabrication and characterization of novel dichroic mirrors embedded in a tightly confining AlGaAs/Al(x)O(y) waveguide. Reflection at the first-harmonic wavelength as high as 93% is achieved, while high transmission is maintained at the second-harmonic wavelength. The measured cavity spectrum is in excellent agreement with finite-difference time-domain simulations. Such a mirror is essential for achieving resonant enhancement of second-harmonic generation.

  3. Plasma cleaning of ITER first mirrors

    Science.gov (United States)

    Moser, L.; Marot, L.; Steiner, R.; Reichle, R.; Leipold, F.; Vorpahl, C.; Le Guern, F.; Walach, U.; Alberti, S.; Furno, I.; Yan, R.; Peng, J.; Ben Yaala, M.; Meyer, E.

    2017-12-01

    Nuclear fusion is an extremely attractive option for future generations to compete with the strong increase in energy consumption. Proper control of the fusion plasma is mandatory to reach the ambitious objectives set while preserving the machine’s integrity, which requests a large number of plasma diagnostic systems. Due to the large neutron flux expected in the International Thermonuclear Experimental Reactor (ITER), regular windows or fibre optics are unusable and were replaced by so-called metallic first mirrors (FMs) embedded in the neutron shielding, forming an optical labyrinth. Materials eroded from the first wall reactor through physical or chemical sputtering will migrate and will be deposited onto mirrors. Mirrors subject to net deposition will suffer from reflectivity losses due to the deposition of impurities. Cleaning systems of metallic FMs are required in more than 20 optical diagnostic systems in ITER. Plasma cleaning using radio frequency (RF) generated plasmas is currently being considered the most promising in situ cleaning technique. An update of recent results obtained with this technique will be presented. These include the demonstration of cleaning of several deposit types (beryllium, tungsten and beryllium proxy, i.e. aluminium) at 13.56 or 60 MHz as well as large scale cleaning (mirror size: 200 × 300 mm2). Tests under a strong magnetic field up to 3.5 T in laboratory and first experiments of RF plasma cleaning in EAST tokamak will also be discussed. A specific focus will be given on repetitive cleaning experiments performed on several FM material candidates.

  4. Exposure of metal mirrors in the scrape-off layer of TEXTOR

    International Nuclear Information System (INIS)

    Wienhold, P.; Litnovsky, A.; Philipps, V.; Schweer, B.; Sergienko, G.; Oelhafen, P.; Ley, M.; De Temmerman, G.; Schneider, W.; Hildebrandt, D.; Laux, M.; Rubel, M.; Emmoth, B.

    2005-01-01

    Large molybdenum mirrors have been exposed in the SOL of TEXTOR in order to simulate conditions relevant for ITER optical components. Distortions of the reflectivity - increase as well as decrease - are found in the erosion and deposition dominated areas, respectively. The changes are most pronounced in the near UV and level off in the IR and can partly be attributed to observed surface changes. A novel periscope system was installed and mirrors exposed in a pilot experiment to simulate the transmission of light to distant sensors in ITER

  5. Semi-analytical model for a slab one-dimensional photonic crystal

    Science.gov (United States)

    Libman, M.; Kondratyev, N. M.; Gorodetsky, M. L.

    2018-02-01

    In our work we justify the applicability of a dielectric mirror model to the description of a real photonic crystal. We demonstrate that a simple one-dimensional model of a multilayer mirror can be employed for modeling of a slab waveguide with periodically changing width. It is shown that this width change can be recalculated to the effective refraction index modulation. The applicability of transfer matrix method of reflection properties calculation was demonstrated. Finally, our 1-D model was employed to analyze reflection properties of a 2-D structure - a slab photonic crystal with a number of elliptic holes.

  6. Tandem mirror and field-reversed mirror experiments

    Energy Technology Data Exchange (ETDEWEB)

    Coensgen, F.H.; Simonen, T.C.; Turner, W.C.

    1979-08-21

    This paper is largely devoted to tandem mirror and field-reversed mirror experiments at the Lawrence Livermore Laboratory (LLL), and briefly summarizes results of experiments in which field-reversal has been achieved. In the tandem experiment, high-energy, high-density plasmas (nearly identical to 2XIIB plasmas) are located at each end of a solenoid where plasma ions are electrostatically confined by the high positive poentials arising in the end plug plasma. End plug ions are magnetically confined, and electrons are electrostatically confined by the overall positive potential of the system. The field-reversed mirror reactor consists of several small field-reversed mirror plasmas linked together for economic reasons. In the LLL Beta II experiment, generation of a field-reversed plasma ring will be investigated using a high-energy plasma gun with a transverse radial magnetic field. This plasma will be further heated and sustained by injection of intense, high-energy neutral beams.

  7. Development in LIYaF of the method of polarized thermal neutron beam production by mirror reflection

    International Nuclear Information System (INIS)

    Borovikova, N.V.; Bulkin, A.P.; Gukasov, A.G.

    1980-01-01

    Main stages of development of polarizing neutron guide equipment in LIYaF of the USSR Academy of Sciences are described. To carry out experiments on solid-state physics constructed was a working mock-up of a polarizing neutron guide having 1570 mm length of a mirror channel. Successful application of polarizing mirrors to the working mock-up permitted to develop and fabricate five-meter polarizing neutron guide with output flux equal to 1.5x10 7 neutr/cm 2 xs. The following stage of development of polarizing neutron guides was the construction of four-meter neutron guide at the WWR-M reactor with output flux equal to the highest possible. Improvement of optical sections geometry made it possible to produce integral flux of 6.0x10 7 neutr/cm 2 xs in this neutron guide at 15 MW reactor power. The results obtained testify to prospects of the mirror method for polarization of thermal neutrons of a wave length lambda >= A. Neutron guides-polarizators permit to produce high fluxes of polarized thermal neutrons in the wide interval of wave length [ru

  8. Active optics and the axisymmetric case: MINITRUST wide-field three-reflection telescopes with mirrors aspherized from tulip and vase forms

    Science.gov (United States)

    Lemaitre, Gerard R.; Montiel, Pierre; Joulie, Patrice; Dohlen, Kjetil; Lanzoni, Patrick

    2004-09-01

    Wide-field astronomy requires larger size telescopes. Compared to the catadioptric Schmidt, the optical properties of a three mirror telescope provides significant advantages. (1) The flat field design is anastigmatic at any wavelength, (2) the system is extremely compact -- four times shorter than a Schmidt -- and, (3) compared to a Schmidt with refractive corrector -- requiring the polishing of three optical surfaces --, the presently proposed Modified-Rumsey design uses all of eight available free parameters of a flat fielded anastigmatic three mirror telescope for mirrors generated by active optics methods. Compared to a Rumsey design, these parameters include the additional slope continuity condition at the primary-tertiary link for in-situ stressing and aspherization from a common sphere. Then, active optics allows the polishing of only two spherical surfaces: the combined primary-tertiary mirror and the secondary mirror. All mirrors are spheroids of the hyperboloid type. This compact system is of interest for space and ground-based astronomy and allows to built larger wide-field telescopes such as demonstrated by the design and construction of identical telescopes MINITRUST-1 and -2, f/5 - 2° FOV, consisting of an in-situ stressed double vase form primary-tertiary and of a stress polished tulip form secondary. Optical tests of these telescopes, showing diffraction limited images, are presented.

  9. Development of a new neutron mirror made of deuterated Diamond-like carbon

    International Nuclear Information System (INIS)

    Sakurai, Dai; Chiba, Junsei; Shimizu, Hirohiko M; Nishimura, Daiki; Ino, Takashi; Kaneko, Naokatsu; Muto, Suguru; Kakusho, Nobunori; Seki, Yoshichika; Katayama, Ryo; Kitaguchi, Masaaki; Mishima, Kenji; Yamashita, Satoru; Ozeki, Kazuhide; Yoshioka, Tamaki

    2014-01-01

    We developed a new neutron mirror made of Diamond-like carbon (DLC). DLC is a film of amorphous carbon that has characteristics of both diamond and graphite. We produced DLC mirrors by ionization deposition method which is one of the chemical vapor deposition (CVD). Generally, DLC made by CVD contents a few tens of percentages of hydrogen. It decreases the Fermi potential of the DLC coating because hydrogen has negative Fermi potential. In order to increase the Fermi potential of the coating, we deuterated the DLC by using deuterated benzene for the source gas. The characteristics of the deuterated DLC(DDLC) coating was evaluated by RBS, ERDA, x-ray reflectivity, AFM. As a result, DDLC coating has 243 neV due to deuteration, which is the same level as Ni. The RMS of height of the DDLC was 0.6nm so that the DDLC coating can be applied for a focusing mirror or specular transportation of pulsed neutron. Besides, we also develop Hydrogen/Deuterium DLC multiple layer mirror. So far, 4 layers mirror has been succeeded.

  10. Technology of mirror machines: LLL facilities for magnetic mirror fusion experiments

    International Nuclear Information System (INIS)

    Batzer, T.H.

    1977-01-01

    Significant progress in plasma confinement and temperature has been achieved in the 2XIIB facility at Livermore. These encouraging results, and their theoretical corroboration, have provided a firm basis for the design of a new generation of magnetic mirror experiments, adding support to the mirror concept of a fusion reactor. Two new mirror experiments have been proposed to succeed the currently operating 2XIIB facility. The first of these called TMX (Tandem Mirror Experiment) has been approved and is currently under construction. TMX is designed to utilize the intrinsic positive plasma potential of two strong, and relatively small, minimum B mirror cells to enhance the confinement of a much larger, magnetically weaker, centrally-located mirror cell. The second facility, MFTF (Mirror Fusion Test Facility), is currently in preliminary design with line item approval anticipated for FY 78. MFTF is designed primarily to exploit the experimental and theoretical results derived from 2XIIB. Beyond that, MFTF will develop the technology for the transition from the present small mirror experiments to large steady-state devices such as the mirror FERF/FTR. The sheer magnitude of the plasma volume, magnetic field, neutral beam power, and vacuum pumping capacity, particularly in the case of MFTF, has placed new and exciting demands on engineering technology. An engineering overview of MFTF, TMX, and associated MFE activities at Livermore will be presented

  11. Development of Mirror Coatings for Gravitational Wave Detectors

    Directory of Open Access Journals (Sweden)

    Stuart Reid

    2016-11-01

    Full Text Available The first detections of gravitational waves, GW150914 and GW151226, were associated with the coalescence of stellar mass black holes, heralding the opening of an entirely new way to observe the Universe. Many decades of development were invested to achieve the sensitivities required to observe gravitational waves, with peak strains associated with GW150914 at the level of 10−21. Gravitational wave detectors currently operate as modified Michelson interferometers, where thermal noise associated with the highly reflective mirror coatings sets a critical limit to the sensitivity of current and future instruments. This article presents an overview of the mirror coating development relevant to gravitational wave detection and the prospective for future developments in the field.

  12. FACT. Normalized and asynchronous mirror alignment for Cherenkov telescopes

    Energy Technology Data Exchange (ETDEWEB)

    Mueller, Sebastian Achim [ETH Zurich (Switzerland); Buss, Jens [TU Dortmund (Germany)

    2016-07-01

    Imaging Atmospheric Cherenkov Telescopes (IACTs) need fast and large imaging optics to map the faint Cherenkov light emitted in cosmic ray air showers onto their image sensors. Segmented reflectors are inexpensive, lightweight and offer good image quality. However, alignment of the mirror facets remains a challenge. A good alignment is crucial in IACT observations to separate gamma rays from hadronic cosmic rays. We present a star tracking alignment method which is not restricted to clear nights. It normalizes the mirror facet reflections to be independent of the reference star or the cloud coverage. It records asynchronously of the telescope drive which makes the method easy to integrate in existing telescopes. It can be combined with remote facet actuation, but it does not need one to work. Furthermore, it can reconstruct all individual mirror facet point spread functions. We present the method and alignment results on the First Geiger-mode Photo Diode Avalanche Cherenkov Telescope (FACT) on the Canary Island of La Palma, Spain.

  13. Detection of Ammonia-Oxidizing Bacteria (AOB) Using a Porous Silicon Optical Biosensor Based on a Multilayered Double Bragg Mirror Structure.

    Science.gov (United States)

    Zhang, Hongyan; Lv, Jie; Jia, Zhenhong

    2018-01-01

    We successfully demonstrate a porous silicon (PS) double Bragg mirror by electrochemical etching at room temperature as a deoxyribonucleic acid (DNA) label-free biosensor for detecting ammonia-oxidizing bacteria (AOB). Compared to various other one-dimension photonic crystal configurations of PS, the double Bragg mirror structure is quite easy to prepare and exhibits interesting optical properties. The width of high reflectivity stop band of the PS double Bragg mirror is about 761 nm with a sharp and deep resonance peak at 1328 nm in the reflectance spectrum, which gives a high sensitivity and distinguishability for sensing performance. The detection sensitivity of such a double Bragg mirror structure is illustrated through the investigation of AOB DNA hybridization in the PS pores. The redshifts of the reflectance spectra show a good linear relationship with both complete complementary and partial complementary DNA. The lowest detection limit for complete complementary DNA is 27.1 nM and the detection limit of the biosensor for partial complementary DNA is 35.0 nM, which provides the feasibility and effectiveness for the detection of AOB in a real environment. The PS double Bragg mirror structure is attractive for widespread biosensing applications and provides great potential for the development of optical applications.

  14. [Motion control of moving mirror based on fixed-mirror adjustment in FTIR spectrometer].

    Science.gov (United States)

    Li, Zhong-bing; Xu, Xian-ze; Le, Yi; Xu, Feng-qiu; Li, Jun-wei

    2012-08-01

    The performance of the uniform motion of the moving mirror, which is the only constant motion part in FTIR spectrometer, and the performance of the alignment of the fixed mirror play a key role in FTIR spectrometer, and affect the interference effect and the quality of the spectrogram and may restrict the precision and resolution of the instrument directly. The present article focuses on the research on the uniform motion of the moving mirror and the alignment of the fixed mirror. In order to improve the FTIR spectrometer, the maglev support system was designed for the moving mirror and the phase detection technology was adopted to adjust the tilt angle between the moving mirror and the fixed mirror. This paper also introduces an improved fuzzy PID control algorithm to get the accurate speed of the moving mirror and realize the control strategy from both hardware design and algorithm. The results show that the development of the moving mirror motion control system gets sufficient accuracy and real-time, which can ensure the uniform motion of the moving mirror and the alignment of the fixed mirror.

  15. Scanning fiber microdisplay: design, implementation, and comparison to MEMS mirror-based scanning displays.

    Science.gov (United States)

    Khayatzadeh, Ramin; Civitci, Fehmi; Ferhanoglu, Onur; Urey, Hakan

    2018-03-05

    In this study, we propose a compact, lightweight scanning fiber microdisplay towards virtual and augmented reality applications. Our design that is tailored as a head-worn-display simply consists of a four-quadrant piezoelectric tube actuator through which a fiber optics cable is extended and actuated, and a reflective (or semi-reflective) ellipsoidal surface that relays the moving tip of the fiber onto the viewer's retina. The proposed display, offers significant advantages in terms of architectural simplicity, form-factor, fabrication complexity and cost over other fiber scanner and MEMS mirror counterparts towards practical realization. We demonstrate the display of various patterns with ∼VGA resolution and further provide analytical formulas for mechanical and optical constraints to compare the performance of the proposed scanning fiber microdisplay with that of MEMS mirror-based microdisplays. Also we discuss the road steps towards improving the performance of the proposed scanning fiber microdisplay to high-definition video formats (such as HD1440), which is beyond what has been achieved by MEMS mirror based laser scanning displays.

  16. Nightmare frequency is related to a propensity for mirror behaviors.

    Science.gov (United States)

    Nielsen, Tore; Powell, Russell A; Kuiken, Don

    2013-12-01

    We previously reported that college students who indicated engaging in frequent dream-enacting behaviors also scored high on a new measure of mirror behaviors, which is the propensity to imitate another person's emotions or actions. Since dream-enacting behaviors are frequently the culmination of nightmares, one explanation for the observed relationship is that individuals who frequently display mirror behaviors are also prone to nightmares. We used the Mirror Behavior Questionnaire (MBQ) and self-reported frequencies of nightmares to assess this possibility. A sample of 480 students, consisting of 188 males (19.2±1.73 years) and 292 females (19.0±1.55 years) enrolled in a first-year university psychology course, participated for course credit. They completed a battery of questionnaires that included the 16-item MBQ, plus an item about nightmare frequency (NMF) in the past 30 days. NMF scores were split to create low, medium, and high NMF groups. MBQ total scores were significantly higher for female than for male subjects, but an interaction revealed that this was true only for Hi-NMF subjects. MBQ Factor 4, Motor Skill Imitation, paralleled this global interaction for females, whereas MBQ Factor 3, Sleepiness/Anger Contagion, was elevated only for Hi-NMF males. Item analyses indicated that Hi- and Med-NMF females scored higher than Lo-NMF females on the 3 items of Factor 4 that reflect voluntary imitation (imitating famous/cartoon voices, being a physically active spectator, and learning new skills by observing), as well as on 2 other items that reflect involuntary imitation (contagious yawning and self-rated empathy). Although Hi- and Lo-NMF males differed most clearly on the sleepiness item of Factor 3, all 3 items on this factor (including anger contagion and contagious yawning) are plausibly associated with perception of and response to social threat. Results provide evidence that among females nightmares are associated with voluntary and involuntary mirror

  17. When mirroring is both simple and smart: How mimicry can be embodied, adaptive, and non-representational

    Directory of Open Access Journals (Sweden)

    Evan Walker Carr

    2014-07-01

    Full Text Available The concept of mirroring has become rather ubiquitous. One of the most fundamental empirical and theoretical debates within research on mirroring concerns the role of mental representations: While some models argue that higher-order representational mechanisms underpin most cases of mirroring, other models argue that they only moderate a primarily non-representational process. As such, even though research on mirroring—along with its neural substrates, including the putative mirror neuron system—has grown tremendously, so too has confusion about what it actually means to mirror. Using recent research on spontaneous imitation, we argue that flexible mirroring effects can be fully embodied and dynamic—even in the absence of higher-order mental representations. We propose that mirroring can simply reflect an adaptive integration and utilization of cues obtained from the brain, body, and environment, which is especially evident within the social context. Such a view offers reconciliation among both representational and non-representational frameworks in cognitive neuroscience, which will facilitate revised interpretations of modern (and seemingly divergent findings on when and how these embodied mirroring responses are employed.

  18. Disclosed dielectric and electromechanical properties of hydrogenated nitrile–butadiene dielectric elastomer

    International Nuclear Information System (INIS)

    Yang, Dan; Tian, Ming; Dong, Yingchao; Liu, Haoliang; Yu, Yingchun; Zhang, Liqun

    2012-01-01

    This paper presents a comprehensive study of the effects of acrylonitrile content, crosslink density and plasticization on the dielectric and electromechanical performances of hydrogenated nitrile–butadiene dielectric elastomer. It was found that by increasing the acrylonitrile content of hydrogenated nitrile–butadiene dielectric elastomer, the dielectric constant will be improved accompanied with a sharp decrease of electrical breakdown strength leading to a small actuated strain. At a fixed electric field, a high crosslink density increased the elastic modulus of dielectric elastomer, but it also enhanced the electrical breakdown strength leading to a high actuated strain. Adding a plasticizer into the dielectric elastomer decreased the dielectric constant and electrical breakdown strength slightly, but reduced the elastic modulus sharply, which was beneficial for obtaining a large strain at low electric field from the dielectric elastomer. The largest actuated strain of 22% at an electric field of 30 kV mm −1 without any prestrain was obtained. Moreover, the hydrogenated nitrile–butadiene dielectric actuator showed good history dependence. This proposed material has great potential to be an excellent dielectric elastomer. (paper)

  19. A novel mirror diversity receiver for indoor MIMO visible light

    KAUST Repository

    Park, Ki-Hong

    2016-03-01

    In this paper, we propose and study a non-imaging receiver design reducing the correlation of channel matrix for indoor multiple-input multiple-output (MIMO) visible light communication (VLC) systems. Contrary to previous works, our proposed mirror diversity receiver (MDR) not only blocks the reception of light on one specific direction but also improves the channel gain on the other direction by receiving the light reflected by a mirror deployed between the photodetectors. We analyze the channel capacity and optimal height of mirror in terms of maximum channel capacity for a 2 -by-2 MIMO-VLC system in a 2-dimensional geometric model.We prove that this constructive and destructive effects in channel matrix resulting from our proposed MDR are more beneficial to obtain well-conditioned channel matrix which is suitable for implementing spatial-multiplexing MIMO-VLC systems in order to support high data rate.

  20. A large coaxial reflection cell for broadband dielectric characterization of coarse-grained materials

    Science.gov (United States)

    Bore, Thierry; Bhuyan, Habibullah; Bittner, Tilman; Murgan, Vignesh; Wagner, Norman; Scheuermann, Alexander

    2018-01-01

    Knowledge of the frequency-dependent electromagnetic properties of coarse-grained materials is imperative for the successful application of high frequency electromagnetic measurement techniques for near and subsurface monitoring. This paper reports the design, calibration and application of a novel one-port large coaxial cell for broadband complex permittivity measurements of civil engineering materials. It was designed to allow the characterization of heterogeneous material with large aggregate dimensions (up to 28 mm) over a frequency range from 1 MHz-860 MHz. In the first step, the system parameters were calibrated using the measured scattering function in a perfectly known dielectric material in an optimization scheme. In the second step, the method was validated with measurements made on standard liquids. Then the performance of the cell was evaluated on a compacted coarse-grained soil. The dielectric spectra were obtained by means of fitting the measured scattering function using a transverse electromagnetic mode propagation model considering the frequency-dependent complex permittivity. Two scenarios were systematically analyzed and compared. The first scenario consisted of a broadband generalized dielectric relaxation model with two Cole-Cole type relaxation processes related to the interaction of the aqueous phase and the solid phase, a constant high frequency contribution as well as an apparent direct current conductivity term. The second scenario relied on a three-phase theoretical mixture equation which was used in a forward approach in order to calibrate the model. Both scenarios provide almost identical results for the broadband effective complex relative permittivity. The combination of both scenarios suggests the simultaneous estimation of water content, density, bulk and pore water conductivity for road base materials for in situ applications.

  1. Daytime Water Detection Based on Sky Reflections

    Science.gov (United States)

    Rankin, Arturo; Matthies, Larry; Bellutta, Paolo

    2011-01-01

    A water body s surface can be modeled as a horizontal mirror. Water detection based on sky reflections and color variation are complementary. A reflection coefficient model suggests sky reflections dominate the color of water at ranges > 12 meters. Water detection based on sky reflections: (1) geometrically locates the pixel in the sky that is reflecting on a candidate water pixel on the ground (2) predicts if the ground pixel is water based on color similarity and local terrain features. Water detection has been integrated on XUVs.

  2. An Electromechanical Model for a Dielectric ElectroActive Polymer Generator

    DEFF Research Database (Denmark)

    Dimopoulos, Emmanouil; Trintis, Ionut; Munk-Nielsen, Stig

    2013-01-01

    Smart electroactive materials have attracted much of the scientific interest over the past few years, as they reflect a quite promising alternative to conservative approaches used nowadays in various transducer applications. Especially Dielectric ElectroActive Polymers (DEAPs), which are constantly...

  3. Manufacture of mirrors by NC machining of EEM

    International Nuclear Information System (INIS)

    Hongo, Toshio; Azuma, Yasuo; Kato, Haruo; Hoshino, Hideo

    1981-01-01

    In the X-ray optical system for the photon factory facility being constructed now in the National Laboratory for High Energy Physics, total reflection mirrors occupy important position. The shapes of mirrors are both plane and curved surface, and the sizes are various. Especially concerning hard X-ray, the required accuracy of the shapes and surface roughness is high. Thereupon mirrors were machined by elastic emission machining (EEM) developed by Mori et al. of Osaka University, and the flatness and surface roughness were examined. The materials machined were Pyrex and copper, the mirror finish of which is difficult. The results are reported. In this machining method, the liquid in which very fine powder is uniformly dispersed and suspended in water was used. By approaching a rotating urethane ball to a work surface, the gap of about 1 μm was formed between them utilizing fluid bearing-like flow arising there. The machining was carried out by colliding the fine particles in suspension to a minute region of the work surface. In order to obtain an arbitrary curved surface, the numerical control according to the variable controling the amount of machining was made. In the case of glasses, the amount of machining was able to be controlled to about 0.01 μm. As for polycrystalline copper, the machining was difficult, and the suitable conditions must be sought hereafter. (Kako, I.)

  4. X-ray scattering measurements from thin-foil x-ray mirrors

    DEFF Research Database (Denmark)

    Christensen, Finn Erland; BYRNAK, BP; Hornstrup, Allan

    1992-01-01

    Thin foil X-ray mirrors are to be used as the reflecting elements in the telescopes of the X-ray satellites Spectrum-X-Gamma (SRG) and ASTRO-D. High resolution X-ray scattering measurements from the Au coated and dip-lacquered Al foils are presented. These were obtained from SRG mirrors positioned...... in a test quadrant of the telescope structure and from ASTRO-D foils held in a simple fixture. The X-ray data is compared with laser data and other surface structure data such as STM, atomic force microscopy (AFM), TEM, and electron micrography. The data obtained at Cu K-alpha(1), (8.05 keV) from all...

  5. Dielectric constant of polarizable, nonpolar fluids and suspensions

    International Nuclear Information System (INIS)

    Cichocki, B.; Felderhof, B.U.

    1988-01-01

    We study the dielectric constant of a polarizable, nonpolar fluid or suspension of spherical particles by use of a renormalized cluster expansion.The particles may have induced multipole moments of any order. We show that the Clausius-Mossotti formula results from a virtual overlap contribution. The corrections to the Clausius-Mossotti formula are expressed with the aid of a cluster expansion. The integrands of the cluster integrals are expressed in terms of two-body nodal connectors which incorporate all reflections between a pair of particles. We study the two- and three-body cluster integrals in some detail and show how these are related to the dielectric virial expansion and to the first term of the Kirkwood-Yvon expansion

  6. Optical cavity cooling of mechanical modes of a semiconductor nanomembrane

    DEFF Research Database (Denmark)

    Usami, Koji; Naesby, A.; Bagci, Tolga

    2012-01-01

    Mechanical oscillators can be optically cooled using a technique known as optical-cavity back-action. Cooling of composite metal–semiconductor mirrors, dielectric mirrors and dielectric membranes has been demonstrated. Here we report cavity cooling of mechanical modes in a high-quality-factor and......Mechanical oscillators can be optically cooled using a technique known as optical-cavity back-action. Cooling of composite metal–semiconductor mirrors, dielectric mirrors and dielectric membranes has been demonstrated. Here we report cavity cooling of mechanical modes in a high...

  7. Mirror and (absence of) counter-mirror responses to action sounds measured with TMS.

    Science.gov (United States)

    Ticini, Luca F; Schütz-Bosbach, Simone; Waszak, Florian

    2017-11-01

    To what extent is the mirror neuron mechanism malleable to experience? The answer to this question can help characterising its ontogeny and its role in social cognition. Some suggest that it develops through sensorimotor associations congruent with our own actions. Others argue for its extreme volatility that will encode any sensorimotor association in the environment. Here, we added to this debate by exploring the effects of short goal-directed 'mirror' and 'counter-mirror' trainings (a 'mirror' training is defined as the first type of training encountered by the participants) on human auditory mirror motor-evoked potentials (MEPs). We recorded MEPs in response to two tones void of previous motor meaning, before and after mirror and counter-mirror trainings in which participants generated two tones of different pitch by performing free-choice button presses. The results showed that mirror MEPs, once established, were protected against an equivalent counter-mirror experience: they became manifest very rapidly and the same number of training trials that lead to the initial association did not suffice to reverse the MEP pattern. This steadiness of the association argues that, by serving direct-matching purposes, the mirror mechanism is a good solution for social cognition. © The Author (2017). Published by Oxford University Press.

  8. U. S. Mirror Program

    International Nuclear Information System (INIS)

    Fowler, T.K.

    1978-01-01

    The mirror approach is now the principal alternate to the tokamak in the U.S. magnetic fusion energy program. The program is now focused on two new concepts that can obtain high values of Q, defined as the ratio of fusion power output to the neutral beam power injected to sustain the reaction. These are the tandem mirror and field reversed mirror concepts. Theoretically both concepts should be able to attain Q = 5 or more, as compared with Q approximately 1 in previous mirror designs. Success with either or both of these approaches would point the way toward fusion power plants with many attractive features. The linear geometry of mirror systems offers a distinct alternative to the toroidal tokamak. As a direct consequence of this difference in geometry, it is generally possible to build mirror systems in smaller units of modular construction that can probably be made to operate in steady-state. During the next 5 years the main mirror facilities in the U.S. will be the 2XIIB (renamed Beta II); a tandem mirror experiment caled TMX; and the Mirror Fusion Test Facility (MFTF) scheduled to be completed in 1981 at a cost of $94 million. As a background for discussing this program and mirror reactor concepts in later lectures, the current status of mirror physics will be reviewed by comparing theory and experimental data in four critical areas. These are adiabatic confinement of individual ions, electron heat losses out of the ends of the machine, the achievement of beta values of order unity; and stabilization of ''loss cone'' modes

  9. COMPASS mirror wall of RICH 1

    CERN Multimedia

    Patrice Loïez

    2001-01-01

    The COMPASS experiment uses ring imaging Cherenkov (RICH) counters to identify particles produced in high-energy muon collisions, to better understand the spin structure of the nucleon. Charged particles moving faster than the speed of light in the medium through which they are travelling emit a cone of Cherenkov radiation in the direction of their motion. The light in this cone is reflected from these mirrors onto a photo detector so that the size of the cone can be measured, which gives the energy of the particle.

  10. Using the DP-190 glue for adhesive attachment of a large space mirror and its rim

    Science.gov (United States)

    Vlasenko, Oleg; Zverev, Alexey; Sachkov, Mikhail

    2014-07-01

    The glue DP-190 is widely used for adhesive attachment of astrositall (zerodur) lightweight large-size space astronomical mirrors (diameter of 1.7 m and more) with elements of their frames of invar. Peculiarities of physicalmechanical behavior of the glue DP-190 when exposed to the environment during the ground operation and in orbit cause instability of the reflective surface quality of mirrors. In this report we show that even a small (around 1%-5%) volumetric deformation of a cylindrical adhesive layer with a thickness of 0.8 mm between the mirror and the rim element causes significant mirrors deformation. We propose to use adhesive layer of special form that allows to reduce volumetric deformations of the glue DP-190 up to three times. Here we present results based on primary mirror tests of the WSO-UV project.

  11. Highly reflective polymeric substrates functionalized utilizing atomic layer deposition

    Science.gov (United States)

    Zuzuarregui, Ana; Coto, Borja; Rodríguez, Jorge; Gregorczyk, Keith E.; Ruiz de Gopegui, Unai; Barriga, Javier; Knez, Mato

    2015-08-01

    Reflective surfaces are one of the key elements of solar plants to concentrate energy in the receivers of solar thermal electricity plants. Polymeric substrates are being considered as an alternative to the widely used glass mirrors due to their intrinsic and processing advantages, but optimizing both the reflectance and the physical stability of polymeric mirrors still poses technological difficulties. In this work, polymeric surfaces have been functionalized with ceramic thin-films by atomic layer deposition. The characterization and optimization of the parameters involved in the process resulted in surfaces with a reflection index of 97%, turning polymers into a real alternative to glass substrates. The solution we present here can be easily applied in further technological areas where seemingly incompatible combinations of polymeric substrates and ceramic coatings occur.

  12. Highly reflective polymeric substrates functionalized utilizing atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zuzuarregui, Ana, E-mail: a.zuzuarregui@nanogune.eu; Gregorczyk, Keith E. [CIC Nanogune Consolider, de Tolosa Hiribidea 76, 20018 San Sebastián (Spain); Coto, Borja; Ruiz de Gopegui, Unai; Barriga, Javier [IK4-Tekniker, Iñaki Goenaga 5, 20600 Eibar (Spain); Rodríguez, Jorge [Torresol Energy (SENER Group), Avda. de Zugazarte 61, 48930 Las Arenas (Spain); Knez, Mato [CIC Nanogune Consolider, de Tolosa Hiribidea 76, 20018 San Sebastián (Spain); IKERBASQUE Basque Foundation for Science, Maria Diaz de Haro 3, 48013 Bilbao (Spain)

    2015-08-10

    Reflective surfaces are one of the key elements of solar plants to concentrate energy in the receivers of solar thermal electricity plants. Polymeric substrates are being considered as an alternative to the widely used glass mirrors due to their intrinsic and processing advantages, but optimizing both the reflectance and the physical stability of polymeric mirrors still poses technological difficulties. In this work, polymeric surfaces have been functionalized with ceramic thin-films by atomic layer deposition. The characterization and optimization of the parameters involved in the process resulted in surfaces with a reflection index of 97%, turning polymers into a real alternative to glass substrates. The solution we present here can be easily applied in further technological areas where seemingly incompatible combinations of polymeric substrates and ceramic coatings occur.

  13. Highly reflective polymeric substrates functionalized utilizing atomic layer deposition

    International Nuclear Information System (INIS)

    Zuzuarregui, Ana; Gregorczyk, Keith E.; Coto, Borja; Ruiz de Gopegui, Unai; Barriga, Javier; Rodríguez, Jorge; Knez, Mato

    2015-01-01

    Reflective surfaces are one of the key elements of solar plants to concentrate energy in the receivers of solar thermal electricity plants. Polymeric substrates are being considered as an alternative to the widely used glass mirrors due to their intrinsic and processing advantages, but optimizing both the reflectance and the physical stability of polymeric mirrors still poses technological difficulties. In this work, polymeric surfaces have been functionalized with ceramic thin-films by atomic layer deposition. The characterization and optimization of the parameters involved in the process resulted in surfaces with a reflection index of 97%, turning polymers into a real alternative to glass substrates. The solution we present here can be easily applied in further technological areas where seemingly incompatible combinations of polymeric substrates and ceramic coatings occur

  14. My Body and Its Reflection: A Case Study of Eight Dance Students and the Mirror in the Ballet Classroom

    Science.gov (United States)

    Radell, Sally Anne; Keneman, Margaret Lynn; Adame, Daniel D.; Cole, Steven P.

    2014-01-01

    This qualitative study investigated the impact of the mirror on a dancer's body image. Two groups of students enrolled in beginner ballet classes were taught the same classroom material; one group was taught with mirrors, the other, without. At the end of the semester four students from each class were randomly selected to participate in a private…

  15. The mirror neuron system.

    Science.gov (United States)

    Cattaneo, Luigi; Rizzolatti, Giacomo

    2009-05-01

    Mirror neurons are a class of neurons, originally discovered in the premotor cortex of monkeys, that discharge both when individuals perform a given motor act and when they observe others perform that same motor act. Ample evidence demonstrates the existence of a cortical network with the properties of mirror neurons (mirror system) in humans. The human mirror system is involved in understanding others' actions and their intentions behind them, and it underlies mechanisms of observational learning. Herein, we will discuss the clinical implications of the mirror system.

  16. Computation of Dielectric Response in Molecular Solids for High Capacitance Organic Dielectrics.

    Science.gov (United States)

    Heitzer, Henry M; Marks, Tobin J; Ratner, Mark A

    2016-09-20

    The dielectric response of a material is central to numerous processes spanning the fields of chemistry, materials science, biology, and physics. Despite this broad importance across these disciplines, describing the dielectric environment of a molecular system at the level of first-principles theory and computation remains a great challenge and is of importance to understand the behavior of existing systems as well as to guide the design and synthetic realization of new ones. Furthermore, with recent advances in molecular electronics, nanotechnology, and molecular biology, it has become necessary to predict the dielectric properties of molecular systems that are often difficult or impossible to measure experimentally. In these scenarios, it is would be highly desirable to be able to determine dielectric response through efficient, accurate, and chemically informative calculations. A good example of where theoretical modeling of dielectric response would be valuable is in the development of high-capacitance organic gate dielectrics for unconventional electronics such as those that could be fabricated by high-throughput printing techniques. Gate dielectrics are fundamental components of all transistor-based logic circuitry, and the combination high dielectric constant and nanoscopic thickness (i.e., high capacitance) is essential to achieving high switching speeds and low power consumption. Molecule-based dielectrics offer the promise of cheap, flexible, and mass producible electronics when used in conjunction with unconventional organic or inorganic semiconducting materials to fabricate organic field effect transistors (OFETs). The molecular dielectrics developed to date typically have limited dielectric response, which results in low capacitances, translating into poor performance of the resulting OFETs. Furthermore, the development of better performing dielectric materials has been hindered by the current highly empirical and labor-intensive pace of synthetic

  17. Optical fringe-reflection deflectometry with bundle adjustment

    Science.gov (United States)

    Xiao, Yong-Liang; Li, Sikun; Zhang, Qican; Zhong, Jianxin; Su, Xianyu; You, Zhisheng

    2018-06-01

    Liquid crystal display (LCD) screens are located outside of a camera's field of view in fringe-reflection deflectometry. Therefore, fringes that are displayed on LCD screens are obtained through specular reflection by a fixed camera. Thus, the pose calibration between the camera and LCD screen is one of the main challenges in fringe-reflection deflectometry. A markerless planar mirror is used to reflect the LCD screen more than three times, and the fringes are mapped into the fixed camera. The geometrical calibration can be accomplished by estimating the pose between the camera and the virtual image of fringes. Considering the relation between their pose, the incidence and reflection rays can be unified in the camera frame, and a forward triangulation intersection can be operated in the camera frame to measure three-dimensional (3D) coordinates of the specular surface. In the final optimization, constraint-bundle adjustment is operated to refine simultaneously the camera intrinsic parameters, including distortion coefficients, estimated geometrical pose between the LCD screen and camera, and 3D coordinates of the specular surface, with the help of the absolute phase collinear constraint. Simulation and experiment results demonstrate that the pose calibration with planar mirror reflection is simple and feasible, and the constraint-bundle adjustment can enhance the 3D coordinate measurement accuracy in fringe-reflection deflectometry.

  18. Improved performance of Mg–Y alloy thin film switchable mirrors after coating with a superhydrophobic surface

    International Nuclear Information System (INIS)

    La, Mao; Zhou, Huaijuan; Li, Ning; Xin, Yunchuan; Sha, Ren; Bao, Shanhu; Jin, Ping

    2017-01-01

    Highlights: • The PTFE films was prepared for use as the top layer of Mg–Y/Pd switchable mirrors. • The PTFE as an antireflection layer to improve the luminous transmission, and also to enhance the switching durability of the switchable mirrors. • The PTFE film has a superhydrophobic surface, which endows the Mg–Y/Pd switchable mirrors with self-cleaning properties. - Abstract: The magnesium based switchable mirrors can reversibly change their optical properties between the transparent and the reflective state as a result of hydrogenation and dehydrogenation. These films can potentially be applied as new energy-saving windows, by controlling the transmittance of solar radiation through the regulation of their reflective state. In this study, magnesium–yttrium (Mg–Y) alloy thin films were prepared using a DC magnetron sputtering method. However, the luminous transmittance in the transparent state and the switching durability of switchable mirrors are too poor to satisfy practical demands. In order to improve the films switching durability, luminous transmittance and the surface functionalization, polytetrafluoroethylene (PTFE) was coated with thermal vacuum deposition for use as the top layer of Mg–Y/Pd switchable mirrors. The PTFE layer had a porous network structure and exhibited a superhydrophobic surface with a water contact angle of approximately 152°. By characterization, PTFE thin films shows the excellent protection role against the oxidization of Mg, the switching durability of the films were improved 3 times, and also shows the antireflection role the luminous transmission of films was enhanced by 7% through the top covered with PTFE.

  19. Improved performance of Mg–Y alloy thin film switchable mirrors after coating with a superhydrophobic surface

    Energy Technology Data Exchange (ETDEWEB)

    La, Mao [Department of Chemistry and Environmental Science, Inner Mongolia Normal University, Hohhot, Inner Mongolia, 010020 (China); State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai, 200050 (China); Zhou, Huaijuan; Li, Ning; Xin, Yunchuan [State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai, 200050 (China); Sha, Ren, E-mail: sr@imnu.edu.cn [Department of Chemistry and Environmental Science, Inner Mongolia Normal University, Hohhot, Inner Mongolia, 010020 (China); Bao, Shanhu, E-mail: shanhu.bao@mail.sic.ac.cn [State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai, 200050 (China); Jin, Ping [State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai, 200050 (China)

    2017-05-01

    Highlights: • The PTFE films was prepared for use as the top layer of Mg–Y/Pd switchable mirrors. • The PTFE as an antireflection layer to improve the luminous transmission, and also to enhance the switching durability of the switchable mirrors. • The PTFE film has a superhydrophobic surface, which endows the Mg–Y/Pd switchable mirrors with self-cleaning properties. - Abstract: The magnesium based switchable mirrors can reversibly change their optical properties between the transparent and the reflective state as a result of hydrogenation and dehydrogenation. These films can potentially be applied as new energy-saving windows, by controlling the transmittance of solar radiation through the regulation of their reflective state. In this study, magnesium–yttrium (Mg–Y) alloy thin films were prepared using a DC magnetron sputtering method. However, the luminous transmittance in the transparent state and the switching durability of switchable mirrors are too poor to satisfy practical demands. In order to improve the films switching durability, luminous transmittance and the surface functionalization, polytetrafluoroethylene (PTFE) was coated with thermal vacuum deposition for use as the top layer of Mg–Y/Pd switchable mirrors. The PTFE layer had a porous network structure and exhibited a superhydrophobic surface with a water contact angle of approximately 152°. By characterization, PTFE thin films shows the excellent protection role against the oxidization of Mg, the switching durability of the films were improved 3 times, and also shows the antireflection role the luminous transmission of films was enhanced by 7% through the top covered with PTFE.

  20. The influence of neutron-irradiation at low temperatures on the dielectric parameters of 3C-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Engelbrecht, J.A.A., E-mail: Japie.Engelbrecht@nmmu.ac.za [Physics Department, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Deyzel, G.; Minnaar, E.G.; Goosen, W.E. [Physics Department, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Rooyen, I.J. van [Fuel Performance and Design Department, Idaho National Laboratory, P.O. Box 1625, Idaho Falls, ID 83415-6188 (United States)

    2014-04-15

    3C-SiC wafers were irradiated with neutrons of various fluences and at low (200–400 °C) irradiation temperatures. Fourier transform infrared (FTIR) reflectance spectra were obtained for the samples, and the spectra used to extract the dielectric parameters for each specimen, using statistical curve-fitting procedures. Analysis of all data revealed trends in reflectance peak heights as well as in the dielectric parameters. The surface roughness of the irradiated samples was measured by atomic force spectroscopy (AFM) and certain trends could be ascribed to surface roughness.

  1. The influence of neutron-irradiation at low temperatures on the dielectric parameters of 3C-SiC

    International Nuclear Information System (INIS)

    Engelbrecht, J.A.A.; Deyzel, G.; Minnaar, E.G.; Goosen, W.E.; Rooyen, I.J. van

    2014-01-01

    3C-SiC wafers were irradiated with neutrons of various fluences and at low (200–400 °C) irradiation temperatures. Fourier transform infrared (FTIR) reflectance spectra were obtained for the samples, and the spectra used to extract the dielectric parameters for each specimen, using statistical curve-fitting procedures. Analysis of all data revealed trends in reflectance peak heights as well as in the dielectric parameters. The surface roughness of the irradiated samples was measured by atomic force spectroscopy (AFM) and certain trends could be ascribed to surface roughness.

  2. High-Reflectivity Multi-Layer Coatings for the CLASP Sounding Rocket Project

    Science.gov (United States)

    Narukage, Noriyuki; Kano, Ryohei; Bando, Takamasa; Ishikawa, Ryoko; Kubo, Masahito; Katsukawa, Yukio; Ishikawa, Shin-nosuke; Kobiki, Toshihiko; Giono, Gabriel; Auchere, Frederic; hide

    2015-01-01

    We are planning an international rocket experiment Chromospheric Lyman-Alpha Spectro-Polarimeter (CLASP) is (2015 planned) that Lyman alpha line (Ly alpha line) polarization spectroscopic observations from the sun. The purpose of this experiment, detected with high accuracy of the linear polarization of the Ly alpha lines to 0.1% by using a Hanle effect is to measure the magnetic field of the chromosphere-transition layer directly. For polarization photometric accuracy achieved that approximately 0.1% required for CLASP, it is necessary to realize the monitoring device with a high throughput. On the other hand, Ly alpha line (vacuum ultraviolet rays) have a sensitive characteristics that is absorbed by the material. We therefore set the optical system of the reflection system (transmission only the wavelength plate), each of the mirrors, subjected to high efficiency of the multilayer coating in accordance with the role. Primary mirror diameter of CLASP is about 30 cm, the amount of heat about 30,000 J is about 5 minutes of observation time is coming mainly in the visible light to the telescope. In addition, total flux of the sun visible light overwhelmingly large and about 200 000 times the Ly alpha line wavelength region. Therefore, in terms of thermal management and 0.1% of the photometric measurement accuracy achieved telescope, elimination of the visible light is essential. We therefore, has a high reflectivity (greater than 50%) in Ly alpha line, visible light is a multilayer coating be kept to a low reflectance (less than 5%) (cold mirror coating) was applied to the primary mirror. On the other hand, the efficiency of the polarization analyzer required chromospheric magnetic field measurement (the amount of light) Conventional (magnesium fluoride has long been known as a material for vacuum ultraviolet (MgF2) manufactured ellipsometer; Rs = 22%) about increased to 2.5 times were high efficiency reflective polarizing element analysis. This device, Bridou et al

  3. MSFC Test Results for Selected Mirrors: Brush-Wellman/Goodrich 0.5 meter Joined-Beryllium Mirror; IABG 0.5 meter C/SiC Mirror; Xinetics 0.5 meter SiC Mirror; and Kodak 0.23 meter SiO2 Mirror

    Science.gov (United States)

    Hadaway, James; Blackwell, Lisa; Matthews, Gary; Eng, Ron; Stahl, Phil; Hraba, John; Thornton, Gary

    2002-01-01

    The results of cryo tests performed at the XRCF on the above mirrors will be presented. Each mirror was tested from room-temperature to around 30 K. The first three were tested together on a 3-mirror stand in the large chamber using the PhaseCam interferometer, while the Kodak mirror was tested in the small chamber using the EPI interferometer.

  4. High quality multilayer mirrors for soft X-rays

    Energy Technology Data Exchange (ETDEWEB)

    Grimmer, H.; Boeni, P.; Breitmeier, U.; Clemens, D.; Horisberger, M. [Paul Scherrer Inst. (PSI), Villigen (Switzerland); Mertins, H.C.; Schaefers, F. [BESSY, Berlin (Germany)

    1997-09-01

    In an effort to develop optical components for X-rays with wavelengths in the water window (2.3 -4.4 nm) multilayer structures have been designed for the following applications: in transmission as phase shifters to change linear into circular polarization, in reflection as mirrors close to normal incidence and as linear polarizers at an angle of incidence of 45{sup o}. (author) 1 fig., 1 tab., 1 ref.

  5. Application of Learning Methods to Local Electric Field Distributions in Defected Dielectric Materials

    Science.gov (United States)

    Ferris, Kim; Jones, Dumont

    2014-03-01

    Local electric fields reflect the structural and dielectric fluctuations in a semiconductor, and affect the material performance both for electron transport and carrier lifetime properties. In this paper, we use the LOCALF methodology with periodic boundary conditions to examine the local electric field distributions and its perturbations for II-VI (CdTe, Cd(1-x)Zn(x)Te) semiconductors, containing Te inclusions and small fluctuations in the local dielectric susceptibility. With inclusion of the induced-field term, the electric field distribution shows enhancements and diminishments compared to the macroscopic applied field, reflecting the microstructure characteristics of the dielectric. Learning methods are applied to these distributions to assess the spatial extent of the perturbation, and determine an electric field defined defect size as compared to its physical dimension. Critical concentrations of defects are assessed in terms of defect formation energies. This work was supported by the US Department of Homeland Security, Domestic Nuclear Detection Office, under competitively awarded contract/IAA HSHQDC-08-X-00872-e. This support does not constitute an express or implied endorsement on the part of the Gov't.

  6. Gamma-ray mirror technology for NDA of spent fuel

    Energy Technology Data Exchange (ETDEWEB)

    Descalle, M. A. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Ruz-Armendariz, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Decker, T. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Alameda, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Brejnholt, N. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Soufli, R. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Robinson, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Dreyer, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Pivovaroff, M. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Ziock, K. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Chichester, D. [Idaho National Lab. (INL), Idaho Falls, ID (United States); Watson, S. [Idaho National Lab. (INL), Idaho Falls, ID (United States); Trellue, H. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-09-28

    Direct measurements of gamma rays emitted by fissile material have been proposed as an alternative to measurements of the gamma rays from fission products. From a safeguards applications perspective, direct detection of uranium (U) and plutonium (Pu) K-shell fluorescence emission lines and specific lines from some of their isotopes could lead to improved shipper-receiver difference or input accountability at the start of Pu reprocessing. However, these measurements are difficult to implement when the spent fuel is in the line-of-sight of the detector, as the detector is exposed to high rates dominated by fission product emissions. To overcome the combination of high rates and high background, grazing incidence multilayer mirrors have been proposed as a solution to selectively reflect U and Pu hard X-ray and soft gamma rays in the 90 to 420 keV energy into a high-purity germanium (HPGe) detector shielded from the direct line-of-sight of spent fuel. Several groups demonstrated that K-shell fluorescence lines of U and Pu in spent fuel could be detected with Ge detectors. In the field of hard X-ray optics the performance of reflective multilayer coated reflective optics was demonstrated up to 645 keV at the European Synchrotron Radiation Facility. Initial measurements conducted at Oak Ridge National Laboratory with sealed sources and scoping experiments conducted at the ORNL Irradiated Fuels Examination Laboratory (IFEL) with spent nuclear fuel further demonstrated the pass-band properties of multilayer mirrors for reflecting specific emission lines into 1D and 2D HPGe detectors, respectively.

  7. Modification of the Heating Position Using a Moveable Mirror in the TJ-II ECRH System

    International Nuclear Information System (INIS)

    Cappa, A.; Tribaldos, V.; Likin, K.; Fernandez, A.; Martin, R.

    1999-01-01

    During the first stages of operation, start-up and heating of plasmas in TJ-II stellarator are being produced by EC waves. These are launched by two 1/2-MW type gyrotrons at 53.2 GHz and transmitted to the plasma by two quasi-optical transmission lines located at two symmetrical stellarator positions. The last mirror of both lines, placed inside the vacuum vessel, is a moveable mirror allowing for changes in the final direction of the microwave beam and therefore in the heating position. This report is devoted to the calculations describing the movement of this mirror and its influence in the position of the reflected beam. (Author)

  8. Development of micro-mirror slicer integral field unit for space-borne solar spectrographs

    Science.gov (United States)

    Suematsu, Yoshinori; Saito, Kosuke; Koyama, Masatsugu; Enokida, Yukiya; Okura, Yukinobu; Nakayasu, Tomoyasu; Sukegawa, Takashi

    2017-12-01

    We present an innovative optical design for image slicer integral field unit (IFU) and a manufacturing method that overcomes optical limitations of metallic mirrors. Our IFU consists of a micro-mirror slicer of 45 arrayed, highly narrow, flat metallic mirrors and a pseudo-pupil-mirror array of off-axis conic aspheres forming three pseudo slits of re-arranged slicer images. A prototype IFU demonstrates that the final optical quality is sufficiently high for a visible light spectrograph. Each slicer micro-mirror is 1.58 mm long and 30 μm wide with surface roughness ≤1 nm rms, and edge sharpness ≤ 0.1 μm, etc. This IFU is small size and can be implemented in a multi-slit spectrograph without any moving mechanism and fore optics, in which one slit is real and the others are pseudo slits from the IFU. The IFU mirrors were deposited by a space-qualified, protected silver coating for high reflectivity in visible and near IR wavelength regions. These properties are well suitable for space-borne spectrograph such as the future Japanese solar space mission SOLAR-C. We present the optical design, performance of prototype IFU, and space qualification tests of the silver coating.

  9. Thermal forming of glass microsheets for x-ray telescope mirror segments

    DEFF Research Database (Denmark)

    Jimenez-Garate, M.A.; Hailey, C.J.; Craig, W.W.

    2003-01-01

    envisioned for future x-ray observatories. The glass microsheets are shaped into mirror segments at high temperature by use of a guiding mandrel, without polishing. We determine the physical properties and mechanisms that elucidate the formation process and that are crucial to improve surface quality. We......We describe a technology to mass-produce ultrathin mirror substrates for x-ray telescopes of near Wolter-I geometry. Thermal glass forming is a low-cost method to produce high-throughput, spaceborne x-ray mirrors for the 0.1-200-keV energy band. These substrates can provide the collecting area...... develop a viscodynamic model for the glass strain as the forming proceeds to find the conditions for repeatability. Thermal forming preserves the x-ray reflectance and scattering properties of the raw glass. The imaging resolution is driven by a large wavelength figure. We discuss the sources of figure...

  10. The Axisymmetric Tandem Mirror: A Magnetic Mirror Concept Game Changer Magnet Mirror Status Study Group

    International Nuclear Information System (INIS)

    Simonen, T.; Cohen, R.; Correll, D.; Fowler, K.; Post, D.; Berk, H.; Horton, W.; Hooper, E.B.; Fisch, N.; Hassam, A.; Baldwin, D.; Pearlstein, D.; Logan, G.; Turner, B.; Moir, R.; Molvik, A.; Ryutov, D.; Ivanov, A.A; Kesner, J.; Cohen, B.; McLean, H.; Tamano, T.; Tang, X.Z.; Imai, T.

    2008-01-01

    Experimental results, theory and innovative ideas now point with increased confidence to the possibility of a Gas Dynamic Trap (GDT) neutron source which would be on the path to an attractively simple Axisymmetric Tandem Mirror (ATM) power plant. Although magnetic mirror research was terminated in the US 20 years ago, experiments continued in Japan (Gamma 10) and Russia (GDT), with a very small US effort. This research has now yielded data, increased understanding, and generated ideas resulting in the new concepts described here. Early mirror research was carried out with circular axisymmetric magnets. These plasmas were MHD unstable due to the unfavorable magnetic curvature near the mid-plane. Then the minimum-B concept emerged in which the field line curvature was everywhere favorable and the plasma was situated in a MHD stable magnetic well (70% average beta in 2XII-B). The Ioffe-bar or baseball-coil became the standard for over 40 years. In the 1980's, driven by success with minimum-B stabilization and the control of ion cyclotron instabilities in PR6 and 2XII-B, mirrors were viewed as a potentially attractive concept with near-term advantages as a lower Q neutron source for applications such as a hybrid fission fuel factory or toxic waste burner. However there are down sides to the minimum-B geometry: coil construction is complex; restraining magnetic forces limit field strength and mirror ratios. Furthermore, the magnetic field lines have geodesic curvature which introduces resonant and neoclassical radial transport as observed in early tandem mirror experiments. So what now leads us to think that simple axisymmetric mirror plasmas can be stable? The Russian GDT experiment achieves on-axis 60% beta by peaking of the kinetic plasma pressure near the mirror throat (where the curvature is favorable) to counter-balance the average unfavorable mid-plane curvature. Then a modest augmentation of plasma pressure in the expander results in stability. The GDT

  11. The Axisymmetric Tandem Mirror: A Magnetic Mirror Concept Game Changer Magnet Mirror Status Study Group

    Energy Technology Data Exchange (ETDEWEB)

    Simonen, T; Cohen, R; Correll, D; Fowler, K; Post, D; Berk, H; Horton, W; Hooper, E B; Fisch, N; Hassam, A; Baldwin, D; Pearlstein, D; Logan, G; Turner, B; Moir, R; Molvik, A; Ryutov, D; Ivanov, A A; Kesner, J; Cohen, B; McLean, H; Tamano, T; Tang, X Z; Imai, T

    2008-10-24

    Experimental results, theory and innovative ideas now point with increased confidence to the possibility of a Gas Dynamic Trap (GDT) neutron source which would be on the path to an attractively simple Axisymmetric Tandem Mirror (ATM) power plant. Although magnetic mirror research was terminated in the US 20 years ago, experiments continued in Japan (Gamma 10) and Russia (GDT), with a very small US effort. This research has now yielded data, increased understanding, and generated ideas resulting in the new concepts described here. Early mirror research was carried out with circular axisymmetric magnets. These plasmas were MHD unstable due to the unfavorable magnetic curvature near the mid-plane. Then the minimum-B concept emerged in which the field line curvature was everywhere favorable and the plasma was situated in a MHD stable magnetic well (70% average beta in 2XII-B). The Ioffe-bar or baseball-coil became the standard for over 40 years. In the 1980's, driven by success with minimum-B stabilization and the control of ion cyclotron instabilities in PR6 and 2XII-B, mirrors were viewed as a potentially attractive concept with near-term advantages as a lower Q neutron source for applications such as a hybrid fission fuel factory or toxic waste burner. However there are down sides to the minimum-B geometry: coil construction is complex; restraining magnetic forces limit field strength and mirror ratios. Furthermore, the magnetic field lines have geodesic curvature which introduces resonant and neoclassical radial transport as observed in early tandem mirror experiments. So what now leads us to think that simple axisymmetric mirror plasmas can be stable? The Russian GDT experiment achieves on-axis 60% beta by peaking of the kinetic plasma pressure near the mirror throat (where the curvature is favorable) to counter-balance the average unfavorable mid-plane curvature. Then a modest augmentation of plasma pressure in the expander results in stability. The GDT

  12. A model for the scattering of high-frequency electromagnetic fields from dielectrics exhibiting thermally-activated electrical losses

    Science.gov (United States)

    Hann, Raiford E.

    1991-01-01

    An equivalent circuit model (ECM) approach is used to predict the scattering behavior of temperature-activated, electrically lossy dielectric layers. The total electrical response of the dielectric (relaxation + conductive) is given by the ECM and used in combination with transmission line theory to compute reflectance spectra for a Dallenbach layer configuration. The effects of thermally-activated relaxation processes on the scattering properties is discussed. Also, the effect of relaxation and conduction activation energy on the electrical properties of the dielectric is described.

  13. EEG evidence for mirror neuron dysfunction in autism spectrum disorders.

    Science.gov (United States)

    Oberman, Lindsay M; Hubbard, Edward M; McCleery, Joseph P; Altschuler, Eric L; Ramachandran, Vilayanur S; Pineda, Jaime A

    2005-07-01

    Autism spectrum disorders (ASD) are largely characterized by deficits in imitation, pragmatic language, theory of mind, and empathy. Previous research has suggested that a dysfunctional mirror neuron system may explain the pathology observed in ASD. Because EEG oscillations in the mu frequency (8-13 Hz) over sensorimotor cortex are thought to reflect mirror neuron activity, one method for testing the integrity of this system is to measure mu responsiveness to actual and observed movement. It has been established that mu power is reduced (mu suppression) in typically developing individuals both when they perform actions and when they observe others performing actions, reflecting an observation/execution system which may play a critical role in the ability to understand and imitate others' behaviors. This study investigated whether individuals with ASD show a dysfunction in this system, given their behavioral impairments in understanding and responding appropriately to others' behaviors. Mu wave suppression was measured in ten high-functioning individuals with ASD and ten age- and gender-matched control subjects while watching videos of (1) a moving hand, (2) a bouncing ball, and (3) visual noise, or (4) moving their own hand. Control subjects showed significant mu suppression to both self and observed hand movement. The ASD group showed significant mu suppression to self-performed hand movements but not to observed hand movements. These results support the hypothesis of a dysfunctional mirror neuron system in high-functioning individuals with ASD.

  14. A dielectric method for measuring early and late reactions in irradiated human skin

    International Nuclear Information System (INIS)

    Nuutinen, J.; Lahtinen, T.; Turunen, M.; Alanen, E.; Tenhunen, M.; Usenius, T.; Kolle, R.

    1998-01-01

    Background and purpose: To measure the dielectric constant of irradiated human skin in order to test the feasibility of the dielectric measurements in the quantitation of acute and late radiation reactions. Materials and methods: The dielectric constant of irradiated breast skin was measured at an electromagnetic frequency of 300 MHz in 21 patients during postmastectomy radiotherapy. The measurements were performed with an open-ended coaxial line reflection method. The irradiation technique consisted of an anterior photon field to the lymph nodes and a matched electron field to the chest wall using conventional fractionation of five fractions/week to 50 Gy. Fourteen out of the 21 patients were remeasured 2 years later and the skin was palpated for subcutaneous fibrosis. Results: At 5 weeks the dielectric constant had decreased by 31 and 39% for the investigated skin sites of the photon and electron fields, respectively. There was a statistically significant inverse correlation between the mean dielectric constant and the clinical score of erythema. An unexpected finding was a decrease of the dielectric constant of the contralateral healthy skin during radiotherapy. Two years later a statistically significant positive correlation was found between the dielectric constant at the irradiated skin sites and the clinical score of subcutaneous fibrosis. Conclusions: Dielectric measurements non-invasively yield quantitative information concerning radiation-induced skin reactions. (Copyright (c) 1998 Elsevier Science B.V., Amsterdam. All rights reserved.)

  15. Understanding what is visible in a mirror or through a window before and after updating the position of an object

    OpenAIRE

    Bertamini, Marco

    2014-01-01

    In the Venus effect observers assume that Venus is admiring her own reflection in the mirror (Bertamini et al., 2003a). However, since the observer sees her face in the mirror, Venus is actually looking at the reflection of the painter. This effect is general because it is not specific to paintings or to images of people. This study tests whether people have difficulties in estimating what is visible from a given viewpoint using a paper and pencil task. Participants (N = 80) judged what is vi...

  16. A fixed angle double mirror filter for preparing a pink undulator beam at the Advanced Photon Source

    International Nuclear Information System (INIS)

    Dufresne, E.; Sanchez, T.; Nurushev, T.; Clarke, R.; Dierker, S.B.

    2000-01-01

    Recent advances in X-ray Photon Correlation Spectroscopy (XPCS) use the full bandwidth of an undulator harmonic in order to maximize the coherent flux for small angle X-ray scattering experiments. X-ray mirrors and filters are typically used to select a given harmonic of the spectrum. At the University of Michigan/Howard University/Lucent Technologies, Bell Labs, Collaborative Access Team (MHATT-CAT) undulator beamline of the Advanced Photon Source, we have designed a fixed-angle Double Mirror Filter which will provide a 'pink beam' (i.e., 2-3% bandwidth) for XPCS experiments. This device uses two small mirrors which vertically reflect a 0.1 mmx0.1 mm white beam in a symmetric geometry. The doubly reflected beam propagates parallel to the incident white beam, but is offset vertically by 35 mm. Using the standard offset of the APS allows one to stop the white beam with a standard APS beam stop. In this report, we will describe our design considerations for this instrument. We also report the results of preliminary tests of the performance. The mirrors preserve the transverse coherence of the source, and filter the undulator spectrum as expected

  17. Optimization of electrode geometry and piezoelectric layer thickness of a deformable mirror

    Directory of Open Access Journals (Sweden)

    Nováková Kateřina

    2013-05-01

    Full Text Available Deformable mirrors are the most commonly used wavefront correctors in adaptive optics systems. Nowadays, many applications of adaptive optics to astronomical telescopes, high power laser systems, and similar fast response optical devices require large diameter deformable mirrors with a fast response time and high actuator stroke. In order to satisfy such requirements, deformable mirrors based on piezoelectric layer composite structures have become a subject of intense scientific research during last two decades. In this paper, we present an optimization of several geometric parameters of a deformable mirror that consists of a nickel reflective layer deposited on top of a thin lead zirconate titanate (PZT piezoelectric disk. Honeycomb structure of gold electrodes is deposited on the bottom of the PZT layer. The analysis of the optimal thickness ratio between the PZT and nickel layers is performed to get the maximum actuator stroke using the finite element method. The effect of inter-electrode distance on the actuator stroke and influence function is investigated. Applicability and manufacturing issues are discussed.

  18. Method for pulse control in a laser including a stimulated brillouin scattering mirror system

    Science.gov (United States)

    Dane, C. Brent; Hackel, Lloyd; Harris, Fritz B.

    2007-10-23

    A laser system, such as a master oscillator/power amplifier system, comprises a gain medium and a stimulated Brillouin scattering SBS mirror system. The SBS mirror system includes an in situ filtered SBS medium that comprises a compound having a small negative non-linear index of refraction, such as a perfluoro compound. An SBS relay telescope having a telescope focal point includes a baffle at the telescope focal point which blocks off angle beams. A beam splitter is placed between the SBS mirror system and the SBS relay telescope, directing a fraction of the beam to an alternate beam path for an alignment fiducial. The SBS mirror system has a collimated SBS cell and a focused SBS cell. An adjustable attenuator is placed between the collimated SBS cell and the focused SBS cell, by which pulse width of the reflected beam can be adjusted.

  19. Generation of atto-second pulses on relativistic mirror plasma

    International Nuclear Information System (INIS)

    Vincenti, H.

    2012-12-01

    When an ultra intense femtosecond laser (I > 10 16 W.cm -2 ) with high contrast is focused on a solid target, the laser field at focus is high enough to completely ionize the target surface during the rising edge of the laser pulse and form a plasma. This plasma is so dense (the electron density is of the order of hundred times the critical density) that it completely reflects the incident laser beam in the specular direction: this is the so-called 'plasma mirror'. When laser intensity becomes very high, the non-linear response of the plasma mirror to the laser field periodically deforms the incident electric field leading to high harmonic generation in the reflected beam. In the temporal domain this harmonic spectrum is associated to a train of atto-second pulses. The goals of my work were to get a better comprehension of the properties of harmonic beams produced on plasma mirrors and design new methods to control theses properties, notably in order to produce isolated atto-second pulses instead of trains. Initially, we imagined and modeled the first realistic technique to generate isolated atto-second on plasma mirrors. This brand new approach is based on a totally new physical effect: 'the atto-second lighthouse effect'. Its principle consists in sending the atto-second pulses of the train in different directions and selects one of these pulses by putting a slit in the far field. Despite its simplicity, this technique is very general and applies to any high harmonic generation mechanism. Moreover, the atto-second lighthouse effect has many other applications (e.g in metrology). In particular, it paves the way to atto-second pump-probe experiments. Then, we studied the spatial properties of these harmonics, whose control and characterization are crucial if one wants to use this source in future application experiments. For instance, we need to control very precisely the harmonic beam divergence in order to achieve the atto-second lighthouse effect and get

  20. A parabolic mirror x-ray collimator

    Science.gov (United States)

    Franks, A.; Jackson, K.; Yacoot, A.

    2000-05-01

    A robust and stable x-ray collimator has been developed to produce a parallel beam of x-rays by total external reflection from a parabolic mirror. The width of the gold-coated silica mirror varies along its length, which allows it to be bent from a plane surface into a parabolic form by application of unequal bending forces at its ends. A family of parabolas of near constant focal length can be formed by changing the screw-applied bending force, thus allowing the collimator to cater for a range of wavelengths by the turning of a screw. Even with radiation with a wavelength as short as that as Mo Kicons/Journals/Common/alpha" ALT="alpha" ALIGN="TOP"/> 1 (icons/Journals/Common/lambda" ALT="lambda" ALIGN="TOP"/> = 0.07 nm), a gain in flux by a factor of 5.5 was achieved. The potential gain increases with wavelength, e.g. for Cu Kicons/Journals/Common/alpha" ALT="alpha" ALIGN="TOP"/> 1 radiation this amounts to over a factor of ten.

  1. Diffuse mirrors: 3D reconstruction from diffuse indirect illumination using inexpensive time-of-flight sensors

    KAUST Repository

    Heide, Felix

    2014-06-01

    The functional difference between a diffuse wall and a mirror is well understood: one scatters back into all directions, and the other one preserves the directionality of reflected light. The temporal structure of the light, however, is left intact by both: assuming simple surface reflection, photons that arrive first are reflected first. In this paper, we exploit this insight to recover objects outside the line of sight from second-order diffuse reflections, effectively turning walls into mirrors. We formulate the reconstruction task as a linear inverse problem on the transient response of a scene, which we acquire using an affordable setup consisting of a modulated light source and a time-of-flight image sensor. By exploiting sparsity in the reconstruction domain, we achieve resolutions in the order of a few centimeters for object shape (depth and laterally) and albedo. Our method is robust to ambient light and works for large room-sized scenes. It is drastically faster and less expensive than previous approaches using femtosecond lasers and streak cameras, and does not require any moving parts.

  2. Diffuse mirrors: 3D reconstruction from diffuse indirect illumination using inexpensive time-of-flight sensors

    KAUST Repository

    Heide, Felix; Xiao, Lei; Heidrich, Wolfgang; Hullin, Matthias B.

    2014-01-01

    The functional difference between a diffuse wall and a mirror is well understood: one scatters back into all directions, and the other one preserves the directionality of reflected light. The temporal structure of the light, however, is left intact by both: assuming simple surface reflection, photons that arrive first are reflected first. In this paper, we exploit this insight to recover objects outside the line of sight from second-order diffuse reflections, effectively turning walls into mirrors. We formulate the reconstruction task as a linear inverse problem on the transient response of a scene, which we acquire using an affordable setup consisting of a modulated light source and a time-of-flight image sensor. By exploiting sparsity in the reconstruction domain, we achieve resolutions in the order of a few centimeters for object shape (depth and laterally) and albedo. Our method is robust to ambient light and works for large room-sized scenes. It is drastically faster and less expensive than previous approaches using femtosecond lasers and streak cameras, and does not require any moving parts.

  3. Design of Reflective, Photonic Shields for Atmospheric Reentry

    Science.gov (United States)

    Komarevskiy, Nikolay; Shklover, Valery; Braginsky, Leonid; Hafner, Christian; Fabrichnaya, Olga; White, Susan; Lawson, John

    2010-01-01

    We present the design of one-dimensional photonic crystal structures, which can be used as omnidirectional reflecting shields against radiative heating of space vehicles entering the Earth's atmosphere. This radiation is approximated by two broad bands centered at visible and near-infrared energies. We applied two approaches to find structures with the best omnidirectional reflecting performance. The first approach is based on a band gap analysis and leads to structures composed of stacked Bragg mirrors. In the second approach, we optimize the structure using an evolutionary strategy. The suggested structures are compared with a simple design of two stacked Bragg mirrors. Choice of the constituent materials for the layers as well as the influence of interlayer diffusion at high temperatures are discussed.

  4. High-Efficiency Dielectric Metasurfaces for Polarization-Dependent Terahertz Wavefront Manipulation

    KAUST Repository

    Zhang, Huifang

    2017-11-30

    Recently, metasurfaces made up of dielectric structures have drawn enormous attentions in the optical and infrared regimes due to their high efficiency and designing freedom in manipulating light propagation. Such advantages can also be introduced to terahertz frequencies where efficient functional devices are still lacking. Here, polarization-dependent all-silicon terahertz dielectric metasurfaces are proposed and experimentally demonstrated. The metasurfaces are composed of anisotropic rectangular-shaped silicon pillars on silicon substrate. Each metasurface holds dual different functions depending on the incident polarizations. Furthermore, to suppress the reflection loss and multireflection effect in practical applications, a high-performance polarization-independent antireflection silicon pillar array is also proposed, which can be patterned at the other side of the silicon substrate. Such all-silicon dielectric metasurfaces are easy to fabricate and can be very promising in developing next-generation efficient, compact, and low-cost terahertz functional devices.

  5. The role of Triton surfactant in anisotropic etching of {1 1 0} reflective planes on (1 0 0) silicon

    Science.gov (United States)

    Resnik, Drago; Vrtacnik, Danilo; Aljancic, Uros; Mozek, Matej; Amon, Slavko

    2005-06-01

    Etching characteristics and properties of {1 1 0} silicon crystal planes used as 45° optical mirrors for deflecting optical beams from/to optical fibers were investigated. Fiber aligning grooves and passive mirror-like planes were realized by wet micromachining of (1 0 0) silicon in KOH IPA and TMAH IPA systems. Implementation of Triton-x-100 surfactant as an additive to 25% TMAH in anisotropic etching of {1 1 0} silicon passive mirror planes is reported and discussed. It was found that Triton-x-100 contents in the range of 10 200 ppm to the 25% TMAH water etchant significantly increase the anisotropy mostly by decreasing the {1 1 0} etch rate and retaining the {1 0 0} etch rate. It is also shown that {1 1 0} surface roughness is substantially improved compared to two other etching systems. Furthermore, efficient convex corner underetching reduction is demonstrated. The results of optical characterization of passive mirrors with 632 nm incident light show reduced scattering of reflected optical beam due to improved microroughness for mirrors made by TMAH Triton. For the reflection of the optical beam with 1.33 µm and 1.54 µm wavelengths, sputtered layer of gold is used as reflective coating on silicon mirrors thus increasing the reflected optical beam intensity by an additional 8%.

  6. Performance of transition metal-carbon multilayer mirrors from 80 to 350 eV

    International Nuclear Information System (INIS)

    Kania, D.R.; Bartlett, R.J.; Trela, W.J.; Spiller, E.; Golub, L.

    1984-03-01

    We report measurements and theoretical calculations of the reflectivity and resolving power of multilayer mirrors made of alternate layers of a transition metal (Co, Fe, V, and Cr) and carbon (2d approx. = 140 A) from 80 to 350 eV

  7. Mixed 2D molecular systems: Mechanic, thermodynamic and dielectric properties

    Energy Technology Data Exchange (ETDEWEB)

    Beno, Juraj [Department of Physics, Faculty of Electrical Engineering and Information Technology, Slovak University of Technology, Ilkovicova 3, 812 19-SK Bratislava (Slovakia); Weis, Martin [Department of Physics, Faculty of Electrical Engineering and Information Technology, Slovak University of Technology, Ilkovicova 3, 812 19-SK Bratislava (Slovakia)], E-mail: Martin.Weis@stuba.sk; Dobrocka, Edmund [Department of Physics, Faculty of Electrical Engineering and Information Technology, Slovak University of Technology, Ilkovicova 3, 812 19-SK Bratislava (Slovakia); Institute of Electrical Engineering, Slovak Academy of Sciences, Dubravska cesta 9, 841 04-SK Bratislava (Slovakia); Hasko, Daniel [International Laser Centre, Ilkovicova 3, 812 19-SK Bratislava (Slovakia)

    2008-08-15

    Study of Langmuir monolayers consisting of stearic acid (SA) and dipalmitoylphosphatidylcholine (DPPC) molecules was done by surface pressure-area isotherms ({pi}-A), the Maxwell displacement current (MDC) measurement, X-ray reflectivity (XRR) and atomic force microscopy (AFM) to investigate the selected mechanic, thermodynamic and dielectric properties based on orientational structure of monolayers. On the base of {pi}-A isotherms analysis we explain the creation of stable structures and found optimal monolayer composition. The dielectric properties represented by MDC generated monolayers were analyzed in terms of excess dipole moment, proposing the effect of dipole-dipole interaction. XRR and AFM results illustrate deposited film structure and molecular ordering.

  8. Interferential multi-layer mirrors for X-UV radiation: fabrication, characterization and applications

    International Nuclear Information System (INIS)

    Youn Ki Byoung

    1987-01-01

    This research thesis reports the fabrication of W/C, Ni/C and Mo/C interferential multi-layer mirrors which can be used in the X-UV domain. They have been manufactured by cathodic pulverisation by using a new system for the in-situ control of the thickness of deposited layers, based on the measurement, sampling and real time integration of the ionic current which goes through the target during the coating process. Different methods (X ray diffraction at different wavelengths, electron microscopy and diffraction, in situ electronic resistivity measurement) have been used to study the main parameters which govern the multi-layer reflectivity: structure, substrate and interface roughness, minimum thickness to be deposited to obtain a continuous layer, number of bi-layers, stacking evenness, rate of absorbent element thickness to the period. Absolute reflectivity measurements have been performed by using short wavelength synchrotron radiation and the S component of polarised soft X rays obtained after double reflection on two parallel multi-layer mirrors oriented according to the Brewster angle. Ferromagnetic properties of Ni/C multi-layers have been studied to investigate fundamental magnetic properties, and to obtain additional information on interface structure [fr

  9. Clinical characteristics of mirror syndrome: a comparison of 10 cases of mirror syndrome with non-mirror syndrome fetal hydrops cases.

    Science.gov (United States)

    Hirata, Go; Aoki, Shigeru; Sakamaki, Kentaro; Takahashi, Tsuneo; Hirahara, Fumiki; Ishikawa, Hiroshi

    2016-01-01

    To investigate clinical features of mirror syndrome. We retrospectively reviewed 71 cases of fetal hydrops with or without mirror syndrome, and compared with respect to maternal age, the body mass index, the primipara rate, the gestational age at delivery, the timing of fetal hydrops onset, the severity of fetal edema, placental swelling, the laboratory data and the fetal mortality. The data are expressed as the medians. Mirror syndrome developed in 29% (10/35) of the cases with fetal hydrops. In mirror group, the onset time of fetal hydrops was significantly earlier (29 weeks versus 31 weeks, p = 0.011), and the severity of fetal hydrops (fetal edema/biparietal diameter) was significantly higher than non-mirror group (0.23 versus 0.16, p < 0.001). There was significantly higher serum human chorionic gonadotropin (hCG) (453,000 IU/L versus 80,000 IU/L, p < 0.001) and lower hemoglobin (8.9 g/dL versus 10.1 g/dL, p =0.002), hypoalbuminemia (2.3 mg/dL versus 2.7 mg/dL, p = 0.007), hyperuricemia (6.4 mg/dL versus 5.0 mg/dL, p = 0.043) in mirror group. Mirror syndrome is occurred frequently in early and severe fetal hydrops and cause hemodilution and elevation of serum hCG.

  10. Development of the measurement system with interferometers for ultraprecise X-ray mirror

    CERN Document Server

    Yamauchi, K; Mimura, H

    2003-01-01

    A figure measurement system with a stitching method has been developed for evaluation and fabrication of the ultraprecise hard X-ray mirror optics. This system was constructed by two interferometers. One is the Michelson-type microscopic interferometer which is improved to keep the focus distance within 0.1 mu m. Another is the Fizeau's interferometer employed to compensate stitching error in the long spatial wavelength range. To estimate the absolute accuracy in this figure measurement system, the reflection X-ray intensity distributions of flat and aspherical mirrors, which are fabricated by us, were predicted by wave-optical simulation based on measured profile an compared with actually observed distributions. As the result, they are in good agreements. These agreements prove that the developed system has sub-nanometer absolute accuracy in all the spatial wavelength range longer than 0.5mm, because sub-nanometer figure error in those spatial wavelength ranges are known to affect reflection X-ray intensity ...

  11. Conversion of solar radiation using parabolic mirrors

    Directory of Open Access Journals (Sweden)

    Jolanta Fieducik

    2017-08-01

    Full Text Available The use of solar energy is a promising source of renewable energy to cover the energy needs of our society. The aim of the study will be to analyze the possibility of converting solar energy using parabolic reflectors to the heat energy needed to meet the needs of hot water for a family of 4 people. This study presents simulations of the use of solar radiation using radiant concentration systems. The parabolic mirror directs the concentrated beam of sunlight onto a tube located in the focal plane, which is filled with water that under the influence of solar radiation heats up. This article assumes constant mirror geometry and tube cross section, while simulation is performed for different coefficients. For calculations it was assumed that the reflection coefficient of sunlight from the mirror r is variable and an analysis of its effect on the amount of heated liquid is made. The radiation absorption coefficient across the tube surface was determined by a, the thermal surface emissivity coefficient was determined as e and the simulations were performed at variable values for the amount of heated liquid. The calculations and their analysis show that, with appropriately chosen coefficients, it is possible to meet the needs of a 4-person family in warm water using the proposed installation in Poland.

  12. Existence conditions for bulk large-wavevector waves in metal-dielectric and graphene-dielectric multilayer hyperbolic metamaterials

    DEFF Research Database (Denmark)

    Zhukovsky, Sergei; Andryieuski, Andrei; Lavrinenko, Andrei

    2014-01-01

    We theoretically investigate general existence conditions for broadband bulk large-wavevector (high-k) propagating waves (such as volume plasmon polaritons in hyperbolic metamaterials) in arbitrary subwavelength periodic multilayers structures. Treating the elementary excitation in the unit cell...... of the structure as a generalized resonance pole of reflection coefficient and using Bloch's theorem, we derive analytical expressions for the band of large-wavevector propagating solutions. We apply our formalism to determine the high-k band existence in two important cases: the well-known metal-dielectric...

  13. Small mirror fusion reactors

    International Nuclear Information System (INIS)

    Carlson, G.A.; Schultz, K.R.; Smith, A.C. Jr.

    1978-01-01

    Basic requirements for the pilot plants are that they produce a net product and that they have a potential for commercial upgrade. We have investigated a small standard mirror fusion-fission hybrid, a two-component tandem mirror hybrid, and two versions of a field-reversed mirror fusion reactor--one a steady state, single cell reactor with a neutral beam-sustained plasma, the other a moving ring field-reversed mirror where the plasma passes through a reaction chamber with no energy addition

  14. Anisotropic Dielectric Properties of Carbon Fiber Reinforced Polymer Composites during Microwave Curing

    Science.gov (United States)

    Zhang, Linglin; Li, Yingguang; Zhou, Jing

    2018-01-01

    Microwave cuing technology is a promising alternative to conventional autoclave curing technology in high efficient and energy saving processing of polymer composites. Dielectric properties of composites are key parameters related to the energy conversion efficiency during the microwave curing process. However, existing methods of dielectric measurement cannot be applied to the microwave curing process. This paper presented an offline test method to solve this problem. Firstly, a kinetics model of the polymer composites under microwave curing was established based on differential scanning calorimetry to describe the whole curing process. Then several specially designed samples of different feature cure degrees were prepared and used to reflect the dielectric properties of the composite during microwave curing. It was demonstrated to be a feasible plan for both test accuracy and efficiency through extensive experimental research. Based on this method, the anisotropic complex permittivity of a carbon fiber/epoxy composite during microwave curing was accurately determined. Statistical results indicated that both the dielectric constant and dielectric loss of the composite increased at the initial curing stage, peaked at the maximum reaction rate point and decreased finally during the microwave curing process. Corresponding mechanism has also been systematically investigated in this work.

  15. Position control of ECRH launcher mirrors by laser speckle sensor

    International Nuclear Information System (INIS)

    Michelsen, Poul K.; Bindslev, Henrik; Hansen, Rene Skov; Hanson, Steen G.

    2003-01-01

    The planned ECRH system for JET included several fixed and steerable mirrors some of which should have been fixed to the building structure and some to the JET vessel structure. A similar system may be anticipated for ITER and for other fusion devices in the future. In order to have high reproducibility of the ECRH beam direction, it is necessary to know the exact positions of the mirrors. This is not a trivial problem because of thermal expansion of the vessel structures and of the launcher itself and of its support structure, the mechanical load on mirrors and support structures, and the accessibility to the various mirrors. We suggest to use a combination of infrared diagnostic of beam spot positions and a new technique published recently, which is based on a non-contact laser speckle sensor for measuring one- and two-dimensional angular displacement. The method is based on Fourier transforming the scattered field from a single laser beam that illuminates the target. The angular distribution of the light field at the target is linearly mapped onto an array image sensor placed in the Fourier plane. Measuring the displacement of this so-called speckle pattern facilitates the determination of the mirror orientation. Transverse target movement can be measured by observing the speckle movement in the image plane of the object. No special surface treatment is required for surfaces having irregularities of the order of or larger than the wavelength of the incident light. For the JET ECRH launcher it is mainly for the last mirror pointing towards the plasma where the technique may be useful. This mirror has to be steerable in order to reflect the microwave beam in the correct direction towards the plasma. Maximum performance of the microwave heating requires that the beam hits this mirror at its centre and that the mirror is turned in the correct angle. Inaccuracies in the positioning of the pull rods for controlling the mirror turning and thermal effects makes it

  16. Terahertz polarization converter based on all-dielectric high birefringence metamaterial with elliptical air holes

    KAUST Repository

    Zi, Jianchen

    2018-02-15

    Metamaterials have been widely applied in the polarization conversion of terahertz (THz) waves. However, common plasmonic metamaterials usually work as reflective devices and have low transmissions. All-dielectric metamaterials can overcome these shortcomings. An all-dielectric metamaterial based on silicon with elliptical air holes is reported to achieve high artificial birefringence at THz frequencies. Simulations show that with appropriate structural parameters the birefringence of the dielectric metamaterial can remain flat and is above 0.7 within a broad band. Moreover, the metamaterial can be designed as a broadband quarter wave plate. A sample metamaterial was fabricated and tested to prove the validity of the simulations, and the sample could work as a quarter wave plate at 1.76 THz. The all-dielectric metamaterial that we proposed is of great significance for high performance THz polarization converters.

  17. Nonequilibrium dynamics of moving mirrors in quantum fields: Influence functional and the Langevin equation

    International Nuclear Information System (INIS)

    Wu, C.-H.; Lee, D.-S.

    2005-01-01

    We employ the Schwinger-Keldysh formalism to study the nonequilibrium dynamics of the mirror with perfect reflection moving in a quantum field. In the case where the mirror undergoes the small displacement, the coarse-grained effective action is obtained by integrating out the quantum field with the method of influence functional. The semiclassical Langevin equation is derived, and is found to involve two levels of backreaction effects on the dynamics of mirrors: radiation reaction induced by the motion of the mirror and backreaction dissipation arising from fluctuations in quantum field via a fluctuation-dissipation relation. Although the corresponding theorem of fluctuation and dissipation for the case with the small mirror's displacement is of model independence, the study from the first principles derivation shows that the theorem is also independent of the regulators introduced to deal with short-distance divergences from the quantum field. Thus, when the method of regularization is introduced to compute the dissipation and fluctuation effects, this theorem must be fulfilled as the results are obtained by taking the short-distance limit in the end of calculations. The backreaction effects from vacuum fluctuations on moving mirrors are found to be hardly detected while those effects from thermal fluctuations may be detectable

  18. Measurement of subcutaneous fibrosis after postmastectomy radiotherapy by dielectric properties of breast skin

    International Nuclear Information System (INIS)

    Lahtinen, T.; Tirkkonen, A.; Tenhunen, M.; Nuutinen, J.; Nuortio, L.; Auvinen, P.

    1995-01-01

    Dielectric properties of a biological material determine the interaction of high frequency electromagnetic (EM) fields and material. Since radiation induces changes in the structure and composition of the tissue, measurement of the altered dielectric properties could yield useful data on the radiation reactions. Dielectric constant of irradiated breast skin of 36 patients was measured 64 to 99 months after postmastectomy radiotherapy with three dose-fractionation schedules. A single dose-fractionation schedule consisted of a photon and electron or a photon and 150 kV x-ray beam. An EM frequency of 300 MHz was guided into the skin via a specially constructed coaxial probe. The attenuation and the phase shift of the reflected wave was measured by the network analyzer. From these data the dielectric constant of the skin could be calculated. Although there was a general tendency that the dielectric constant in the treated side was higher than in the untreated side, the increase was statistically significant only with one photon and electron beam. A significant negative correlation was found between the dielectric constant and the occurrence of clinically assessed mild fibrosis or when all degrees of fibrosis were combined. The study demonstrates that the dielectric measurements are useful in the assessment of the response of radiotherapy dose-fractionation schedules for the development and follow-up of subcutaneous fibrosis. Due to the large variation of the dielectric constants between patients in various dose-fractionation schedules, the dielectric measurements are not capable of differentiating different degrees of fibrosis

  19. A magic mirror - quantum applications of the optical beam splitter

    International Nuclear Information System (INIS)

    Bachor, H.A.

    2000-01-01

    Mirrors are some of the simplest optical components, and their use in optical imaging is well known. They have many other applications, such as the control of laser beams or in optical communication. Indeed they can be found in most optical instruments. It is the partially reflecting mirror, better known as the beam splitter, that is of particular interest to us. It lies at the centre of a number of recent scientific discoveries and technical developments that go beyond the limits of classical optics and make use of the quantum properties of light. In this area Australian and New Zealand researchers have made major contributions in the last two decades. In this paper, the author discusses how a mirror modifies the light itself and the information that can be sent by a beam, and summarise the recent scientific achievements. It combines the idea of photons, where the idea of quantisation is immediately obvious, with the idea of modulating continuous laser beams, which is practical and similar to the engineering description of radio communication

  20. Characterization of a next-generation piezo bimorph X-ray mirror for synchrotron beamlines.

    Science.gov (United States)

    Alcock, Simon G; Nistea, Ioana; Sutter, John P; Sawhney, Kawal; Fermé, Jean Jacques; Thellièr, Christophe; Peverini, Luca

    2015-01-01

    Piezo bimorph mirrors are versatile active optics used on many synchrotron beamlines. However, many bimorphs suffer from the `junction effect': a periodic deformation of the optical surface which causes major aberrations to the reflected X-ray beam. This effect is linked to the construction of such mirrors, where piezo ceramics are glued directly below the thin optical substrate. In order to address this problem, a next-generation bimorph with piezos bonded to the side faces of a monolithic substrate was developed at Thales-SESO and optimized at Diamond Light Source. Using metrology feedback from the Diamond-NOM, the optical slope error was reduced to ∼ 0.5 µrad r.m.s. for a range of ellipses. To maximize usability, a novel holder was built to accommodate the substrate in any orientation. When replacing a first-generation bimorph on a synchrotron beamline, the new mirror significantly improved the size and shape of the reflected X-ray beam. Most importantly, there was no evidence of the junction effect even after eight months of continuous beamline usage. It is hoped that this new design will reinvigorate the use of active bimorph optics at synchrotron and free-electron laser facilities to manipulate and correct X-ray wavefronts.

  1. A novel mirror diversity receiver for indoor MIMO visible light communication systems

    KAUST Repository

    Park, Kihong

    2016-12-24

    In this paper, we propose and study a non-imaging receiver design reducing the correlation of channel matrix for indoor multiple-input multiple-output (MIMO) visible light communication (VLC) systems. Contrary to previous works, our proposed mirror diversity receiver (MDR) not only blocks the reception of light on one specific direction but also improves the channel gain on the other direction by receiving the light reflected by a mirror deployed between the photodetectors. We analyze the channel capacity and optimal height of mirror in terms of maximum channel capacity for a 2 × 2 MIMO-VLC system in a 2-dimensional geometric model. We prove that this constructive and destructive effects in channel matrix resulting from our proposed MDR are more beneficial to obtain well-conditioned channel matrix which is suitable for implementing spatial-multiplexing MIMO-VLC systems in order to support high data rate.

  2. Object-centered representations support flexible exogenous visual attention across translation and reflection.

    Science.gov (United States)

    Lin, Zhicheng

    2013-11-01

    Visual attention can be deployed to stimuli based on our willful, top-down goal (endogenous attention) or on their intrinsic saliency against the background (exogenous attention). Flexibility is thought to be a hallmark of endogenous attention, whereas decades of research show that exogenous attention is attracted to the retinotopic locations of the salient stimuli. However, to the extent that salient stimuli in the natural environment usually form specific spatial relations with the surrounding context and are dynamic, exogenous attention, to be adaptive, should embrace these structural regularities. Here we test a non-retinotopic, object-centered mechanism in exogenous attention, in which exogenous attention is dynamically attracted to a relative, object-centered location. Using a moving frame configuration, we presented two frames in succession, forming either apparent translational motion or in mirror reflection, with a completely uninformative, transient cue presented at one of the item locations in the first frame. Despite that the cue is presented in a spatially separate frame, in both translation and mirror reflection, behavioralperformance in visual search is enhanced when the target in the second frame appears at the same relative location as the cue location than at other locations. These results provide unambiguous evidence for non-retinotopic exogenous attention and further reveal an object-centered mechanism supporting flexible exogenous attention. Moreover, attentional generalization across mirror reflection may constitute an attentional correlate of perceptual generalization across lateral mirror images, supporting an adaptive, functional account of mirror images confusion. Copyright © 2013 Elsevier B.V. All rights reserved.

  3. Iridium/Iridium Silicide as an Oxidation Resistant Capping Layer for Soft X-ray Mirrors

    International Nuclear Information System (INIS)

    Prisbrey, S; Vernon, S

    2004-01-01

    Rust on a sword, tarnish on the silverware, and a loss in reflectivity for soft x-ray mirrors are all caused by oxidation that changes the desired characteristics of a material. Methods to prevent the oxidation have varied over the centuries with the default method of a protective coating being the most common. The protective coating for x-ray mirrors is usually a self-limiting oxidized layer on the surface of the material that stops further oxidation of the material by limiting the diffusion of oxygen to the material underneath

  4. Mirror Fusion Test Facility: an intermediate device to a mirror fusion reactor

    International Nuclear Information System (INIS)

    Karpenko, V.N.

    1983-01-01

    The Mirror Fusion Test Facility (MFTF-B) now under construction at Lawrence Livermore National Laboratory represents more than an order-of-magnitude step from earlier magnetic-mirror experiments toward a future mirror fusion reactor. In fact, when the device begins operating in 1986, the Lawson criteria of ntau = 10 14 cm -3 .s will almost be achieved for D-T equivalent operation, thus signifying scientific breakeven. Major steps have been taken to develop MFTF-B technologies for tandem mirrors. Steady-state, high-field, superconducting magnets at reactor-revelant scales are used in the machine. The 30-s beam pulses, ECRH, and ICRH will also introduce steady-state technologies in those systems

  5. Restraint deformation and corrosion protection of gold deposited aluminum mirrors for cold optics of mid-infrared instruments

    Science.gov (United States)

    Uchiyama, Mizuho; Miyata, Takashi; Sako, Shigeyuki; Kamizuka, Takafumi; Nakamura, Tomohiko; Asano, Kentaro; Okada, Kazushi; Onaka, Takashi; Sakon, Itsuki; Kataza, Hirokazu; Sarugaku, Yuki; Kirino, Okiharu; Nakagawa, Hiroyuki; Okada, Norio; Mitsui, Kenji

    2014-07-01

    We report the restraint deformation and the corrosion protection of gold deposited aluminum mirrors for mid-infrared instruments. To evaluate the deformation of the aluminum mirrors by thermal shrinkage, monitoring measurement of the surface of a mirror has been carried out in the cooling cycles from the room temperature to 100 K. The result showed that the effect of the deformation was reduced to one fourth if the mirror was screwed with spring washers. We have explored an effective way to prevent the mirror from being galvanically corroded. A number of samples have been prepared by changing the coating conditions, such as inserting an insulation layer, making a multi-layer and overcoating water blocking layer, or carrying out precision cleaning before coating. Precision cleaning before the deposition and protecting coat with SiO over the gold layer seemed to be effective in blocking corrosion of the aluminum. The SiO over-coated mirror has survived the cooling test for the mid-infrared use and approximately 1 percent decrease in the reflectance has been detected at 6-25 microns compared to gold deposited mirror without coating.

  6. Mirror plasma apparatus

    International Nuclear Information System (INIS)

    Moir, R.W.

    1981-01-01

    A mirror plasma apparatus which utilizes shielding by arc discharge to form a blanket plasma and lithium walls to reduce neutron damage to the wall of the apparatus. An embodiment involves a rotating liquid lithium blanket for a tandem mirror plasma apparatus wherein the first wall of the central mirror cell is made of liquid lithium which is spun with angular velocity great enough to keep the liquid lithium against the first material wall, a blanket plasma preventing the lithium vapor from contaminating the plasma

  7. Mesmerising mirror neurons.

    Science.gov (United States)

    Heyes, Cecilia

    2010-06-01

    Mirror neurons have been hailed as the key to understanding social cognition. I argue that three currents of thought-relating to evolution, atomism and telepathy-have magnified the perceived importance of mirror neurons. When they are understood to be a product of associative learning, rather than an adaptation for social cognition, mirror neurons are no longer mesmerising, but they continue to raise important questions about both the psychology of science and the neural bases of social cognition. Copyright 2010 Elsevier Inc. All rights reserved.

  8. Design optimization of ultra-precise elliptical mirrors for hard x-ray nanofocusing at Nanoscopium

    Science.gov (United States)

    Kewish, Cameron M.; Polack, François; Signorato, Riccardo; Somogyi, Andrea

    2013-09-01

    The design and implementation of a pair of 100 mm-long grazing-incidence total-reflection mirrors for the hard X-ray beamline Nanoscopium at Synchrotron Soleil is presented. A vertically and horizontally nanofocusing mirror pair, oriented in Kirkpatrick-Baez geometry, has been designed and fabricated with the aim of creating a diffraction-limited high-intensity 5 - 20 keV beam with a focal spot size as small as 50 nm. We describe the design considerations, including wave-optical calculations of figures-of-merit that are relevant for spectromicroscopy, such as the focal spot size, depth of field and integrated intensity. The mechanical positioning tolerance in the pitch angle that is required to avoid introducing high-intensity features in the neighborhood of the focal spot is demonstrated with simulations to be of the order of microradians, becoming tighter for shorter focal lengths and therefore directly affecting all nanoprobe mirror systems. Metrology results for the completed mirrors are presented, showing that better than 1.5 °A-rms figure error has been achieved over the full mirror lengths with respect to the designed elliptical surfaces, with less than 60 nrad-rms slope errors.

  9. Boron nitride as two dimensional dielectric: Reliability and dielectric breakdown

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Yanfeng; Pan, Chengbin; Hui, Fei; Shi, Yuanyuan; Lanza, Mario, E-mail: mlanza@suda.edu.cn [Institute of Functional Nano and Soft Materials, Collaborative Innovation Center of Suzhou Nano Science and Technology, Soochow University, 199 Ren-Ai Road, Suzhou 215123 (China); Zhang, Meiyun; Long, Shibing [Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029 (China); Lian, Xiaojuan; Miao, Feng [National Laboratory of Solid State Microstructures, School of Physics, Collaborative Innovation Center of Advanced Microstructures, Nanjing University, Nanjing 210093 (China); Larcher, Luca [DISMI, Università di Modena e Reggio Emilia, 42122 Reggio Emilia (Italy); Wu, Ernest [IBM Research Division, Essex Junction, Vermont 05452 (United States)

    2016-01-04

    Boron Nitride (BN) is a two dimensional insulator with excellent chemical, thermal, mechanical, and optical properties, which make it especially attractive for logic device applications. Nevertheless, its insulating properties and reliability as a dielectric material have never been analyzed in-depth. Here, we present the first thorough characterization of BN as dielectric film using nanoscale and device level experiments complementing with theoretical study. Our results reveal that BN is extremely stable against voltage stress, and it does not show the reliability problems related to conventional dielectrics like HfO{sub 2}, such as charge trapping and detrapping, stress induced leakage current, and untimely dielectric breakdown. Moreover, we observe a unique layer-by-layer dielectric breakdown, both at the nanoscale and device level. These findings may be of interest for many materials scientists and could open a new pathway towards two dimensional logic device applications.

  10. Specialized Color Targets for Spectral Reflectance Reconstruction of Magnified Images

    Science.gov (United States)

    Kruschwitz, Jennifer D. T.

    Digital images are used almost exclusively instead of film to capture visual information across many scientific fields. The colorimetric color representation within these digital images can be relayed from the digital counts produced by the camera with the use of a known color target. In image capture of magnified images, there is currently no reliable color target that can be used at multiple magnifications and give the user a solid understanding of the color ground truth within those images. The first part of this dissertation included the design, fabrication, and testing of a color target produced with optical interference coated microlenses for use in an off-axis illumination, compound microscope. An ideal target was designed to increase the color gamut for colorimetric imaging and provide the necessary "Block Dye" spectral reflectance profiles across the visible spectrum to reduce the number of color patches necessary for multiple filter imaging systems that rely on statistical models for spectral reflectance reconstruction. There are other scientific disciplines that can benefit from a specialized color target to determine the color ground truth in their magnified images and perform spectral estimation. Not every discipline has the luxury of having a multi-filter imaging system. The second part of this dissertation developed two unique ways of using an interference coated color mirror target: one that relies on multiple light-source angles, and one that leverages a dynamic color change with time. The source multi-angle technique would be used for the microelectronic discipline where the reconstructed spectral reflectance would be used to determine a dielectric film thickness on a silicon substrate, and the time varying technique would be used for a biomedical example to determine the thickness of human tear film.

  11. Pure Surface Texture Mapping Technology and it's Application for Mirror Image

    Directory of Open Access Journals (Sweden)

    Wei Feng Wang

    2013-02-01

    Full Text Available Based on the study of pure surface texture mapping technology, pure texture surface rendering method is proposed. The method is combined pure surface texture rendering and view mirror, real-time rendering has an index of refraction, reflection, and the flow of water ripple effect. Through the experimental verification of the validity of the algorithm.

  12. Mirror systems.

    Science.gov (United States)

    Fogassi, Leonardo; Ferrari, Pier Francesco

    2011-01-01

    Mirror neurons are a class of visuomotor neurons, discovered in the monkey premotor cortex and in an anatomically connected area of the inferior parietal lobule, that activate both during action execution and action observation. They constitute a circuit dedicated to match actions made by others with the internal motor representations of the observer. It has been proposed that this matching system enables individuals to understand others' behavior and motor intentions. Here we will describe the main features of mirror neurons in monkeys. Then we will present evidence of the presence of a mirror system in humans and of its involvement in several social-cognitive functions, such as imitation, intention, and emotion understanding. This system may have several implications at a cognitive level and could be linked to specific social deficits in humans such as autism. Recent investigations addressed the issue of the plasticity of the mirror neuron system in both monkeys and humans, suggesting also their possible use in rehabilitation. WIREs Cogn Sci 2011 2 22-38 DOI: 10.1002/wcs.89 For further resources related to this article, please visit the WIREs website. Copyright © 2010 John Wiley & Sons, Ltd.

  13. Optimization of an Angle-Aided Mirror Diversity Receiver for Indoor MIMO-VLC Systems

    KAUST Repository

    Park, Kihong

    2017-02-07

    In this paper, we investigate the channel correlation problem which affects the performance of indoor multiple-input multiple-output (MIMO) visible light communication (VLC) systems. More specifically, in order to reduce the high correlation of channel matrix in MIMO-VLC intensity channel, we propose a non-imaging receiver called angle-aided mirror diversity receiver (AMDR) which utilizes not only a mirror placement but also a variation of orientation angle for the photodetector (PD) plane. Deploying a mirror helps reducing the correlation by blocking the reception of the light in one specific direction and by receiving additional light reflected in the mirror in another direction, while orienting the angle of PD plane into specific direction enables the directional reception of light. Applying a zero-forcing decorrelator at the receiver, we analyze the bit error rate (BER) performance for a 2×2 multiplexing MIMO-VLC system using a 2-dimensional geometric model. In particular, we formulate a min-max BER problem and find the optimal height of mirror and elevation angle of PD plane. Some selected numerical results validate our proposed optimal solution to our min-max BER problem and show that the BER performance of our proposed AMDR outperforms that of the previous non-imaging receivers.

  14. Mirroring of 400 GeV/c protons by an ultra-thin straight crystal

    International Nuclear Information System (INIS)

    Scandale, W.; Arduini, G.; Butcher, M.; Cerutti, F.; Gilardoni, S.; Lechner, A.; Losito, R.; Masi, A.; Metral, E.; Mirarchi, D.; Montesano, S.; Redaelli, S.; Smirnov, G.; Bagli, E.; Bandiera, L.; Baricordi, S.; Dalpiaz, P.; Germogli, G.; Guidi, V.; Mazzolari, A.

    2014-01-01

    Channeling is the confinement of the trajectory of a charged particle in a crystalline solid. Positively charged particles channeled between crystal planes oscillate with a certain oscillation length, which depends on particle energy. A crystal whose thickness is half the oscillation length for planar channeling may act as a mirror for charged particles. If the incident angle of the particle trajectory with the crystal plane is less than the critical angle for channeling, under-barrier particles undergo half an oscillation and exit the crystal with the reversal of their transverse momentum, i.e., the particles are “mirrored” by the crystal planes. Unlike the traditional scheme relying on millimeter-long curved crystals, particle mirroring enables beam steering in high-energy accelerators via interactions with micrometer-thin straight crystal. The main advantage of mirroring is the interaction with a minimal amount of material along the beam, thereby decreasing unwanted incoherent nuclear interactions. The effectiveness of the mirror effect for ultrarelativistic positive particles has been experimentally proven at external lines of CERN-SPS. The mirroring effect in a 26.5-μm-thick Si crystal has been studied in the experiment with a narrow beam of 400 GeV/c protons at the CERN-SPS. The reflection efficiency for a quasi-parallel beam is larger than 80%

  15. Optimization of an Angle-Aided Mirror Diversity Receiver for Indoor MIMO-VLC Systems

    KAUST Repository

    Park, Kihong; Alouini, Mohamed-Slim

    2017-01-01

    In this paper, we investigate the channel correlation problem which affects the performance of indoor multiple-input multiple-output (MIMO) visible light communication (VLC) systems. More specifically, in order to reduce the high correlation of channel matrix in MIMO-VLC intensity channel, we propose a non-imaging receiver called angle-aided mirror diversity receiver (AMDR) which utilizes not only a mirror placement but also a variation of orientation angle for the photodetector (PD) plane. Deploying a mirror helps reducing the correlation by blocking the reception of the light in one specific direction and by receiving additional light reflected in the mirror in another direction, while orienting the angle of PD plane into specific direction enables the directional reception of light. Applying a zero-forcing decorrelator at the receiver, we analyze the bit error rate (BER) performance for a 2×2 multiplexing MIMO-VLC system using a 2-dimensional geometric model. In particular, we formulate a min-max BER problem and find the optimal height of mirror and elevation angle of PD plane. Some selected numerical results validate our proposed optimal solution to our min-max BER problem and show that the BER performance of our proposed AMDR outperforms that of the previous non-imaging receivers.

  16. Monochromatic filter with multiple manipulation approaches by the layered all-dielectric patch array

    International Nuclear Information System (INIS)

    Liu, Xiaoshan; Liu, Guiqiang; Fu, Guolan; Liu, Mulin; Liu, Zhengqi

    2016-01-01

    Monochromatic filtering with ultra-narrowband and high spectral contrast is desirable for wide applications in display, image, and other optoelectronics. However, owing to the inherent omhic losses in the metallic materials, a broadband spectrum with a low Q-factor down to 10 inevitably limits the device performance. Herein, we for the first time theoretically propose and demonstrate an ultra-narrowband color-filtering platform based on the layered all-dielectric meta-material (LADM), which consists of a triple-layer high/low/high-index dielectrics cavity structure. Owing to the lossless dielectric materials used, sharp resonances with the bandwidth down to sub-10 nm are observed in the sub-wavelength LADM-based filters. A spectral Q-factor of 361.6 is achieved, which is orders of magnitude larger than that of the plasmonic resonators. Moreover, for the other significant factor for evaluation of filtering performance, the spectral contrast reaches 94.5%. These optical properties are the main results of the excitation of the resonant modes in the LADMs. Furthermore, polarization-manipulated light filtering is realized in this LADM. The classical Malus law is also confirmed in the reflective spectrum by tuning the polarization state. More interestingly and importantly, the filtering phenomenon shows novel features of the wavelength-independent and tunable resonant intensity for the reflective spectrum when the LADM-based filter is illuminated under an oblique state. High scalability of the sharp reflective spectrum is obtained by tuning the structural parameters. A single-wavelength reflective filtering window is also achieved in the visible frequencies. These features hold promise for the LADM-based filter with wide applications in color engineering, displaying, imaging, etc. (paper)

  17. Mathematical Modeling of Electrical Conductivity of Dielectric with Dispersed Metallic Inclusions

    Directory of Open Access Journals (Sweden)

    V. S. Zarubin

    2015-01-01

    elements consists of the dielectric material matrix.Quantitative analysis of two-sided estimates of possible values of the electrical conductivity of the composite, which are constructed by using dual variational electrokinetics problem statement for a heterogeneous solid body, showed that for real dielectric matrix material combinations and metallic inclusions in case when their electrical conductivity can differ by more than 10 orders of magnitude, these estimates can vary widely the specified characteristics of a composite. Therefore, to obtain the estimated effective dependence, a solution to the electrokinetics problem for representative element of the composite structure based on the assumption about ideal conductivity of metallic inclusions is found. It is shown that this dependence reflects properly the influence of the properties of the structural elements of a composite on its electrical conductivity.

  18. One-Step Real-Image Reflection Holograms

    Science.gov (United States)

    Buah-Bassuah, Paul K.; Vannoni, Maurizio; Molesini, Giuseppe

    2007-01-01

    A holographic process is presented where the object is made of the real image produced by a two-mirror system. Single-step reflection hologram recording is achieved. Details of the process are given, optics concepts are outlined and demonstrative results are presented. (Contains 6 figures and 2 footnotes.)

  19. Broadband cloaking using composite dielectrics

    Directory of Open Access Journals (Sweden)

    Ruey-Bing Hwang

    2011-03-01

    Full Text Available In this paper, we present a novel cloaking structure that is able to make a metallic block invisible in a metallic waveguide. Such a cloak is made up of a stack of commonly used dielectric slabs. We carry out the numerical simulation and observe the detour of the vector Poynting power through the cloak. Moreover, the experiment is conducted for measuring the scattering characteristics including the reflection and transmission coefficients. The great improvement in the transmission coefficient in a broad bandwidth after cloaking is demonstrated. Significantly, the theory of mode conversion is developed for explaining the cloaking phenomenon.

  20. Lattices of dielectric resonators

    CERN Document Server

    Trubin, Alexander

    2016-01-01

    This book provides the analytical theory of complex systems composed of a large number of high-Q dielectric resonators. Spherical and cylindrical dielectric resonators with inferior and also whispering gallery oscillations allocated in various lattices are considered. A new approach to S-matrix parameter calculations based on perturbation theory of Maxwell equations, developed for a number of high-Q dielectric bodies, is introduced. All physical relationships are obtained in analytical form and are suitable for further computations. Essential attention is given to a new unified formalism of the description of scattering processes. The general scattering task for coupled eigen oscillations of the whole system of dielectric resonators is described. The equations for the  expansion coefficients are explained in an applicable way. The temporal Green functions for the dielectric resonator are presented. The scattering process of short pulses in dielectric filter structures, dielectric antennas  and lattices of d...

  1. Two-phase mixed media dielectric with macro dielectric beads for enhancing resistivity and breakdown strength

    Science.gov (United States)

    Falabella, Steven; Meyer, Glenn A; Tang, Vincent; Guethlein, Gary

    2014-06-10

    A two-phase mixed media insulator having a dielectric fluid filling the interstices between macro-sized dielectric beads packed into a confined volume, so that the packed dielectric beads inhibit electro-hydrodynamically driven current flows of the dielectric liquid and thereby increase the resistivity and breakdown strength of the two-phase insulator over the dielectric liquid alone. In addition, an electrical apparatus incorporates the two-phase mixed media insulator to insulate between electrical components of different electrical potentials. And a method of electrically insulating between electrical components of different electrical potentials fills a confined volume between the electrical components with the two-phase dielectric composite, so that the macro dielectric beads are packed in the confined volume and interstices formed between the macro dielectric beads are filled with the dielectric liquid.

  2. Gasdynamic Mirror Fusion Propulsion Experiment

    Science.gov (United States)

    Emrich, Bill; Rodgers, Stephen L. (Technical Monitor)

    2000-01-01

    A gasdynamic mirror (GDM) fusion propulsion experiment is currently being constructed at the NASA Marshall Space Flight Center (MSFC) to test the feasibility of this particular type of fusion device. Because of the open magnetic field line configuration of mirror fusion devices, they are particularly well suited for propulsion system applications since they allow for the easy ejection of thrust producing plasma. Currently, the MSFC GDM is constructed in three segments. The vacuum chamber mirror segment, the plasma injector mirror segment, and the main plasma chamber segment. Enough magnets are currently available to construct up to three main plasma chamber segments. The mirror segments are also segmented such that they can be expanded to accommodate new end plugging strategies with out requiring the disassembly of the entire mirror segment. The plasma for the experiment is generated in a microwave cavity located between the main magnets and the mirror magnets. Ion heating is accomplished through ambipolar diffusion. The objective of the experiment is to investigate the stability characteristics of the gasdynamic mirror and to map a region of parameter space within which the plasma can be confined in a stable steady state configuration. The mirror ratio, plasma density, and plasma "b" will be varied over a range of values and measurements subsequently taken to determine the degree of plasma stability.

  3. Review of mirror fusion reactor designs

    International Nuclear Information System (INIS)

    Bender, D.J.

    1977-01-01

    Three magnetic confinement concepts, based on the mirror principle, are described. These mirror concepts are summarized as follows: (1) fusion-fission hybrid reactor, (2) tandem mirror reactor, and (3) reversed field mirror reactor

  4. Interference effects in plasom excitation by particles reflected near a metal surface

    International Nuclear Information System (INIS)

    Denton, C.D.; Gervasoni, J.L.; Barrachina, R.O.; Arista, N.R.; Universidad Nacional de Cuyo, Mendoza

    1993-01-01

    Using the dielectric formalism and the specular reflection model, we evaluate the probability of surface and bulk plasmon excitation by particles reflected in the proximity of a metal surface. We obtain a strong oscillatory behaviour as a function of the penetration distance. (author)

  5. Characterization of dielectric materials

    Energy Technology Data Exchange (ETDEWEB)

    King, Danny J.; Babinec, Susan; Hagans, Patrick L.; Maxey, Lonnie C.; Payzant, Edward A.; Daniel, Claus; Sabau, Adrian S.; Dinwiddie, Ralph B.; Armstrong, Beth L.; Howe, Jane Y.; Wood, III, David L.; Nembhard, Nicole S.

    2017-06-27

    A system and a method for characterizing a dielectric material are provided. The system and method generally include applying an excitation signal to electrodes on opposing sides of the dielectric material to evaluate a property of the dielectric material. The method can further include measuring the capacitive impedance across the dielectric material, and determining a variation in the capacitive impedance with respect to either or both of a time domain and a frequency domain. The measured property can include pore size and surface imperfections. The method can still further include modifying a processing parameter as the dielectric material is formed in response to the detected variations in the capacitive impedance, which can correspond to a non-uniformity in the dielectric material.

  6. Spectroscopic characterization of novel multilayer mirrors intended for astronomical and laboratory applications

    Science.gov (United States)

    Ragozin, Eugene N.; Mednikov, Konstantin N.; Pertsov, Andrei A.; Pirozhkov, Alexander S.; Reva, Anton A.; Shestov, Sergei V.; Ul'yanov, Artem S.; Vishnyakov, Eugene A.

    2009-05-01

    We report measurements of the reflection spectra of (i) concave (spherical and parabolic) Mo/Si, Mg/Si, and Al/Zr multilayer mirrors (MMs) intended for imaging solar spectroscopy in the framework of the TESIS/CORONAS-FOTON Satellite Project and of (ii) an aperiodic Mo/Si MM optimized for maximum uniform reflectivity in the 125-250 Å range intended for laboratory applications. The reflection spectra were measured in the configuration of a transmission grating spectrometer employing the radiation of a tungsten laser-driven plasma as the source. The function of detectors was fulfilled by backside-illuminated CCDs coated with Al or Zr/Si multilayer absorption filters. High-intensity second-order interference reflection peaks at wavelengths of about 160 Å were revealed in the reflection spectra of the 304-Å Mo/Si MMs. By contrast, the second-order reflection peak in the spectra of the new-generation narrow-band (~12 Å FWHM) 304-Å Mg/Si MMs is substantially depressed. Manifestations of the NEXAFS structure of the L2, 3 absorption edges of Al and Al2O3 were observed in the spectra recorded. The broadband Mo/Si MM was employed as the focusing element of spectrometers in experiments involving (i) the charge exchange of multiply charged ions with the donor atoms of a rare-gas jet; (ii) the spectroscopic characterization of a debris-free soft X-ray radiation source excited by Nd laser pulses in a Xe jet (iii) near-IR-to-soft-X-ray frequency conversion (double Doppler effect) occurring in the retroreflection from the relativistic electron plasma wake wave (flying mirror) driven by a multiterawatt laser in a pulsed helium jet.

  7. Microwave measurement and modeling of the dielectric properties of vegetation

    Science.gov (United States)

    Shrestha, Bijay Lal

    Some of the important applications of microwaves in the industrial, scientific and medical sectors include processing and treatment of various materials, and determining their physical properties. The dielectric properties of the materials of interest are paramount irrespective of the applications, hence, a wide range of materials covering food products, building materials, ores and fuels, and biological materials have been investigated for their dielectric properties. However, very few studies have been conducted towards the measurement of dielectric properties of green vegetations, including commercially important plant crops such as alfalfa. Because of its high nutritional value, there is a huge demand for this plant and its processed products in national and international markets, and an investigation into the possibility of applying microwaves to improve both the net yield and quality of the crop can be beneficial. Therefore, a dielectric measurement system based upon the probe reflection technique has been set up to measure dielectric properties of green plants over a frequency range from 300 MHz to 18 GHz, moisture contents from 12%, wet basis to 79%, wet basis, and temperatures from -15°C to 30°C. Dielectric properties of chopped alfalfa were measured with this system over frequency range of 300 MHz to 18 GHz, moisture content from 11.5%, wet basis, to 73%, wet basis, and density over the range from 139 kg m-3 to 716 kg m-3 at 23°C. The system accuracy was found to be +/-6% and +/-10% in measuring the dielectric constant and loss factor respectively. Empirical, semi empirical and theoretical models that require only moisture content and operating frequency were determined to represent the dielectric properties of both leaves and stems of alfalfa at 22°C. The empirical models fitted the measured dielectric data extremely well. The root mean square error (RMSE) and the coefficient of determination (r2) for dielectric constant and loss factor of leaves

  8. Simulation of mirror surfaces for virtual estimation of visibility lines for 3D motor vehicle collision reconstruction.

    Science.gov (United States)

    Leipner, Anja; Dobler, Erika; Braun, Marcel; Sieberth, Till; Ebert, Lars

    2017-10-01

    3D reconstructions of motor vehicle collisions are used to identify the causes of these events and to identify potential violations of traffic regulations. Thus far, the reconstruction of mirrors has been a problem since they are often based on approximations or inaccurate data. Our aim with this paper was to confirm that structured light scans of a mirror improve the accuracy of simulating the field of view of mirrors. We analyzed the performances of virtual mirror surfaces based on structured light scans using real mirror surfaces and their reflections as references. We used an ATOS GOM III scanner to scan the mirrors and processed the 3D data using Geomagic Wrap. For scene reconstruction and to generate virtual images, we used 3ds Max. We compared the simulated virtual images and photographs of real scenes using Adobe Photoshop. Our results showed that we achieved clear and even mirror results and that the mirrors behaved as expected. The greatest measured deviation between an original photo and the corresponding virtual image was 20 pixels in the transverse direction for an image width of 4256 pixels. We discussed the influences of data processing and alignment of the 3D models on the results. The study was limited to a distance of 1.6m, and the method was not able to simulate an interior mirror. In conclusion, structured light scans of mirror surfaces can be used to simulate virtual mirror surfaces with regard to 3D motor vehicle collision reconstruction. Copyright © 2017 Elsevier B.V. All rights reserved.

  9. The obsidian mirror The obsidian mirror

    Directory of Open Access Journals (Sweden)

    Maria do Socorro Reis Amorin

    2008-04-01

    Full Text Available The author James Norman is an American who has always lived in Mexico during the summer. He seems to love Mexican - Indian traditions and he is well acquainted with the pre-historic culture as it is shown in his book: "The Obsidian Mirror". "The Obsidian Mirror" is a mysterious story about an archeologist: Quigley that lives in a small village in Mexico-San Marcos. He is searching for antiques that belong to some tribes of pre-historic Indians in order to find out their mysteries. Quigley becomes so engaged in his work that his mind has reached a stage that is impossible to separate between Quigley the archeologist, and Quigley as an ancient Indian. The culture, the myth, the sensation of Omen - characteristics of the Indians are within himself. As a result, Quigley acts sometimes as a real Indian. The author James Norman is an American who has always lived in Mexico during the summer. He seems to love Mexican - Indian traditions and he is well acquainted with the pre-historic culture as it is shown in his book: "The Obsidian Mirror". "The Obsidian Mirror" is a mysterious story about an archeologist: Quigley that lives in a small village in Mexico-San Marcos. He is searching for antiques that belong to some tribes of pre-historic Indians in order to find out their mysteries. Quigley becomes so engaged in his work that his mind has reached a stage that is impossible to separate between Quigley the archeologist, and Quigley as an ancient Indian. The culture, the myth, the sensation of Omen - characteristics of the Indians are within himself. As a result, Quigley acts sometimes as a real Indian.

  10. Skin, a mirror reflecting diabetes mellitus: A longitudinal study in a tertiary care hospital in Gujarat.

    Science.gov (United States)

    Vahora, Roshni; Thakkar, Sejal; Marfatia, Yogesh

    2013-07-01

    Diabetes mellitus (DM) is the most common of the endocrine disorders. Mucocutaneous manifestations of diabetes mellitus are many and vary from trivial to life-threatening. Sometimes, mucocutaneous disorders may herald the onset of diabetes. To study the pattern of mucocutaneous manifestations in diabetics and role of it in diagnosing diabetes mellitus and its complications. It was a longitudinal observational study of patients having diabetes with skin complaints attending skin outdoor department or admitted in wards for any reason in a tertiary care hospital. Total 300 patients were included in the study. Detailed history, clinical examination, and relevant investigations were done to diagnose the mucocutaneous disorders, diabetes, and diabetic complications. The data was analyzed by using Epi info software. Demographic profile shown majority of cases (78.66%) in more than 40 years of age with almost equal male and female preponderance. Mucocutaneous manifestations as presenting feature of diabetes were observed in 21.67% cases. Infections were most common in 119 (39.66%) cases, followed by acanthosis nigricans in 46 (15.33%) cases. Various associated complications like hypertension, retinopathy, hyperlipidemia, coronary artery disease, neuropathy, nephropathy, and diabetic ketoacidosis were observed in 160 (53.3%). Skin is the mirror, which reflects internal diseases; this aptly applies to skin and diabetes mellitus. Through awareness about cutaneous manifestations of DM, dermatologist can not only take credit for detecting DM but also facilitate early diagnosis of systemic complications of DM. This is immensely beneficial to patients in long run.

  11. A simple method for reducing inevitable dielectric loss in high-permittivity dielectric elastomers

    DEFF Research Database (Denmark)

    Madsen, Frederikke Bahrt; Yu, Liyun; Mazurek, Piotr Stanislaw

    2016-01-01

    elastomer matrix, with high dielectric permittivity and a low Young's modulus, aligned with no loss of mechanical stability, was prepared through the use of commercially available chloropropyl-functional silicone oil mixed into a tough commercial liquid silicone rubber silicone elastomer. The addition...... also decreased the dielectric losses of an elastomer containing dielectric permittivity-enhancing TiO2 fillers. Commercially available chloropropyl-functional silicone oil thus constitutes a facile method for improved silicone DEs, with very low dielectric losses.......Commercial viability of dielectric elastomers (DEs) is currently limited by a few obstacles, including high driving voltages (in the kV range). Driving voltage can be lowered by either decreasing the Young's modulus or increasing the dielectric permittivity of silicone elastomers, or a combination...

  12. Behavior under irradiation of super-mirror for neutron guides

    International Nuclear Information System (INIS)

    N'Guy-Marechal, K.

    1997-10-01

    The aim of this work is to study the aging of NiCx/Ti super-mirror multilayers used in neutron guides under thermal neutron irradiation. These multilayers allow an increase of the apparent critical angle of total reflection by creating constructive interferences. Neutrons fluxes are thus increased in neutron guides made with a super-mirror coating. Thin films of one and ten bilayers have been deposited on a silicon and a borosilicate glass substrate. We have then studied the evolution of their optical, structural and mechanical properties after irradiation and annealing. After irradiation, a decrease in neutron reflectivity has been observed, due to the interdiffusion of both materials: this phenomenon was particularly important in the coatings deposited on a glass substrate. X-ray diffraction and X-ray absorption spectroscopy have shown that the structural evolutions of both nickel and titanium do not depend on the substrate. Nickel layers remain face-centered cubic after treatment, whereas the initially hexagonal closed-packed titanium becomes face-centered cubic with a texture in the [111] direction. This phase transformation has been attributed to the formation of a TiH compound containing as much as 50% hydrogen. Despite these structural changes, stress relaxation has occurred after irradiation in our layers. On the contrary, the mean stress that we have determined in previous samples, elaborated in another laboratory, has increased after irradiation. Comparison of both results shows that stress evolution is linked to the deposition conditions. As stress remains almost unchanged after annealing, we may conclude that only irradiation defects, and not heating, lead to stress evolution. Our samples being very similar to real neutron guides, we can extend the results we have obtained in this work to real super-mirrors. (author)

  13. Dielectrics in electric fields

    CERN Document Server

    Raju, Gorur G

    2003-01-01

    Discover nontraditional applications of dielectric studies in this exceptionally crafted field reference or text for seniors and graduate students in power engineering tracks. This text contains more than 800 display equations and discusses polarization phenomena in dielectrics, the complex dielectric constant in an alternating electric field, dielectric relaxation and interfacial polarization, the measurement of absorption and desorption currents in time domains, and high field conduction phenomena. Dielectrics in Electric Fields is an interdisciplinary reference and text for professionals and students in electrical and electronics, chemical, biochemical, and environmental engineering; physical, surface, and colloid chemistry; materials science; and chemical physics.

  14. The apparent effect of sample surface damage on the dielectric parameters of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Engelbrecht, J.A.A. [Physics Department, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa)], E-mail: Japie.Engelbrecht@nmmu.ac.za; Hashe, N.G. [Physics Department, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Hillie, K.T. [CSIR-NML Laboratory, P.O. Box 395, Pretoria 0001 (South Africa); Claassens, C.H. [Physics Department, University of the Free State, Bloemfontein 9300 (South Africa)

    2007-12-15

    The dielectric and optical parameters determined by infrared reflectance spectroscopy and computer simulation of a set of GaAs substrates of various surface topologies are reported. The influence of surface damage on the parameters is noted.

  15. The apparent effect of sample surface damage on the dielectric parameters of GaAs

    International Nuclear Information System (INIS)

    Engelbrecht, J.A.A.; Hashe, N.G.; Hillie, K.T.; Claassens, C.H.

    2007-01-01

    The dielectric and optical parameters determined by infrared reflectance spectroscopy and computer simulation of a set of GaAs substrates of various surface topologies are reported. The influence of surface damage on the parameters is noted

  16. Tandem mirror plasma confinement apparatus

    Science.gov (United States)

    Fowler, T. Kenneth

    1978-11-14

    Apparatus and method for confining a plasma in a center mirror cell by use of two end mirror cells as positively charged end stoppers to minimize leakage of positive particles from the ends of the center mirror cell.

  17. Magneto-hydrodynamically stable axisymmetric mirrors

    Energy Technology Data Exchange (ETDEWEB)

    Ryutov, D. D.; Cohen, B. I.; Molvik, A. W. [Lawrence Livermore National Laboratory, Livermore, California 94551 (United States); Berk, H. L. [University of Texas, Austin, Texas 78712 (United States); Simonen, T. C. [University of California, Berkeley, California 94720 (United States)

    2011-09-15

    Making axisymmetric mirrors magnetohydrodynamically (MHD) stable opens up exciting opportunities for using mirror devices as neutron sources, fusion-fission hybrids, and pure-fusion reactors. This is also of interest from a general physics standpoint (as it seemingly contradicts well-established criteria of curvature-driven instabilities). The axial symmetry allows for much simpler and more reliable designs of mirror-based fusion facilities than the well-known quadrupole mirror configurations. In this tutorial, after a summary of classical results, several techniques for achieving MHD stabilization of the axisymmetric mirrors are considered, in particular: (1) employing the favorable field-line curvature in the end tanks; (2) using the line-tying effect; (3) controlling the radial potential distribution; (4) imposing a divertor configuration on the solenoidal magnetic field; and (5) affecting the plasma dynamics by the ponderomotive force. Some illuminative theoretical approaches for understanding axisymmetric mirror stability are described. The applicability of the various stabilization techniques to axisymmetric mirrors as neutron sources, hybrids, and pure-fusion reactors are discussed; and the constraints on the plasma parameters are formulated.

  18. Survey of mirror machine reactors

    International Nuclear Information System (INIS)

    Condit, W.C.

    1978-01-01

    The Magnetic Mirror Fusion Program is one of the two main-line fusion efforts in the United States. Starting from the simple axisymmetric mirror concept in the 1950's, the program has successfully overcome gross flute-type instabilities (using minimum-B magnetic fields), and the most serious of the micro-instabilities which plagued it (the drift-cyclotron loss-cone mode). Dense plasmas approaching the temperature range of interest for fusion have been created (n/sub p/ = 10 14 /cc at 10 to 12 keV). At the same time, rather extensive conceptual design studies of possible mirror configurations have led to three principle designs of interest: the standard mirror fission-fusion hybrid, tandem mirror, and the field-reversed mirror. The lectures will discuss these three concepts in turn. There will be no discussion of diagnostics for the mirror machine in these lectures, but typical plasma parameters will be given for each type of machine, and the diagnostic requirements will be apparent. In a working fusion reactor, diagnostics will be required for operational control, and remarks will be made on this subject

  19. Inductive dielectric analyzer

    International Nuclear Information System (INIS)

    Agranovich, Daniel; Popov, Ivan; Ben Ishai, Paul; Feldman, Yuri; Polygalov, Eugene

    2017-01-01

    One of the approaches to bypass the problem of electrode polarization in dielectric measurements is the free electrode method. The advantage of this technique is that, the probing electric field in the material is not supplied by contact electrodes, but rather by electromagnetic induction. We have designed an inductive dielectric analyzer based on a sensor comprising two concentric toroidal coils. In this work, we present an analytic derivation of the relationship between the impedance measured by the sensor and the complex dielectric permittivity of the sample. The obtained relationship was successfully employed to measure the dielectric permittivity and conductivity of various alcohols and aqueous salt solutions. (paper)

  20. Femtosecond tracking of carrier relaxation in germanium with extreme ultraviolet transient reflectivity

    Science.gov (United States)

    Kaplan, Christopher J.; Kraus, Peter M.; Ross, Andrew D.; Zürch, Michael; Cushing, Scott K.; Jager, Marieke F.; Chang, Hung-Tzu; Gullikson, Eric M.; Neumark, Daniel M.; Leone, Stephen R.

    2018-05-01

    Extreme ultraviolet (XUV) transient reflectivity around the germanium M4 ,5 edge (3 d core-level to valence transition) at 30 eV is advanced to obtain the transient dielectric function of crystalline germanium [100] on femtosecond to picosecond time scales following photoexcitation by broadband visible-to-infrared (VIS/NIR) pulses. By fitting the transient dielectric function, carrier-phonon induced relaxations are extracted for the excited carrier distribution. The measurements reveal a hot electron relaxation rate of 3.2 ±0.2 ps attributed to the X -L intervalley scattering and a hot hole relaxation rate of 600 ±300 fs ascribed to intravalley scattering within the heavy hole (HH) band, both in good agreement with previous work. An overall energy shift of the XUV dielectric function is assigned to a thermally induced band gap shrinkage by formation of acoustic phonons, which is observed to be on a timescale of 4-5 ps, in agreement with previously measured optical phonon lifetimes. The results reveal that the transient reflectivity signal at an angle of 66∘ with respect to the surface normal is dominated by changes to the real part of the dielectric function, due to the near critical angle of incidence of the experiment (66∘-70∘) for the range of XUV energies used. This work provides a methodology for interpreting XUV transient reflectivity near core-level transitions, and it demonstrates the power of the XUV spectral region for measuring ultrafast excitation dynamics in solids.

  1. High-frequency dielectric properties of nanocomposite and ceramic titanates

    Czech Academy of Sciences Publication Activity Database

    Rinkevich, A.B.; Kuznetsov, E. A.; Perov, D.V.; Bovtun, Viktor; Kempa, Martin; Nuzhnyy, Dmitry; Savinov, Maxim; Samoilovich, M.I.; Klescheva, S.M.; Ryabkov, Y.I.; Tsvetkova, E.V.

    2015-01-01

    Roč. 14, č. 3 (2015), s. 585-592 ISSN 1536-125X R&D Projects: GA ČR GAP204/12/0232 Institutional support: RVO:68378271 Keywords : electromagnetic waveguide * opal matrix * transmission and reflection coefficients * microwave conductivity * dielectric spectra Subject RIV: BM - Solid Matter Physics ; Magnetism OBOR OECD: Condensed matter physics (including formerly solid state physics, supercond.) Impact factor: 1.702, year: 2015

  2. The Candle and the Mirror: One Author's Journey as an Outsider.

    Science.gov (United States)

    Moreillon, Judi

    1999-01-01

    Chronicles the author's journey as an outsider who authored a book for children about the harvest traditions of the Tohono O'odham people. Describes how her concern about the lack of literature to serve as a mirror and a candle to reflect and illuminate the lives of Tohono O'odham children led her on a journey that was both painful and affirming.…

  3. Focusing a laser beam by means of an off-centre parabolic mirror

    International Nuclear Information System (INIS)

    Rostaing, Michel.

    In this study particular attention was paid to defining and optimizing a focusing system for concentrating a CO 2 laser beam (wave length lambda = 10.6 μm) on to a target containing a deuterium and tritium mixture. A digital study of the focusing of a spherical wave, in conjunction with the examination of the properties of reflecting surfaces led to the development of a focusing device using an off-centre parabolic mirror. Despite an aberration of 6 μm in the mirror, the lighting achieved experimentally in the plane of the circle of least scattering is 1.6 times greater than that achieved during the use of an NaCl spherical plane lense [fr

  4. Effect of Mirror Therapy on Upper Limb Function: A Single Subject Study

    Directory of Open Access Journals (Sweden)

    Seyed Alireza Derakhshanrad

    2017-09-01

    Discussion: From the perspective of visual feedback neuro-occupation model, it could be hypothesized that alterations to the sensory system caused by the mirror reflection of non affected hand may have led to the destabilization of the sensory cortices that changed the participants’ intention, meaning, and perception, thereby improving the subject’s motor control. 

  5. Silicon-photonics light source realized by III-V/Si grating-mirror laser

    DEFF Research Database (Denmark)

    Chung, Il-Sug; Mørk, Jesper

    2010-01-01

    waveguide are made in the Si layer of a silicon-on-insulator wafer by using Si-electronics-compatible processing. The HCG works as a highly-reflective mirror for vertical resonance and at the same time routes light to the in-plane output waveguide. Numerical simulations show superior performance compared...... to existing silicon light sources....

  6. GPS Multipath Fade Measurements to Determine L-Band Ground Reflectivity Properties

    Science.gov (United States)

    Kavak, Adnan; Xu, Guang-Han; Vogel, Wolfhard J.

    1996-01-01

    In personal satellite communications, especially when the line-of-sight is clear, ground specular reflected signals along with direct signals are received by low gain, almost omni-directional subscriber antennas. A six-channel, C/A code processing, GPS receiver with an almost omni-directional patch antenna was used to take measurements over three types of ground to characterize 1.575 GHz specular ground reflections and ground dielectric properties. Fade measurements were taken over grass, asphalt, and lake water surfaces by placing the antenna in a vertical position at a fixed height from the ground. Electrical characteristics (conductivity and dielectric constant) of these surfaces (grass, asphalt, lake water) were obtained by matching computer simulations to the experimental results.

  7. Figure and finish characterization of high performance metal mirrors

    International Nuclear Information System (INIS)

    Takacs, P.Z.; Church, E.L.

    1991-10-01

    Most metal mirrors currently used in synchrotron radiation (SR) beam lines to reflect soft x-rays are made of electroless nickel plate on an aluminum substrate. This material combination has allowed optical designers to incorporate exotic cylindrical aspheres into grazing incidence x-ray beam-handling systems by taking advantage of single-point diamond machining techniques. But the promise of high-quality electroless nickel surfaces has generally exceeded the performance. We will examine the evolution of electroless nickel surfaces through a study of the quality of mirrors delivered for use at the National Synchrotron Light Source over the past seven years. We have developed techniques to assess surface quality based on the measurement of surface roughness and figure errors with optical profiling instruments. It is instructive to see how the quality of the surface is related to the complexity of the machine operations required to produce it

  8. Fiber laser cleaning of metal mirror surfaces for optical diagnostic systems of the ITER

    Energy Technology Data Exchange (ETDEWEB)

    Kuznetsov, A. P., E-mail: APKuznetsov@mephi.ru; Alexandrova, A. S. [National Research Nuclear University MEPhI (Russian Federation); Buzhinsky, O. I. [State Research Center of the Russian Federation Troitsk Institute for Innovation and Fusion Research (Russian Federation); Gubskiy, K. L.; Kazieva, T. V.; Savchenkov, A. V. [National Research Nuclear University MEPhI (Russian Federation); Tugarinov, S. N. [State Research Center of the Russian Federation Troitsk Institute for Innovation and Fusion Research (Russian Federation)

    2015-12-15

    The results of experimental studies into efficiency of removal of films with a complex composition from metal mirrors by pulsed fiber laser irradiation are presented. It is shown that the initial reflectivity of optical elements can be restored by the selection of modes of irradiation impacting the surface with the sputtered film. Effective cleaning is performed by radiation with a power density lower than 10{sup 7} W/cm{sup 2}. The removal of contaminations at such a relatively low power density occurs in a solid phase, owing to which the thermal effect on the mirror is insignificant.

  9. Fiber laser cleaning of metal mirror surfaces for optical diagnostic systems of the ITER

    International Nuclear Information System (INIS)

    Kuznetsov, A. P.; Alexandrova, A. S.; Buzhinsky, O. I.; Gubskiy, K. L.; Kazieva, T. V.; Savchenkov, A. V.; Tugarinov, S. N.

    2015-01-01

    The results of experimental studies into efficiency of removal of films with a complex composition from metal mirrors by pulsed fiber laser irradiation are presented. It is shown that the initial reflectivity of optical elements can be restored by the selection of modes of irradiation impacting the surface with the sputtered film. Effective cleaning is performed by radiation with a power density lower than 10 7 W/cm 2 . The removal of contaminations at such a relatively low power density occurs in a solid phase, owing to which the thermal effect on the mirror is insignificant

  10. Tandem mirror plasma confinement apparatus

    International Nuclear Information System (INIS)

    Fowler, T.K.

    1978-01-01

    Apparatus and method are described for confining a plasma in a center mirror cell by use of two end mirror cells as positively charged end stoppers to minimize leakage of positive particles from the ends of the center mirror cell

  11. Extreme Ultraviolet Bragg mirrors with suppressed infrared reflectivity properties

    NARCIS (Netherlands)

    Medvedev, Viacheslav; Yakshin, Andrey; Louis, Eric; van de Kruijs, Robbert Wilhelmus Elisabeth; van den Boogaard, Toine; Krivtsun, V.M.; Yakinun, A.M.; Bijkerk, Frederik

    2013-01-01

    Many optical applications demand high reflectivity in a particular wavelength range while simultaneously requiring suppression of radiation outside this range. Such parasitic radiation can for instance lead to image distortions in imaging applications or poor signal-noise ratios in spectroscopy. The

  12. Extreme Ultraviolet Bragg mirrors with suppressed infrared reflectivity properties

    NARCIS (Netherlands)

    Medvedev, Viacheslav; Yakshin, Andrey; Louis, Eric; van de Kruijs, Robbert Wilhelmus Elisabeth; van den Boogaard, Toine; Krivtsun, V.M.; Yakunin, A.M.; Bijkerk, Frederik

    2012-01-01

    Many optical applications demand high reflectivity in a particular wavelength range while simultaneously requiring suppression of radiation outside this range. Such parasitic radiation can for instance lead to image distortions in imaging applications or poor signal-noise ratios in spectroscopy. The

  13. Dielectric nanoresonators for light manipulation

    Science.gov (United States)

    Yang, Zhong-Jian; Jiang, Ruibin; Zhuo, Xiaolu; Xie, Ya-Ming; Wang, Jianfang; Lin, Hai-Qing

    2017-07-01

    Nanostructures made of dielectric materials with high or moderate refractive indexes can support strong electric and magnetic resonances in the optical region. They can therefore function as nanoresonators. In addition to plasmonic metal nanostructures that have been widely investigated, dielectric nanoresonators provide a new type of building blocks for realizing powerful and versatile nanoscale light manipulation. In contrast to plasmonic metal nanostructures, nanoresonators made of appropriate dielectric materials are low-cost, earth-abundant and have very small or even negligible light energy losses. As a result, they will find potential applications in a number of photonic devices, especially those that require low energy losses. In this review, we describe the recent progress on the experimental and theoretical studies of dielectric nanoresonators. We start from the basic theory of the electromagnetic responses of dielectric nanoresonators and their fabrication methods. The optical properties of individual dielectric nanoresonators are then elaborated, followed by the coupling behaviors between dielectric nanoresonators, between dielectric nanoresonators and substrates, and between dielectric nanoresonators and plasmonic metal nanostructures. The applications of dielectric nanoresonators are further described. Finally, the challenges and opportunities in this field are discussed.

  14. The mirror-neuron system.

    Science.gov (United States)

    Rizzolatti, Giacomo; Craighero, Laila

    2004-01-01

    A category of stimuli of great importance for primates, humans in particular, is that formed by actions done by other individuals. If we want to survive, we must understand the actions of others. Furthermore, without action understanding, social organization is impossible. In the case of humans, there is another faculty that depends on the observation of others' actions: imitation learning. Unlike most species, we are able to learn by imitation, and this faculty is at the basis of human culture. In this review we present data on a neurophysiological mechanism--the mirror-neuron mechanism--that appears to play a fundamental role in both action understanding and imitation. We describe first the functional properties of mirror neurons in monkeys. We review next the characteristics of the mirror-neuron system in humans. We stress, in particular, those properties specific to the human mirror-neuron system that might explain the human capacity to learn by imitation. We conclude by discussing the relationship between the mirror-neuron system and language.

  15. Physics of mirror systems

    International Nuclear Information System (INIS)

    Post, R.F.

    1982-05-01

    In recent years the emphasis in research on the magnetic mirror approach to fusion has been shifted to address what are essentially economically-motivated issues. The introduction of the Tandem Mirror idea solved in principal the problem of low Q (low fusion power gain) of mirror-based fusion systems. In order to optimize the tandem mirror idea from an economic standpoint, some important improvements have been suggested. These improvements include the thermal barrier idea of Baldwin and Logan and the axicell concept of Kesner. These new modifications introduce some special physics considerations. Among these are (1) The MHD stability properties of high energy electron components in the end cells; (2) The optimization of end-cell magnetic field configurations with the objective of minimizing equilibrium parallel currents; (3) The suppression of microstabilities by use of sloshing ion distributions. Following a brief outline of tandem mirror concepts, the above three topics are discussed, with illustrative examples taken from earlier work or from recent design studies

  16. The X-ray reflectivity of the AXAF VETA-I optics

    Science.gov (United States)

    Kellogg, E.; Chartas, G.; Graessle, D.; Hughes, J. P.; Van Speybroeck, L.; Zhao, Ping; Weisskopf, M. C.; Elsner, R. F.; O'Dell, S. L.

    1993-01-01

    The study measures the X-ray reflectivity of the AXAF VETA-I optic and compares it with theoretical predictions. Measurements made at energies of 0.28, 0.9, 1.5, 2.1, and 2.3 keV are compared with predictions based on ray trace calculations. Results on the variation of the reflectivity with energy as well as the absolute value of the reflectivity are presented. A synchrotron reflectivity measurement with a high-energy resolution over the range 0.26 to 1.8 keV on a flat Zerodur sample is also reported. Evidence is found for contamination of the flat by a thin layer of carbon on the surface, and the possibility of alteration of the surface composition of the VETA-I mirror, perhaps by the polishing technique. The overall agreement between the measured and calculated effective area of VETA-I is between 2.6 and 10 percent. Measurements at individual energies deviate from the best-fitting calculation to 0.3 to 0.8 percent, averaging 0.6 percent at energies below the high energy cutoff of the mirror reflectivity, and are as high as 20.7 percent at the cutoff.

  17. Radiation Characteristics Enhancement of Dielectric Resonator Antenna Using Solid/Discrete Dielectric Lenses

    Directory of Open Access Journals (Sweden)

    H. A. E. Malhat

    2015-02-01

    Full Text Available The radiation characteristics of the dielectric resonator antennas (DRA is enhanced using different types of solid and discrete dielectric lenses. One of these approaches is by loading the DRA with planar superstrate, spherical lens, or by discrete lens (transmitarray. The dimensions and dielectric constant of each lens are optimized to maximize the gain of the DRA. A comparison between the radiations characteristics of the DRA loaded with different lenses are introduced. The design of the dielectric transmitarray depends on optimizing the heights of the dielectric material of the unit cell. The optimized transmitarray achieves 7 dBi extra gain over the single DRA with preserving the circular polarization. The proposed antenna is suitable for various applications that need high gain and focused antenna beam.

  18. Particle reflection along the magnetic field in nonlinear magnetosonic pulses

    Science.gov (United States)

    Ohsawa, Yukiharu

    2017-11-01

    Reflection of electrons and positrons in oblique, nonlinear magnetosonic pulses is theoretically analyzed. With the use of the parallel pseudo potential F, which is the integral of the parallel electric field along the magnetic field, a simple equation for reflection conditions is derived, which shows that reflection along the magnetic field is caused by two forces: one arising from the parallel pseudo potential multiplied by the particle charge and the other from the magnetic mirror effect. The two forces push electrons in the opposite directions. In compressive solitons, in which the magnetic field is intensified, electrons with large magnetic moments can be reflected by the magnetic mirror effect, whereas in rarefactive solitons, in which the magnetic field is weaker than outside, electrons with small magnetic moments can be reflected by the parallel pseudo potential. Although F is basically positive and large in shock waves, it occasionally becomes negative in some regions behind the shock front in nonstationary wave evolution. These negative spikes of F can reflect electrons. In contrast to the case of electrons, the two forces push positrons in the same direction. For this reason, compressive solitons in an electron-positron-ion plasma reflect a large fraction of positrons compared with electrons, whereas rarefactive solitons will reflect no positrons. A shock wave can reflect a majority of positrons with its large F. However, in a pure electron-positron plasma, in which F becomes zero, positron reflection will rarely occur.

  19. Physics of mirror fusion systems

    International Nuclear Information System (INIS)

    Post, R.F.

    1976-01-01

    Recent experimental results with the 2XIIB mirror machine at Lawrence Livermore Laboratory have demonstrated the stable confinement of plasmas at fusion temperatures and with energy densities equaling or exceeding that of the confining fields. The physics of mirror confinement is discussed in the context of these new results. Some possible approaches to further improving the confinement properties of mirror systems and the impact of these new approaches on the prospects for mirror fusion reactors are discussed

  20. Inertial polarization of dielectrics

    OpenAIRE

    Zavodovsky, A. G.

    2011-01-01

    It was proved that accelerated motion of a linear dielectric causes its polarization. Accelerated translational motion of a dielectric's plate leads to the positive charge of the surface facing the direction of motion. Metal plates of a capacitor were used to register polarized charges on a dielectric's surface. Potential difference between the capacitor plates is proportional to acceleration, when acceleration is constant potential difference grows with the increase of a dielectric's area, o...

  1. Thermal effects on beryllium mirrors

    International Nuclear Information System (INIS)

    Weinswig, S.

    1989-01-01

    Beryllium is probably the most frequently used material for spaceborne system scan mirrors. Beryllium's properties include lightweightedness, high Young's modulus, high stiffness value, high resonance value. As an optical surface, beryllium is usually nickel plated in order to produce a higher quality surface. This process leads to the beryllium mirror acting like a bimetallic device. The mirror's deformation due to the bimetallic property can possibly degrade the performance of the associated optical system. As large space borne systems are designed and as temperature considerations become more crucial in the instruments, the concern about temporal deformation of the scan mirrors becomes a prime consideration. Therefore, two sets of tests have been conducted in order to ascertain the thermal effects on nickel plated beryllium mirrors. These tests are categorized. The purpose of this paper is to present the values of the bimetallic effect on typical nickel plated beryllium mirrors

  2. Potential measurements in tandem mirrors

    International Nuclear Information System (INIS)

    Glowienka, J.C.

    1985-11-01

    The US mirror program has begun conducting experiments with a thermal barrier tandem mirror configuration. This configuration requires a specific axial potential profile and implies measurements of potential for documentation and optimization of the configuration. This report briefly outlines the motivation for the thermal barrier tandem mirror and then outlines the techniques used to document the potential profile in conventional and thermal barrier tandem mirrors. Examples of typical data sets from the world's major tandem mirror experiments, TMX and TMX-U at Lawrence Livermore National Laboratory (LLNL) and Gamma 10 at Tsukuba University in Japan, and the current interpretation of the data are discussed together with plans for the future improvement of measurements of plasma potential

  3. Visual perception during mirror-gazing at one's own face in patients with depression.

    Science.gov (United States)

    Caputo, Giovanni B; Bortolomasi, Marco; Ferrucci, Roberta; Giacopuzzi, Mario; Priori, Alberto; Zago, Stefano

    2014-01-01

    In normal observers, gazing at one's own face in the mirror for a few minutes, at a low illumination level, produces the apparition of strange faces. Observers see distortions of their own faces, but they often see hallucinations like monsters, archetypical faces, faces of relatives and deceased, and animals. In this research, patients with depression were compared to healthy controls with respect to strange-face apparitions. The experiment was a 7-minute mirror-gazing test (MGT) under low illumination. When the MGT ended, the experimenter assessed patients and controls with a specifically designed questionnaire and interviewed them, asking them to describe strange-face apparitions. Apparitions of strange faces in the mirror were very reduced in depression patients compared to healthy controls. Depression patients compared to healthy controls showed shorter duration of apparitions; minor number of strange faces; lower self-evaluation rating of apparition strength; lower self-evaluation rating of provoked emotion. These decreases in depression may be produced by deficits of facial expression and facial recognition of emotions, which are involved in the relationship between the patient (or the patient's ego) and his face image (or the patient's bodily self) that is reflected in the mirror.

  4. Dielectric materials for electrical engineering

    CERN Document Server

    Martinez-Vega, Juan

    2013-01-01

    Part 1 is particularly concerned with physical properties, electrical ageing and modeling with topics such as the physics of charged dielectric materials, conduction mechanisms, dielectric relaxation, space charge, electric ageing and life end models and dielectric experimental characterization. Part 2 concerns some applications specific to dielectric materials: insulating oils for transformers, electrorheological fluids, electrolytic capacitors, ionic membranes, photovoltaic conversion, dielectric thermal control coatings for geostationary satellites, plastics recycling and piezoelectric poly

  5. Cast dielectric composite linear accelerator

    Science.gov (United States)

    Sanders, David M [Livermore, CA; Sampayan, Stephen [Manteca, CA; Slenes, Kirk [Albuquerque, NM; Stoller, H M [Albuquerque, NM

    2009-11-10

    A linear accelerator having cast dielectric composite layers integrally formed with conductor electrodes in a solventless fabrication process, with the cast dielectric composite preferably having a nanoparticle filler in an organic polymer such as a thermosetting resin. By incorporating this cast dielectric composite the dielectric constant of critical insulating layers of the transmission lines of the accelerator are increased while simultaneously maintaining high dielectric strengths for the accelerator.

  6. Millimeter-wave radiation from a Teflon dielectric probe and its imaging application

    International Nuclear Information System (INIS)

    Kume, Eiji; Sakai, Shigeki

    2008-01-01

    The beam profile of a millimeter wave radiated from the tip of a Teflon dielectric probe was characterized experimentally by using a three-dimensional scanning dielectric probe and numerically by using the finite difference time domain (FDTD) method. The measured intensity distribution and polarization of the millimeter wave radiated from the tip of the probe was in good agreement with those of the FDTD simulation. A reflection type of a millimeter- wave imaging system using this dielectric probe was constructed. The resolution of the imaging system was as small as 1 mm, which was slightly smaller than a half wavelength, 1.6 mm, of the radiation wave. Translucent measurement of a commercially manufactured IC card which consists of an IC chip and a leaf-shaped antenna coil was demonstrated. Not only the internal two-dimensional structures but also the vertical information of the card could be provided

  7. Laser polarization dependent and magnetically control of group velocity in a dielectric medium doped with nanodiamond nitrogen vacancy centers

    Energy Technology Data Exchange (ETDEWEB)

    Asadpour, Seyyed Hossein; Rahimpour Soleimani, H., E-mail: Rahimpour@guilan.ac.ir

    2014-03-01

    In this paper, group velocity control of Gaussian beam in a dielectric medium doped with nanodiamond nitrogen vacancy (NV) centers under optical excitation is discussed. The shape of transmitted and reflected pulses from dielectric can be tuned by changing the intensity of magnetic field and polarization of the control beam. The effect of intensity of control beam on group velocity is also investigated.

  8. Optical Analysis of an Ultra-High resolution Two-Mirror Soft X-Ray Microscope

    Science.gov (United States)

    Shealy, David L.; Wang, Cheng; Hoover, Richard B.

    1994-01-01

    This work has summarized for a Schwarzschild microscope some relationships between numerical aperture (NA), magnification, diameter of the primary mirror, radius of curvature of the secondary mirror, and the total length of the microscope. To achieve resolutions better than a spherical Schwarzschild microscope of 3.3 Lambda for a perfectly aligned and fabricated system. it is necessary to use aspherical surfaces to control higher-order aberrations. For an NA of 0.35, the aspherical Head microscope provides diffraction limited resolution of 1.4 Lambda where the aspherical surfaces differ from the best fit spherical surface by approximately 1 micrometer. However, the angle of incidence varies significantly over the primary and the secondary mirrors, which will require graded multilayer coatings to operate near peak reflectivities. For higher numerical apertures, the variation of the angle of incidence over the secondary mirror surface becomes a serious problem which must be solved before multilayer coatings can be used for this application. Tolerance analysis of the spherical Schwarzschild microscope has shown that water window operations will require 2-3 times tighter tolerances to achieve a similar performance for operations with 130 A radiation. Surface contour errors have been shown to have a significant impact on the MTF and must be controlled to a peak-to-valley variation of 50-100 A and a frequency of 8 periods over the surface of a mirror.

  9. Improved Dielectric Films For Capacitors

    Science.gov (United States)

    Yen, Shiao-Ping S.; Lewis, Carol R.; Cygan, Peter J.; Jow, T. Richard

    1994-01-01

    Dielectric films made from blends of some commercially available high-dielectric-constant cyanoresins with each other and with cellulose triacetate (CTA) have both high dielectric constants and high breakdown strengths. Dielectric constants as high as 16.2. Films used to produce high-energy-density capacitors.

  10. Ion association at discretely-charged dielectric interfaces: Giant charge inversion [Dielectric response controlled ion association at physically heterogeneous surfaces: Giant charge reversal

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Zhi -Yong [Chongqing Univ. of Technology, Chongqing (China); Univ. of California, Riverside, CA (United States); Wu, Jianzhong [Univ. of California, Riverside, CA (United States)

    2017-07-11

    Giant charge reversal has been identified for the first time by Monte Carlo simulation for a discretely charged surface in contact with a trivalent electrolyte solution. It takes place regardless of the surface charge density under study and the monovalent salt. In stark contrast to earlier predictions based on the 2-dimensional Wigner crystal model to describe strong correlation of counterions at the macroion surface, we find that giant charge reversal reflects an intricate interplay of ionic volume effects, electrostatic correlations, surface charge heterogeneity, and the dielectric response of the confined fluids. While the novel phenomenon is yet to be confirmed with experiment, the simulation results appear in excellent agreement with a wide range of existing observations in the subregime of charge inversion. Lastly, our findings may have far-reaching implications to understanding complex electrochemical phenomena entailing ionic fluids under dielectric confinements.

  11. Fokker-Planck equation in mirror research

    International Nuclear Information System (INIS)

    Post, R.F.

    1983-01-01

    Open confinement systems based on the magnetic mirror principle depend on the maintenance of particle distributions that may deviate substantially from Maxwellian distributions. Mirror research has therefore from the beginning relied on theoretical predictions of non-equilibrium rate processes obtained from solutions to the Fokker-Planck equation. The F-P equation plays three roles: Design of experiments, creation of classical standards against which to compare experiment, and predictions concerning mirror based fusion power systems. Analytical and computational approaches to solving the F-P equation for mirror systems will be reviewed, together with results and examples that apply to specific mirror systems, such as the tandem mirror

  12. Preparation of a Carbon Doped Tissue-Mimicking Material with High Dielectric Properties for Microwave Imaging Application

    Directory of Open Access Journals (Sweden)

    Siang-Wen Lan

    2016-07-01

    Full Text Available In this paper, the oil-in-gelatin based tissue-mimicking materials (TMMs doped with carbon based materials including carbon nanotube, graphene ink or lignin were prepared. The volume percent for gelatin based mixtures and oil based mixtures were both around 50%, and the doping amounts were 2 wt %, 4 wt %, and 6 wt %. The effect of doping material and amount on the microwave dielectric properties including dielectric constant and conductivity were investigated over an ultra-wide frequency range from 2 GHz to 20 GHz. The coaxial open-ended reflection technology was used to evaluate the microwave dielectric properties. Six measured values in different locations of each sample were averaged and the standard deviations of all the measured dielectric properties, including dielectric constant and conductivity, were less than one, indicating a good uniformity of the prepared samples. Without doping, the dielectric constant was equal to 23 ± 2 approximately. Results showed with doping of carbon based materials that the dielectric constant and conductivity both increased about 5% to 20%, and the increment was dependent on the doping amount. By proper selection of doping amount of the carbon based materials, the prepared material could map the required dielectric properties of special tissues. The proposed materials were suitable for the phantom used in the microwave medical imaging system.

  13. Focusing X-rays to a 1-μm spot using elastically bent, graded multilayer coated mirrors

    International Nuclear Information System (INIS)

    Underwood, J.H.; Thompson, A.C.; Kortright, J.B.

    1997-01-01

    In the x-ray fluorescent microprobe at beamline 10.3.1, the ALS bending magnet source is demagnified by a factor of several hundred using a pair of mirrors arranged in the Kirkpatrick-Baez (K-B) configuration. These are coated with multilayers to increase reflectivity and limit the pass band of the x-rays striking the sample. The x-rays excite characteristic fluorescent x-rays of elements in the sample, which are analyzed by an energy dispersive Si-Li detector, for a sensitive assay of the elemental content. By scanning the focal spot the spatial distribution of the elements is determined; the spatial resolution depends on the size of this spot. When spherical mirrors are used, the spatial resolution is limited by aberrations to 5 or 10 μm. This has been improved to 1 μm through the use of an elliptical mirror formed by elastically bending a plane mirror of uniform width and thickness with the optimum combination of end couples

  14. Focusing X-rays to a 1-{mu}m spot using elastically bent, graded multilayer coated mirrors

    Energy Technology Data Exchange (ETDEWEB)

    Underwood, J.H.; Thompson, A.C.; Kortright, J.B. [Ernest Orlando Lawrence Berkeley National Lab., CA (United States)] [and others

    1997-04-01

    In the x-ray fluorescent microprobe at beamline 10.3.1, the ALS bending magnet source is demagnified by a factor of several hundred using a pair of mirrors arranged in the Kirkpatrick-Baez (K-B) configuration. These are coated with multilayers to increase reflectivity and limit the pass band of the x-rays striking the sample. The x-rays excite characteristic fluorescent x-rays of elements in the sample, which are analyzed by an energy dispersive Si-Li detector, for a sensitive assay of the elemental content. By scanning the focal spot the spatial distribution of the elements is determined; the spatial resolution depends on the size of this spot. When spherical mirrors are used, the spatial resolution is limited by aberrations to 5 or 10 {mu}m. This has been improved to 1 {mu}m through the use of an elliptical mirror formed by elastically bending a plane mirror of uniform width and thickness with the optimum combination of end couples.

  15. Development of a dual-layered dielectric-loaded accelerating structure

    International Nuclear Information System (INIS)

    Jing Chunguang; Kanareykin, Alexei; Kazakov, Sergey; Liu Wanming; Nenasheva, Elizaveta; Schoessow, Paul; Gai Wei

    2008-01-01

    rf Power attenuation is a critical problem in the development of dielectric-loaded structures for particle acceleration. In a previous paper [C. Jing, W. Liu, W. Gai, J. Power, T. Wong, Nucl. Instr. Meth. A 539 (2005) 445] we suggested the use of a Multilayer Dielectric-Loaded Accelerating Structure (MDLA) as a possible approach for reducing the rf losses in a single layer device. The MDLA is based on the principle of Bragg reflection familiar from optics that is used to partially confine the fields inside the dielectric layers and reduce the wall current losses at the outer boundary. We report here on the design, construction and testing of a prototype X-band double-layer structure (2DLA). The measurements show an rf power attenuation for the 2DLA more than ten times smaller than that of a comparable single-layer structure, in good agreement with the analytic results. Testing and operation of MDLAs also requires efficient power coupling from test equipment or rf power systems to the device. We describe the design and construction of two novel structures: a TM 03 mode launcher for cold testing and a power coupler for planned high-gradient experiments

  16. Reflection-type electromagnetically induced transparency analogue in terahertz metamaterials

    International Nuclear Information System (INIS)

    Ding Chun-Feng; Zhang Ya-Ting; Yao Jian-Quan; Xu De-Gang; Zhang Gui-Zhong; Sun Chong-Ling

    2014-01-01

    A reflection-type electromagnetically induced transparency (EIT) metamaterial is proposed, which is composed of a dielectric spacer sandwiched with metallic patterns and metallic plane. Experimental results of THz time domain spectrum (THz-TDS) exhibit a typical reflection of EIT at 0.865 THz, which are in excellent agreement with the full-wave simulations. A multi-reflection theory is adopted to analyze the physical mechanism of the reflection-type EIT, showing that the reflection-type EIT is a superposition of multiple reflection of the transmission EIT. Such a reflection-type EIT provides many applications based on the EIT effect, such as slow light devices and nonlinear elements. (electromagnetism, optics, acoustics, heat transfer, classical mechanics, and fluid dynamics)

  17. Nonlinear electroelastic deformations of dielectric elastomer composites: II - Non-Gaussian elastic dielectrics

    Science.gov (United States)

    Lefèvre, Victor; Lopez-Pamies, Oscar

    2017-02-01

    This paper presents an analytical framework to construct approximate homogenization solutions for the macroscopic elastic dielectric response - under finite deformations and finite electric fields - of dielectric elastomer composites with two-phase isotropic particulate microstructures. The central idea consists in employing the homogenization solution derived in Part I of this work for ideal elastic dielectric composites within the context of a nonlinear comparison medium method - this is derived as an extension of the comparison medium method of Lopez-Pamies et al. (2013) in nonlinear elastostatics to the coupled realm of nonlinear electroelastostatics - to generate in turn a corresponding solution for composite materials with non-ideal elastic dielectric constituents. Complementary to this analytical framework, a hybrid finite-element formulation to construct homogenization solutions numerically (in three dimensions) is also presented. The proposed analytical framework is utilized to work out a general approximate homogenization solution for non-Gaussian dielectric elastomers filled with nonlinear elastic dielectric particles that may exhibit polarization saturation. The solution applies to arbitrary (non-percolative) isotropic distributions of filler particles. By construction, it is exact in the limit of small deformations and moderate electric fields. For finite deformations and finite electric fields, its accuracy is demonstrated by means of direct comparisons with finite-element solutions. Aimed at gaining physical insight into the extreme enhancement in electrostriction properties displayed by emerging dielectric elastomer composites, various cases wherein the filler particles are of poly- and mono-disperse sizes and exhibit different types of elastic dielectric behavior are discussed in detail. Contrary to an initial conjecture in the literature, it is found (inter alia) that the isotropic addition of a small volume fraction of stiff (semi

  18. Pulsed electromagnetic field radiation from a narrow slot antenna with a dielectric layer

    NARCIS (Netherlands)

    Štumpf, M.; De Hoop, A.T.; Lager, I.E.

    2010-01-01

    Analytic time domain expressions are derived for the pulsed electromagnetic field radiated by a narrow slot antenna with a dielectric layer in a two?dimensional model configuration. In any finite time window of observation, exact pulse shapes for the propagated, reflected, and refracted wave

  19. Ceramic-polymer nanocomposites with increased dielectric permittivity and low dielectric loss

    International Nuclear Information System (INIS)

    Bhardwaj, Sumit; Paul, Joginder; Raina, K. K.; Thakur, N. S.; Kumar, Ravi

    2014-01-01

    The use of lead free materials in device fabrication is very essential from environmental point of view. We have synthesized the lead free ferroelectric polymer nanocomposite films with increased dielectric properties. Lead free bismuth titanate has been used as active ceramic nanofillers having crystallite size 24nm and PVDF as the polymer matrix. Ferroelectric β-phase of the polymer composite films was confirmed by X-ray diffraction pattern. Mapping data confirms the homogeneous dispersion of ceramic particles into the polymer matrix. Frequency dependent dielectric constant increases up to 43.4 at 100Hz, whereas dielectric loss decreases with 7 wt% bismuth titanate loading. This high dielectric constant lead free ferroelectric polymer films can be used for energy density applications

  20. XUV laser-produced plasma sheet beam and microwave agile mirror

    International Nuclear Information System (INIS)

    Shen, W.; Scharer, J.E.; Porter, B.; Lam, N.T.

    1994-01-01

    An excimer-laser (λ = 193 nm) produced plasma in an organic gas (TMAE) has been generated and studied. These studies have determined the ion-electron recombination coefficient and the photon absorption cross-section, of the neutral gas. The dependences of wave transmission, reflection and absorption on plasma density are obtained. A new optical system with an array of cylindrical XUV coated lenses has been implemented to form a plasma sheet to study its usage as agile mirror microwave reflector. The lens system expands the incident laser beam in X direction and compresses it in Y direction to form a sheet beam. The expanded beam then passes through a vacuum chamber filled with TMAE at 50--500 nTorr to produce the plasma sheet. Space-time measurements of the plasma density and temperature as measured by a Langmuir probe are presented. XUV optical measurements of the laser beam as measured by a photodiode are presented. Initial experiments have generated a plasma sheet of 5--10 mm x 11 cm with peak plasma density of 5 x 10 13 cm -3 . A microwave source will be utilized to study the agile mirror character of the plasma sheet. Modeling of the microwave reflection from the plasma profile will also be discussed