WorldWideScience

Sample records for reactive plasma processing

  1. Synthesis of functional nanocrystallites through reactive thermal plasma processing

    Directory of Open Access Journals (Sweden)

    Takamasa Ishigaki and Ji-Guang Li

    2007-01-01

    Full Text Available A method of synthesizing functional nanostructured powders through reactive thermal plasma processing has been developed. The synthesis of nanosized titanium oxide powders was performed by the oxidation of solid and liquid precursors. Quench gases, either injected from the shoulder of the reactor or injected counter to the plasma plume from the bottom of the reactor, were used to vary the quench rate, and therefore the particle size, of the resultant powders. The experimental results are well supported by numerical analysis on the effects of the quench gas on the flow pattern and temperature field of the thermal plasma as well as on the trajectory and temperature history of the particles. The plasma-synthesized TiO2 nanoparticles showed phase preferences different from those synthesized by conventional wet-chemical processes. Nanosized particles of high crystallinity and nonequilibrium chemical composition were formed in one step via reactive thermal plasma processing.

  2. Method for atmospheric pressure reactive atom plasma processing for surface modification

    Science.gov (United States)

    Carr, Jeffrey W [Livermore, CA

    2009-09-22

    Reactive atom plasma processing can be used to shape, polish, planarize and clean the surfaces of difficult materials with minimal subsurface damage. The apparatus and methods use a plasma torch, such as a conventional ICP torch. The workpiece and plasma torch are moved with respect to each other, whether by translating and/or rotating the workpiece, the plasma, or both. The plasma discharge from the torch can be used to shape, planarize, polish, and/or clean the surface of the workpiece, as well as to thin the workpiece. The processing may cause minimal or no damage to the workpiece underneath the surface, and may involve removing material from the surface of the workpiece.

  3. Plasma diagnostics with electrostatic probes in the reactive low voltage ion plating process

    International Nuclear Information System (INIS)

    Lechleitner, T.; Huber, D.; Pulker, H.K.

    2002-01-01

    The analysis of cold plasmas, which are used in thin film coating techniques, is mainly important for the understanding of the correlation between the film properties and the plasma (or the process) parameters. With the knowledge of these correlations, one is able to optimise and eventually improve the coating processes for the production of films with certain desirable properties. The plasma for the reactive-low-voltage-ion-plating processes is a cold non-isothermal plasma produced by a low-pressure dc non-self sustained arc discharge, controlled by two main parameters, the arc current and the total gas pressure in the chamber. It was shown that the arc current is in a direct linear relation to the degree of ionization and the increase of the total gas pressure has a contrary effect. Besides, it was also demonstrated, that the usage of electrostatic probes for the plasma analysis od deposition processes is a powerful tool to complete the common plasma monitor measurements (energy analysing quadrupol mass spectrometer) and to improve the understanding of industrially used plasma. (nevyjel)

  4. Characterization of nano-crystalline ZrO{sub 2} synthesized via reactive plasma processing

    Energy Technology Data Exchange (ETDEWEB)

    Jayakumar, S., E-mail: sjayakumar.physics@gmail.com [Research and Development Centre, Bharathiar University, Coimbatore 641 014 (India); Ananthapadmanabhan, P.V. [Laser and Plasma Technology Division, BARC, Trombay, Mumbai 400 085 (India); Perumal, K. [Department of Physics, Sri Ramakrishna Mission Vidyalaya College of Arts and Science, Coimbatore 641 020 India (India); Thiyagarajan, T.K. [Laser and Plasma Technology Division, BARC, Trombay, Mumbai 400 085 (India); Mishra, S.C. [Department of Metallurgical and Materials Engg, National Institute of Technology, Rourkela 769 008 (India); Su, L.T.; Tok, A.I.Y.; Guo, J. [School of Materials Science and Engg, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639 798 (Singapore)

    2011-07-25

    Highlights: > Direct conversion of micron-sized zirconium hydride powder to nanocrystalline ZrO{sub 2} powder. > The experimental approach uses reactive plasma processing technique. > The product has been characterized by various analytical tools to support the findings. - Abstract: Nano-crystalline ZrO{sub 2} powder has been synthesized via reactive plasma processing. The synthesized ZrO{sub 2} powders were characterized by X-ray diffraction (XRD), Raman spectroscopy, transmission electron microscopy (TEM) and FTIR spectroscopy. The synthesized powder consists of a mixture of tetragonal and monoclinic phases of zirconia. Average crystallite size calculated from the XRD pattern shows that particles with crystallite size 20 nm or less than 20 nm are in tetragonal phase, whereas particles greater than 20 nm are in the monoclinic phase. TEM results show that particles have spherical morphology with maximum percentage of particles distributed in a narrow size from about 15 nm to 30 nm.

  5. Reactive gas control of non-stable plasma conditions

    International Nuclear Information System (INIS)

    Bellido-Gonzalez, V.; Daniel, B.; Counsell, J.; Monaghan, D.

    2006-01-01

    Most industrial plasma processes are dependant upon the control of plasma properties for repeatable and reliable production. The speed of production and range of properties achieved depend on the degree of control. Process control involves all the aspects of the vacuum equipment, substrate preparation, plasma source condition, power supplies, process drift, valves (inputs/outputs), signal and data processing and the user's understanding and ability. In many cases, some of the processes which involve the manufacturing of interesting coating structures, require a precise control of the process in a reactive environment [S.J. Nadel, P. Greene, 'High rate sputtering technology for throughput and quality', International Glass Review, Issue 3, 2001, p. 45. ]. Commonly in these circumstances the plasma is not stable if all the inputs and outputs of the system were to remain constant. The ideal situation is to move a process from set-point A to B in zero time and maintain the monitored signal with a fluctuation equal to zero. In a 'real' process that's not possible but improvements in the time response and energy delivery could be achieved with an appropriate algorithm structure. In this paper an advanced multichannel reactive plasma gas control system is presented. The new controller offers both high-speed gas control combined with a very flexible control structure. The controller uses plasma emission monitoring, target voltage or any process sensor monitoring as the input into a high-speed control algorithm for gas input. The control algorithm and parameters can be tuned to different process requirements in order to optimize response times

  6. Tracing the plasma interactions for pulsed reactive crossed-beam laser ablation

    Science.gov (United States)

    Chen, Jikun; Stender, Dieter; Pichler, Markus; Döbeli, Max; Pergolesi, Daniele; Schneider, Christof W.; Wokaun, Alexander; Lippert, Thomas

    2015-10-01

    Pulsed reactive crossed-beam laser ablation is an effective technique to govern the chemical activity of plasma species and background molecules during pulsed laser deposition. Instead of using a constant background pressure, a gas pulse with a reactive gas, synchronized with the laser beam, is injected into vacuum or a low background pressure near the ablated area of the target. It intercepts the initially generated plasma plume, thereby enhancing the physicochemical interactions between the gaseous environment and the plasma species. For this study, kinetic energy resolved mass-spectrometry and time-resolved plasma imaging were used to study the physicochemical processes occurring during the reactive crossed beam laser ablation of a partially 18O substituted La0.6Sr0.4MnO3 target using oxygen as gas pulse. The characteristics of the ablated plasma are compared with those observed during pulsed laser deposition in different oxygen background pressures.

  7. Reactive species in non-equilibrium atmospheric-pressure plasmas: Generation, transport, and biological effects

    Energy Technology Data Exchange (ETDEWEB)

    Lu, X., E-mail: luxinpei@hotmail.com [State Key Laboratory of Advanced Electromagnetic Engineering and Technology, Huazhong University of Science and Technology, Wuhan, Hubei 430074 (China); IFSA Collaborative Innovation Center, Shanghai Jiao Tong University, Shanghai 200240 (China); Naidis, G.V. [Joint Institute for High Temperatures, Russian Academy of Sciences, Moscow 125412 (Russian Federation); Laroussi, M. [Plasma Engineering & Medicine Institute, Old Dominion University, Norfolk, VA 23529 (United States); Reuter, S. [Leibniz Institute for Plasma Science and Technology, Felix-Hausdorff-Strasse 2, 17489 Greifswald (Germany); Graves, D.B. [Department of Chemical and Biomolecular Engineering, University of California, Berkeley, CA 94720 (United States); Ostrikov, K. [Institute for Future Environments, Queensland University of Technology, Brisbane, QLD 4000 (Australia); School of Physics, Chemistry, and Mechanical Engineering, Queensland University of Technology, Brisbane, QLD 4000 (Australia); Commonwealth Scientific and Industrial Research Organization, P.O.Box 218, Lindfield, NSW 2070 (Australia); School of Physics, The University of Sydney, Sydney, NSW 2006 (Australia)

    2016-05-04

    Non-equilibrium atmospheric-pressure plasmas have recently become a topical area of research owing to their diverse applications in health care and medicine, environmental remediation and pollution control, materials processing, electrochemistry, nanotechnology and other fields. This review focuses on the reactive electrons and ionic, atomic, molecular, and radical species that are produced in these plasmas and then transported from the point of generation to the point of interaction with the material, medium, living cells or tissues being processed. The most important mechanisms of generation and transport of the key species in the plasmas of atmospheric-pressure plasma jets and other non-equilibrium atmospheric-pressure plasmas are introduced and examined from the viewpoint of their applications in plasma hygiene and medicine and other relevant fields. Sophisticated high-precision, time-resolved plasma diagnostics approaches and techniques are presented and their applications to monitor the reactive species and plasma dynamics in the plasma jets and other discharges, both in the gas phase and during the plasma interaction with liquid media, are critically reviewed. The large amount of experimental data is supported by the theoretical models of reactive species generation and transport in the plasmas, surrounding gaseous environments, and plasma interaction with liquid media. These models are presented and their limitations are discussed. Special attention is paid to biological effects of the plasma-generated reactive oxygen and nitrogen (and some other) species in basic biological processes such as cell metabolism, proliferation, survival, etc. as well as plasma applications in bacterial inactivation, wound healing, cancer treatment and some others. Challenges and opportunities for theoretical and experimental research are discussed and the authors’ vision for the emerging convergence trends across several disciplines and application domains is presented to

  8. Controlling of Nitriding Process on Reactive Plasma Spraying of Al Particles

    International Nuclear Information System (INIS)

    Shahien, Mohammed; Yamada, Motohiro; Yasui, Toshiaki; Fukumoto, Masahiro

    2011-01-01

    Reactive plasma spraying (RPS) has been considered as a promising technology for in-situ formation of aluminum nitride (AlN) thermally sprayed coatings. To fabricate thick A lN coatings in RPS process, controlling and improving the in-flight nitriding reaction of Al particles is required. In this study, it was possible to control the nitriding reaction by using ammonium chloride (NH 4 Cl) powders. Thick and dense AlN coating (more than 300 μm thickness) was successfully fabricated with small addition of NH 4 Cl powders. Thus, addition of NH 4 Cl prevented the Al aggregation by changing the reaction pathway to a mild way with no explosive mode (relatively low heating rates) and it acts as a catalyst, nitrogen source and diluent agent.

  9. Tracing the plasma interactions for pulsed reactive crossed-beam laser ablation

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Jikun; Stender, Dieter; Pichler, Markus; Pergolesi, Daniele; Schneider, Christof W.; Wokaun, Alexander; Lippert, Thomas, E-mail: thomas.lippert@psi.ch [General Energy Research Department, Paul Scherrer Institute, CH-5232 Villigen PSI (Switzerland); Döbeli, Max [Ion Beam Physics, ETH Zurich, CH-8093 Zurich (Switzerland)

    2015-10-28

    Pulsed reactive crossed-beam laser ablation is an effective technique to govern the chemical activity of plasma species and background molecules during pulsed laser deposition. Instead of using a constant background pressure, a gas pulse with a reactive gas, synchronized with the laser beam, is injected into vacuum or a low background pressure near the ablated area of the target. It intercepts the initially generated plasma plume, thereby enhancing the physicochemical interactions between the gaseous environment and the plasma species. For this study, kinetic energy resolved mass-spectrometry and time-resolved plasma imaging were used to study the physicochemical processes occurring during the reactive crossed beam laser ablation of a partially {sup 18}O substituted La{sub 0.6}Sr{sub 0.4}MnO{sub 3} target using oxygen as gas pulse. The characteristics of the ablated plasma are compared with those observed during pulsed laser deposition in different oxygen background pressures.

  10. Controlling of Nitriding Process on Reactive Plasma Spraying of Al Particles

    Energy Technology Data Exchange (ETDEWEB)

    Shahien, Mohammed [Graduate Student, Toyohashi University of Technology (Japan); Yamada, Motohiro; Yasui, Toshiaki; Fukumoto, Masahiro, E-mail: mo.shahien@yahoo.com [Toyohashi University of Technology (Japan)

    2011-10-29

    Reactive plasma spraying (RPS) has been considered as a promising technology for in-situ formation of aluminum nitride (AlN) thermally sprayed coatings. To fabricate thick A lN coatings in RPS process, controlling and improving the in-flight nitriding reaction of Al particles is required. In this study, it was possible to control the nitriding reaction by using ammonium chloride (NH{sub 4}Cl) powders. Thick and dense AlN coating (more than 300 {mu}m thickness) was successfully fabricated with small addition of NH{sub 4}Cl powders. Thus, addition of NH{sub 4}Cl prevented the Al aggregation by changing the reaction pathway to a mild way with no explosive mode (relatively low heating rates) and it acts as a catalyst, nitrogen source and diluent agent.

  11. Reactivation of αμ in muon-catalyzed fusion under plasma conditions

    International Nuclear Information System (INIS)

    Jandel, M.; Froelich, P.; Larson, G.; Stodden, C.D.

    1989-01-01

    The reactivation efficiency of αμ slowing down in a deuterium-tritium plasma has been calculated for a broad range of plasma conditions. The plasma stopping power has been obtained from the random-phase approximation, which includes both the quantum mechanics of short-range collisions and collective effects due to long-range plasma interactions. It is shown that muon reactivation increases with increasing plasma temperature and density. Near-complete reactivation is, however, reached only at temperatures higher than 1000 eV

  12. Interaction of UV laser pulses with reactive dusty plasmas

    NARCIS (Netherlands)

    van de Wetering, F.M.J.H.; Beckers, J.; Nijdam, S.; Oosterbeek, W.; Kovacevic, E.; Berndt, J.

    2016-01-01

    This contribution deals with the effects of UV photons on the synthesis and transport of nanoparticles in reactive complex plasmas (capacitively coupled RF discharge). First measurements showed that the irradiation of a reactive acetylene-argon plasma with high-energy, ns UV laser pulses (355 nm, 75

  13. Status and challenges in electrical diagnostics of processing plasmas

    DEFF Research Database (Denmark)

    Stamate, Eugen

    2014-01-01

    Dry processing based on reactive plasmas was the main driven force for micro- and recently nano-electronic industry. Once with the increasing in plasma complexity new diagnostics methods have been developed to ensure a proper process control during etching, thin film deposition, ion implantation...

  14. Polymer degradation in reactive ion etching and its possible application to all dry processes

    International Nuclear Information System (INIS)

    Hiraoka, H.; Welsh, L.W. Jr.

    1981-01-01

    Dry etching processes involving CF 4 -plasma and reactive ion etching become increasingly important for microcircuit fabrication techniques. In these techniques polymer degradation and etch resistance against reactive species like F atoms and CF 3 + ions are the key factors in the processes. It is well-known that classical electron beam resists like poly(methyl methacrylate) and poly(1-butene sulfone) are not suitable for dry etching processes because they degrade rapidly under these etching conditions. In order to find a correlation of etching rate and polymer structures the thickness loss of polymer films have been measured for a variety of polymer films in reactive ion etching conditions, where CF 3 + ions are the major reactive species with an accelerating potential of 500 volts. Because of its high CF 4 -plasma and reactive ion etch resistance, and because of its high electron beam sensitivity, poly(methacrylonitrile) provides a positive working electron beam resist uniquely suited for all dry processes. (author)

  15. Apparatus and method for atmospheric pressure reactive atom plasma processing for shaping of damage free surfaces

    Science.gov (United States)

    Carr,; Jeffrey, W [Livermore, CA

    2009-03-31

    Fabrication apparatus and methods are disclosed for shaping and finishing difficult materials with no subsurface damage. The apparatus and methods use an atmospheric pressure mixed gas plasma discharge as a sub-aperture polisher of, for example, fused silica and single crystal silicon, silicon carbide and other materials. In one example, workpiece material is removed at the atomic level through reaction with fluorine atoms. In this example, these reactive species are produced by a noble gas plasma from trace constituent fluorocarbons or other fluorine containing gases added to the host argon matrix. The products of the reaction are gas phase compounds that flow from the surface of the workpiece, exposing fresh material to the etchant without condensation and redeposition on the newly created surface. The discharge provides a stable and predictable distribution of reactive species permitting the generation of a predetermined surface by translating the plasma across the workpiece along a calculated path.

  16. Water surface coverage effects on reactivity of plasma oxidized Ti films

    International Nuclear Information System (INIS)

    Pranevicius, L.; Pranevicius, L.L.; Vilkinis, P.; Baltaragis, S.; Gedvilas, K.

    2014-01-01

    Highlights: • The reactivity of Ti films immersed in water vapor plasma depends on the surface water coverage. • The adsorbed water monolayers are disintegrated into atomic constituents on the hydrophilic TiO 2 under plasma radiation. • The TiO 2 surface covered by water multilayer loses its ability to split adsorbed water molecules under plasma radiation. - Abstract: The behavior of the adsorbed water on the surface of thin sputter deposited Ti films maintained at room temperature was investigated in dependence on the thickness of the resulting adsorbed water layer, controllably injecting water vapor into plasma. The surface morphology and microstructure were used to characterize the surfaces of plasma treated titanium films. Presented experimental results showed that titanium films immersed in water vapor plasma at pressure of 10–100 Pa promoted the photocatalytic activity of overall water splitting. The surfaces of plasma oxidized titanium covered by an adsorbed hydroxyl-rich island structure water layer and activated by plasma radiation became highly chemically reactive. As water vapor pressure increased up to 300–500 Pa, the formed water multilayer diminished the water oxidation and, consequently, water splitting efficiency decreased. Analysis of the experimental results gave important insights into the role an adsorbed water layer on surface of titanium exposed to water vapor plasma on its chemical activity and plasma activated electrochemical processes, and elucidated the surface reactions that could lead to the split of water molecules

  17. Chemically reactive species in liquids generated by atmospheric-pressure plasmas and their roles in plasma medicine

    International Nuclear Information System (INIS)

    Hamaguchi, Satoshi

    2013-01-01

    Plasmas whose gas temperatures are close to room temperature may be generated in ambient air or a gas at atmospheric pressure with the use of low-frequency high voltage or low-power radio-frequency (RF) or microwave power applied to electrodes. Such plasmas can serve as a powerful source of free radicals and/or chemically reactive species that arise from atoms and molecules of the ambient gas. Recently use of such plasmas for medical purposes has attracted much attention as they can be implemented in possible medical devices that can cause blood coagulation, heal wounds, facilitate angiogenesis, sterilize surgical devices as well as living tissues without harming healthy cells, and selectively inactivate cancer cells. Especially of interest among reactive species generated by atmospheric-pressure plasmas (APP) are reactive oxygen species (ROS) and reactive nitrogen species (RNS) that are generated in liquid phase. Since most living tissues and cells are immersed in liquids (such as blood or culture media), reactive species generated by APPs in the gas phase are transported to the liquid phase and possibly converted to different types of reactive species therein before causing some influence on the tissues or cells. In this study, the rate equations are solved to evaluate concentrations of various reactive species in pure water that are originated by plasma reactions in atmosphere and possible effects of such species (including ROS/RNS) on living tissues and cells are discussed

  18. Chemically reactive species in liquids generated by atmospheric-pressure plasmas and their roles in plasma medicine

    Energy Technology Data Exchange (ETDEWEB)

    Hamaguchi, Satoshi [Center for Atomic and Molecular Technologies, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan)

    2013-07-11

    Plasmas whose gas temperatures are close to room temperature may be generated in ambient air or a gas at atmospheric pressure with the use of low-frequency high voltage or low-power radio-frequency (RF) or microwave power applied to electrodes. Such plasmas can serve as a powerful source of free radicals and/or chemically reactive species that arise from atoms and molecules of the ambient gas. Recently use of such plasmas for medical purposes has attracted much attention as they can be implemented in possible medical devices that can cause blood coagulation, heal wounds, facilitate angiogenesis, sterilize surgical devices as well as living tissues without harming healthy cells, and selectively inactivate cancer cells. Especially of interest among reactive species generated by atmospheric-pressure plasmas (APP) are reactive oxygen species (ROS) and reactive nitrogen species (RNS) that are generated in liquid phase. Since most living tissues and cells are immersed in liquids (such as blood or culture media), reactive species generated by APPs in the gas phase are transported to the liquid phase and possibly converted to different types of reactive species therein before causing some influence on the tissues or cells. In this study, the rate equations are solved to evaluate concentrations of various reactive species in pure water that are originated by plasma reactions in atmosphere and possible effects of such species (including ROS/RNS) on living tissues and cells are discussed.

  19. Improving the work function of the niobium surface of SRF cavities by plasma processing

    International Nuclear Information System (INIS)

    Tyagi, P.V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-01-01

    Highlights: • An in situ plasma processing for SNS SRF cavities has been developed to remove hydrocarbons from cavity surface. • Reactive oxygen plasma is very effective to remove hydrocarbons from niobium top surface. • Reactive oxygen plasma processing increases the work function of niobium surface in the range of 0.5–1.0 eV. • It was observed that hydrocarbons can migrate at plasma cleaned top surface from near surface regions when waiting in vacuum at room temperature. • Multiple cycles of plasma processing with waiting periods in between was found beneficial to mitigate such hydrocarbons migration at plasma cleaned surface. - Abstract: An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5–1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  20. plasmatis Center for Innovation Competence: Controlling reactive component output of atmospheric pressure plasmas in plasma medicine

    Science.gov (United States)

    Reuter, Stephan

    2012-10-01

    The novel approach of using plasmas in order to alter the local chemistry of cells and cell environment presents a significant development in biomedical applications. The plasmatis center for innovation competence at the INP Greifswald e.V. performs fundamental research in plasma medicine in two interdisciplinary research groups. The aim of our plasma physics research group ``Extracellular Effects'' is (a) quantitative space and time resolved diagnostics and modelling of plasmas and liquids to determine distribution and composition of reactive species (b) to control the plasma and apply differing plasma source concepts in order to produce a tailored output of reactive components and design the chemical composition of the liquids/cellular environment and (c) to identify and understand the interaction mechanisms of plasmas with liquids and biological systems. Methods to characterize the plasma generated reactive species from plasma-, gas- and liquid phase and their biological effects will be presented. The diagnostic spectrum ranges from absorption/emission/laser spectroscopy and molecular beam mass spectrometry to electron paramagnetic resonance spectroscopy and cell biological diagnostic techniques. Concluding, a presentation will be given of the comprehensive approach to plasma medicine in Greifswald where the applied and clinical research of the Campus PlasmaMed association is combined with the fundamental research at plasmatis center.

  1. An Atmospheric Pressure Plasma Setup to Investigate the Reactive Species Formation.

    Science.gov (United States)

    Gorbanev, Yury; Soriano, Robert; O'Connell, Deborah; Chechik, Victor

    2016-11-03

    Non-thermal atmospheric pressure ('cold') plasmas have received increased attention in recent years due to their significant biomedical potential. The reactions of cold plasma with the surrounding atmosphere yield a variety of reactive species, which can define its effectiveness. While efficient development of cold plasma therapy requires kinetic models, model benchmarking needs empirical data. Experimental studies of the source of reactive species detected in aqueous solutions exposed to plasma are still scarce. Biomedical plasma is often operated with He or Ar feed gas, and a specific interest lies in investigation of the reactive species generated by plasma with various gas admixtures (O2, N2, air, H2O vapor, etc.) Such investigations are very complex due to difficulties in controlling the ambient atmosphere in contact with the plasma effluent. In this work, we addressed common issues of 'high' voltage kHz frequency driven plasma jet experimental studies. A reactor was developed allowing the exclusion of ambient atmosphere from the plasma-liquid system. The system thus comprised the feed gas with admixtures and the components of the liquid sample. This controlled atmosphere allowed the investigation of the source of the reactive oxygen species induced in aqueous solutions by He-water vapor plasma. The use of isotopically labelled water allowed distinguishing between the species originating in the gas phase and those formed in the liquid. The plasma equipment was contained inside a Faraday cage to eliminate possible influence of any external field. The setup is versatile and can aid in further understanding the cold plasma-liquid interactions chemistry.

  2. An Atmospheric Pressure Plasma Setup to Investigate the Reactive Species Formation

    OpenAIRE

    Gorbanev, Yury; Soriano, Robert; O'Connell, Deborah; Chechik, Victor

    2016-01-01

    Non-thermal atmospheric pressure ('cold') plasmas have received increased attention in recent years due to their significant biomedical potential. The reactions of cold plasma with the surrounding atmosphere yield a variety of reactive species, which can define its effectiveness. While efficient development of cold plasma therapy requires kinetic models, model benchmarking needs empirical data. Experimental studies of the source of reactive species detected in aqueous solutions exposed to pla...

  3. Microwave plasma emerging technologies for chemical processes

    NARCIS (Netherlands)

    de la Fuente, Javier F.; Kiss, Anton A.; Radoiu, Marilena T.; Stefanidis, Georgios D.

    2017-01-01

    Microwave plasma (MWP) technology is currently being used in application fields such as semiconductor and material processing, diamond film deposition and waste remediation. Specific advantages of the technology include the enablement of a high energy density source and a highly reactive medium,

  4. Gammaherpesvirus-driven plasma cell differentiation regulates virus reactivation from latently infected B lymphocytes.

    Directory of Open Access Journals (Sweden)

    Xiaozhen Liang

    2009-11-01

    Full Text Available Gammaherpesviruses chronically infect their host and are tightly associated with the development of lymphoproliferative diseases and lymphomas, as well as several other types of cancer. Mechanisms involved in maintaining chronic gammaherpesvirus infections are poorly understood and, in particular, little is known about the mechanisms involved in controlling gammaherpesvirus reactivation from latently infected B cells in vivo. Recent evidence has linked plasma cell differentiation with reactivation of the human gammaherpesviruses EBV and KSHV through induction of the immediate-early viral transcriptional activators by the plasma cell-specific transcription factor XBP-1s. We now extend those findings to document a role for a gammaherpesvirus gene product in regulating plasma cell differentiation and thus virus reactivation. We have previously shown that the murine gammaherpesvirus 68 (MHV68 gene product M2 is dispensable for virus replication in permissive cells, but plays a critical role in virus reactivation from latently infected B cells. Here we show that in mice infected with wild type MHV68, virus infected plasma cells (ca. 8% of virus infected splenocytes at the peak of viral latency account for the majority of reactivation observed upon explant of splenocytes. In contrast, there is an absence of virus infected plasma cells at the peak of latency in mice infected with a M2 null MHV68. Furthermore, we show that the M2 protein can drive plasma cell differentiation in a B lymphoma cell line in the absence of any other MHV68 gene products. Thus, the role of M2 in MHV68 reactivation can be attributed to its ability to manipulate plasma cell differentiation, providing a novel viral strategy to regulate gammaherpesvirus reactivation from latently infected B cells. We postulate that M2 represents a new class of herpesvirus gene products (reactivation conditioners that do not directly participate in virus replication, but rather facilitate virus

  5. Gammaherpesvirus-driven plasma cell differentiation regulates virus reactivation from latently infected B lymphocytes.

    Science.gov (United States)

    Liang, Xiaozhen; Collins, Christopher M; Mendel, Justin B; Iwakoshi, Neal N; Speck, Samuel H

    2009-11-01

    Gammaherpesviruses chronically infect their host and are tightly associated with the development of lymphoproliferative diseases and lymphomas, as well as several other types of cancer. Mechanisms involved in maintaining chronic gammaherpesvirus infections are poorly understood and, in particular, little is known about the mechanisms involved in controlling gammaherpesvirus reactivation from latently infected B cells in vivo. Recent evidence has linked plasma cell differentiation with reactivation of the human gammaherpesviruses EBV and KSHV through induction of the immediate-early viral transcriptional activators by the plasma cell-specific transcription factor XBP-1s. We now extend those findings to document a role for a gammaherpesvirus gene product in regulating plasma cell differentiation and thus virus reactivation. We have previously shown that the murine gammaherpesvirus 68 (MHV68) gene product M2 is dispensable for virus replication in permissive cells, but plays a critical role in virus reactivation from latently infected B cells. Here we show that in mice infected with wild type MHV68, virus infected plasma cells (ca. 8% of virus infected splenocytes at the peak of viral latency) account for the majority of reactivation observed upon explant of splenocytes. In contrast, there is an absence of virus infected plasma cells at the peak of latency in mice infected with a M2 null MHV68. Furthermore, we show that the M2 protein can drive plasma cell differentiation in a B lymphoma cell line in the absence of any other MHV68 gene products. Thus, the role of M2 in MHV68 reactivation can be attributed to its ability to manipulate plasma cell differentiation, providing a novel viral strategy to regulate gammaherpesvirus reactivation from latently infected B cells. We postulate that M2 represents a new class of herpesvirus gene products (reactivation conditioners) that do not directly participate in virus replication, but rather facilitate virus reactivation by

  6. Prognostic implications of plasma fibrinogen and serum C- reactive ...

    African Journals Online (AJOL)

    reactive protein levels in non-small cell lung cancer resection and ... Abstract. Purpose: To investigate the prognostic implications of plasma fibrinogen and serum C-reactive protein ... The possibility of complete resection and associated findings are reported. Results: ... operable using pre-operative chemotherapy and/or ...

  7. Nanosize stabilization of cubic and tetragonal phases in reactive plasma synthesized zirconia powders

    Energy Technology Data Exchange (ETDEWEB)

    Jayakumar, S., E-mail: sjayakumar.physics@gmail.com [Research and Development Centre, Bharathiar University, Coimbatore 641 014 (India); Department of Physics, Pollachi Institute of Engineering and Technology, Pollachi 642 205 (India); Ananthapadmanabhan, P.V.; Thiyagarajan, T.K. [Laser and Plasma Technology Division, BARC, Trombay, Mumbai 400 085 (India); Perumal, K. [Vision for Wisdom, Temple of Consciousness, Aliyar 642 101 (India); Mishra, S.C. [Department of Metallurgical and Materials Engg, National Institute of Technology, Rourkela 769 008 (India); Suresh, G. [Department of Physics, Park College of Engineering and Technology, Coimbatore 641 659 (India); Su, L.T.; Tok, A.I.Y. [School of Materials Science and Engg, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639 798 (Singapore)

    2013-06-15

    Pure zirconium oxide powders with particle size 2–33 nm are synthesized by reactive plasma processing. Transmission electron microscopy investigation of these particles revealed size dependent behavior for their phase stabilization. The monoclinic phase is found to be stable when particle size is ≥20 nm; Tetragonal is found to be stabilized in the range of 7–20 nm and as the particle size decreases to 6 nm and less, the cubic phase is stabilized. - Highlights: ► Direct conversion of micron-sized zirconium hydride powder to single crystal ZrO{sub 2} nanopowder. ► Size dependent stabilization of cubic, tetragonal and monoclinic phases in the reactive plasma synthesized ZrO{sub 2} nanopowder. ► Transmission electron microscopic investigation to identify particles of different sizes and their corresponding phase structure.

  8. Fluorophore-based sensor for oxygen radicals in processing plasmas

    International Nuclear Information System (INIS)

    Choudhury, Faraz A.; Shohet, J. Leon; Sabat, Grzegorz; Sussman, Michael R.; Nishi, Yoshio

    2015-01-01

    A high concentration of radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Determining the types and concentrations of free radicals present in the plasma is critical in order to determine their effects on the materials being processed. Current methods for detecting free radicals in a plasma require multiple expensive and bulky instruments, complex setups, and often, modifications to the plasma reactor. This work presents a simple technique that detects reactive-oxygen radicals incident on a surface from a plasma. The measurements are made using a fluorophore dye that is commonly used in biological and cellular systems for assay labeling in liquids. Using fluorometric analysis, it was found that the fluorophore reacts with oxygen radicals incident from the plasma, which is indicated by degradation of its fluorescence. As plasma power was increased, the quenching of the fluorescence significantly increased. Both immobilized and nonimmobilized fluorophore dyes were used and the results indicate that both states function effectively under vacuum conditions. The reaction mechanism is very similar to that of the liquid dye

  9. Fluorophore-based sensor for oxygen radicals in processing plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Choudhury, Faraz A.; Shohet, J. Leon, E-mail: shohet@engr.wisc.edu [Plasma Processing and Technology Laboratory and Department of Electrical and Computer Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Sabat, Grzegorz; Sussman, Michael R. [Department of Biochemistry, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Nishi, Yoshio [Department of Electrical Engineering, Stanford University, Stanford, California 94305 (United States)

    2015-11-15

    A high concentration of radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Determining the types and concentrations of free radicals present in the plasma is critical in order to determine their effects on the materials being processed. Current methods for detecting free radicals in a plasma require multiple expensive and bulky instruments, complex setups, and often, modifications to the plasma reactor. This work presents a simple technique that detects reactive-oxygen radicals incident on a surface from a plasma. The measurements are made using a fluorophore dye that is commonly used in biological and cellular systems for assay labeling in liquids. Using fluorometric analysis, it was found that the fluorophore reacts with oxygen radicals incident from the plasma, which is indicated by degradation of its fluorescence. As plasma power was increased, the quenching of the fluorescence significantly increased. Both immobilized and nonimmobilized fluorophore dyes were used and the results indicate that both states function effectively under vacuum conditions. The reaction mechanism is very similar to that of the liquid dye.

  10. Single-Run Single-Mask Inductively-Coupled-Plasma Reactive-Ion-Etching Process for Fabricating Suspended High-Aspect-Ratio Microstructures

    Science.gov (United States)

    Yang, Yao-Joe; Kuo, Wen-Cheng; Fan, Kuang-Chao

    2006-01-01

    In this work, we present a single-run single-mask (SRM) process for fabricating suspended high-aspect-ratio structures on standard silicon wafers using an inductively coupled plasma-reactive ion etching (ICP-RIE) etcher. This process eliminates extra fabrication steps which are required for structure release after trench etching. Released microstructures with 120 μm thickness are obtained by this process. The corresponding maximum aspect ratio of the trench is 28. The SRM process is an extended version of the standard process proposed by BOSCH GmbH (BOSCH process). The first step of the SRM process is a standard BOSCH process for trench etching, then a polymer layer is deposited on trench sidewalls as a protective layer for the subsequent structure-releasing step. The structure is released by dry isotropic etching after the polymer layer on the trench floor is removed. All the steps can be integrated into a single-run ICP process. Also, only one mask is required. Therefore, the process complexity and fabrication cost can be effectively reduced. Discussions on each SRM step and considerations for avoiding undesired etching of the silicon structures during the release process are also presented.

  11. On improved understanding of plasma-chemical processes in complex low-temperature plasmas

    Science.gov (United States)

    Röpcke, Jürgen; Loffhagen, Detlef; von Wahl, Eric; Nave, Andy S. C.; Hamann, Stephan; van Helden, Jean-Piere H.; Lang, Norbert; Kersten, Holger

    2018-05-01

    Over the last years, chemical sensing using optical emission spectroscopy (OES) in the visible spectral range has been combined with methods of mid infrared laser absorption spectroscopy (MIR-LAS) in the molecular fingerprint region from 3 to 20 μm, which contains strong rotational-vibrational absorption bands of a large variety of gaseous species. This optical approach established powerful in situ diagnostic tools to study plasma-chemical processes of complex low-temperature plasmas. The methods of MIR-LAS enable to detect stable and transient molecular species in ground and excited states and to measure the concentrations and temperatures of reactive species in plasmas. Since kinetic processes are inherent to discharges ignited in molecular gases, high time resolution on sub-second timescales is frequently desired for fundamental studies as well as for process monitoring in applied research and industry. In addition to high sensitivity and good temporal resolution, the capacity for broad spectral coverage enabling multicomponent detection is further expanding the use of OES and MIR-LAS techniques. Based on selected examples, this paper reports on recent achievements in the understanding of complex low-temperature plasmas. Recently, a link with chemical modeling of the plasma has been provided, which is the ultimate objective for a better understanding of the chemical and reaction kinetic processes occurring in the plasma. Contribution to the Topical Issue "Fundamentals of Complex Plasmas", edited by Jürgen Meichsner, Michael Bonitz, Holger Fehske, Alexander Piel.

  12. Role of plasma adiponectin /C-reactive protein ratio in obesity and ...

    African Journals Online (AJOL)

    African Health Sciences ... Objective(s): We examined relations between fasting plasma adiponectin (ADIP), C-reactive protein (CRP) ... Methods: Fasting plasma ADIP, CRP, Insulin (IN), HOMA-IR, lipid profiles, body fat percent (%BF), waist ...

  13. Plasma assisted surface coating/modification processes: An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1986-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation). These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  14. Plasma assisted surface coating/modification processes - An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1987-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation. These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  15. Ionized gas (plasma) delivery of reactive oxygen species (ROS) into artificial cells

    International Nuclear Information System (INIS)

    Hong, Sung-Ha; Jenkins, A Toby A; Szili, Endre J; Short, Robert D

    2014-01-01

    This study was designed to enhance our understanding of how reactive oxygen species (ROS), generated ex situ by ionized gas (plasma), can affect the regulation of signalling processes within cells. A model system, comprising of a suspension of phospholipid vesicles (cell mimics) encapsulating a ROS reporter, was developed to study the plasma delivery of ROS into cells. For the first time it was shown that plasma unequivocally delivers ROS into cells over a sustained period and without compromising cell membrane integrity. An important consideration in cell and biological assays is the presence of serum, which significantly reduced the transfer efficiency of ROS into the vesicles. These results are key to understanding how plasma treatments can be tailored for specific medical or biotechnology applications. Further, the phospholipid vesicle ROS reporter system may find use in other studies involving the application of free radicals in biology and medicine. (fast track communication)

  16. Ionized gas (plasma) delivery of reactive oxygen species (ROS) into artificial cells

    Science.gov (United States)

    Hong, Sung-Ha; Szili, Endre J.; Jenkins, A. Toby A.; Short, Robert D.

    2014-09-01

    This study was designed to enhance our understanding of how reactive oxygen species (ROS), generated ex situ by ionized gas (plasma), can affect the regulation of signalling processes within cells. A model system, comprising of a suspension of phospholipid vesicles (cell mimics) encapsulating a ROS reporter, was developed to study the plasma delivery of ROS into cells. For the first time it was shown that plasma unequivocally delivers ROS into cells over a sustained period and without compromising cell membrane integrity. An important consideration in cell and biological assays is the presence of serum, which significantly reduced the transfer efficiency of ROS into the vesicles. These results are key to understanding how plasma treatments can be tailored for specific medical or biotechnology applications. Further, the phospholipid vesicle ROS reporter system may find use in other studies involving the application of free radicals in biology and medicine.

  17. Plasma processes including electron beam for off-gases purification

    International Nuclear Information System (INIS)

    Chmielewski, A.G.; Witman, S.; Licki, J.

    2011-01-01

    Complete text of publication follows. Non-thermal plasma technologies based on different methods of plasma generation are being applied for ozone generation for different applications, waste water and off-gases treatment. Plasmas create reactive species, in particular ions, radicals or other reactive compounds, which can decompose pollutant molecules, organic particulate matter or soot. Electron beam flue gas treatment is another plasma-based technology which has been successfully demonstrated on industrial scale coal fired power plants. High efficiency of SO 2 (> 95%) and NO x (> 70%) has been obtained and industrial plant applying this process has been built in Poland. The further investigations carried out all over the world have illustrated that the process can be applied for poly-aromatic hydrocarbons (PAH) destruction as well, and just recently research laboratories in the US and South Korea have reported in the feasibility of the process for mercury removal from the flue gas. The recent studies concern a new type of accelerators implementation in the industrial scale, application of the process in the high sulfur oil fired boilers and Diesel off - gases purification. The treatment of the flue gases with the high NOx concentration is a special challenge for the technology since the main energy consumption (and applied accelerators power) is related to this pollutant content in the processed off gases. The pulse beams and scavenger application can be a solution to reduce investment and operational costs. The further development of the technology is directly connected with high power accelerators development. Acknowledgement: The R and D activities are supported by the European Regional Development Found in the frame of the project PlasTEP 'Dissemination and fostering of plasma based technological innovation for environment protection in the Baltic Sea Region'.

  18. Impact of plasma jet vacuum ultraviolet radiation on reactive oxygen species generation in bio-relevant liquids

    Energy Technology Data Exchange (ETDEWEB)

    Jablonowski, H.; Hammer, M. U.; Reuter, S. [Center for Innovation Competence plasmatis, Felix-Hausdorff-Str. 2, 17489 Greifswald (Germany); Leibniz Institute for Plasma Science and Technology, INP Greifswald e.V. Felix-Hausdorff-Str. 2, 17489 Greifswald (Germany); Bussiahn, R.; Weltmann, K.-D.; Woedtke, Th. von [Leibniz Institute for Plasma Science and Technology, INP Greifswald e.V. Felix-Hausdorff-Str. 2, 17489 Greifswald (Germany)

    2015-12-15

    Plasma medicine utilizes the combined interaction of plasma produced reactive components. These are reactive atoms, molecules, ions, metastable species, and radiation. Here, ultraviolet (UV, 100–400 nm) and, in particular, vacuum ultraviolet (VUV, 10–200 nm) radiation generated by an atmospheric pressure argon plasma jet were investigated regarding plasma emission, absorption in a humidified atmosphere and in solutions relevant for plasma medicine. The energy absorption was obtained for simple solutions like distilled water (dH{sub 2}O) or ultrapure water and sodium chloride (NaCl) solution as well as for more complex ones, for example, Rosewell Park Memorial Institute (RPMI 1640) cell culture media. As moderate stable reactive oxygen species, hydrogen peroxide (H{sub 2}O{sub 2}) was studied. Highly reactive oxygen radicals, namely, superoxide anion (O{sub 2}{sup •−}) and hydroxyl radicals ({sup •}OH), were investigated by the use of electron paramagnetic resonance spectroscopy. All species amounts were detected for three different treatment cases: Plasma jet generated VUV and UV radiation, plasma jet generated UV radiation without VUV part, and complete plasma jet including all reactive components additionally to VUV and UV radiation. It was found that a considerable amount of radicals are generated by the plasma generated photoemission. From the experiments, estimation on the low hazard potential of plasma generated VUV radiation is discussed.

  19. On reactive suspension plasma spraying of calcium titanate

    OpenAIRE

    Kotlan, J. (Jiří); Pala, Z. (Zdeněk); Mušálek, R. (Radek); Ctibor, P. (Pavel)

    2016-01-01

    This study shows possibility of preparation of calcium titanate powder and coatings by reactive suspension plasma spraying. Suspension of mixture of calcium carbonate (CaCO3) and titanium dioxide (TiO2) powders in ethanol was fed into hybrid plasma torch with a DC-arc stabilized by a water–argon mixture (WSP-H 500). Various feeding distances and angles were used in order to optimize suspension feeding conditions. In the next step, the coatings were deposited on stainless steel substrates and ...

  20. Argon plasma treatment to enhance the electrochemical reactivity of screen-printed carbon surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Ghamouss, F.; Luais, E. [Universite de Nantes, Faculte des Sciences et des Techniques, Chimie et Interdisciplinarite: Synthese, Analyse, Modelisation (CEISAM), UMR CNRS no 6230, 2, rue de la Houssiniere, BP 92208, 44322 NANTES Cedex 3 (France); Universite de Nantes, Institut des Materiaux Jean Rouxel IMN - CNRS, 2 rue de la Houssiniere, BP 32229, 44322 Nantes Cedex 3 (France); Thobie-Gautier, C. [Universite de Nantes, Faculte des Sciences et des Techniques, Chimie et Interdisciplinarite: Synthese, Analyse, Modelisation (CEISAM), UMR CNRS no 6230, 2, rue de la Houssiniere, BP 92208, 44322 NANTES Cedex 3 (France); Tessier, P.-Y. [Universite de Nantes, Faculte des Sciences et des Techniques, Chimie et Interdisciplinarite: Synthese, Analyse, Modelisation (CEISAM), UMR CNRS no 6230, 2, rue de la Houssiniere, BP 92208, 44322 NANTES Cedex 3 (France); Universite de Nantes, Institut des Materiaux Jean Rouxel IMN - CNRS, 2 rue de la Houssiniere, BP 32229, 44322 Nantes Cedex 3 (France); Boujtita, M. [Universite de Nantes, Faculte des Sciences et des Techniques, Chimie et Interdisciplinarite: Synthese, Analyse, Modelisation (CEISAM), UMR CNRS no 6230, 2, rue de la Houssiniere, BP 92208, 44322 NANTES Cedex 3 (France)], E-mail: mohammed.boujtita@univ-nantes.fr

    2009-04-15

    Radiofrequency argon plasma was used for screen-printed carbon electrodes (SPCE) surface treatment. The cyclic voltammetry of ferri/ferrocyanide as redox couple showed a remarkable improvement of the electrochemical reactivity of the SPCE after the plasma treatment. The effect of the plasma growth conditions on the efficiency of the treatment procedure was evaluated in term of electrochemical reactivity of the SPCE surface. The electrochemical study showed that the electrochemical reactivity of the treated electrodes was strongly dependant on radiofrequency power, treatment time and argon gas pressure. X-ray photoelectron spectroscopy (XPS) analysis showed a considerable evolution on the surface chemistry of the treated electrodes. Our results clearly showed that the argon plasma treatment induces a significant increase in the C{sub sp2}/C{sub sp3} ratio. The scanning electron micrograph (SEM) also showed a drastic change on the surface morphology of the treated SPCEs.

  1. Atmospheric pressure plasma processing of polymeric materials utilizing close proximity indirect exposure

    Science.gov (United States)

    Paulauskas, Felix L.; Bonds, Truman

    2016-09-20

    A plasma treatment method that includes providing treatment chamber including an intermediate heating volume and an interior treatment volume. The interior treatment volume contains an electrode assembly for generating a plasma and the intermediate heating volume heats the interior treatment volume. A work piece is traversed through the treatment chamber. A process gas is introduced to the interior treatment volume of the treatment chamber. A plasma is formed with the electrode assembly from the process gas, wherein a reactive species of the plasma is accelerated towards the fiber tow by flow vortices produced in the interior treatment volume by the electrode assembly.

  2. Particle beam experiments for the analysis of reactive sputtering processes in metals and polymer surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Corbella, Carles; Grosse-Kreul, Simon; Kreiter, Oliver; Arcos, Teresa de los; Benedikt, Jan; Keudell, Achim von [RD Plasmas with Complex Interactions, Ruhr-Universität Bochum, Universitätsstr. 150, 44780 Bochum (Germany)

    2013-10-15

    A beam experiment is presented to study heterogeneous reactions relevant to plasma-surface interactions in reactive sputtering applications. Atom and ion sources are focused onto the sample to expose it to quantified beams of oxygen, nitrogen, hydrogen, noble gas ions, and metal vapor. The heterogeneous surface processes are monitored in situ by means of a quartz crystal microbalance and Fourier transform infrared spectroscopy. Two examples illustrate the capabilities of the particle beam setup: oxidation and nitriding of aluminum as a model of target poisoning during reactive magnetron sputtering, and plasma pre-treatment of polymers (PET, PP)

  3. The Application of the Fluoride Reactivation Process to the Detection of Sarin and Soman Nerve Agent Exposures in Biological Samples

    National Research Council Canada - National Science Library

    Adams, T. K; Capacio, B. R; Smith, J. R; Whalley, C. E; Korte, W. D

    2004-01-01

    The fluoride reactivation process was evaluated for measuring the level of sarin or soman nerve agents reactivated from substrates in plasma and tissue from in vivo exposed guinea pigs (Cava porcellus...

  4. Spectroscopy of reactive species produced by low-energy atmospheric-pressure plasma on conductive target material surface

    International Nuclear Information System (INIS)

    Yamada, Hiromasa; Sakakita, Hajime; Kato, Susumu; Kim, Jaeho; Kiyama, Satoru; Fujiwara, Masanori; Itagaki, Hirotomo; Ikehara, Yuzuru; Okazaki, Toshiya; Ikehara, Sanae; Nakanishi, Hayao; Shimizu, Nobuyuki

    2016-01-01

    A method for blood coagulation using low-energy atmospheric-pressure plasma (LEAPP) is confirmed as an alternative procedure to reduce tissue damage caused by heat. Blood coagulation using LEAPP behaves differently depending on working gas species; helium is more effective than argon in promoting fast coagulation. To analyse the difference in reactive species produced by helium and argon plasma, spectroscopic measurements were conducted without and with a target material. To compare emissions, blood coagulation experiments using LEAPP for both plasmas were performed under almost identical conditions. Although many kinds of reactive species such as hydroxyl radicals and excited nitrogen molecules were observed with similar intensity in both plasmas, intensities of nitrogen ion molecules and nitric oxide molecules were extremely strong in the helium plasma. It is considered that nitrogen ion molecules were mainly produced by penning ionization by helium metastable. Near the target, a significant increase in the emissions of reactive species is observed. There is a possibility that electron acceleration was induced in a local electric field formed on the surface. However, in argon plasma, emissions from nitrogen ion were not measured even near the target surface. These differences between the two plasmas may be producing the difference in blood coagulation behaviour. To control the surrounding gas of the plasma, a gas-component-controllable chamber was assembled. Filling the chamber with O 2 /He or N 2 /He gas mixtures selectively produces either reactive oxygen species or reactive nitrogen species. Through selective treatments, this chamber would be useful in studying the effects of specific reactive species on blood coagulation. (paper)

  5. Spectroscopy of reactive species produced by low-energy atmospheric-pressure plasma on conductive target material surface

    Science.gov (United States)

    Yamada, Hiromasa; Sakakita, Hajime; Kato, Susumu; Kim, Jaeho; Kiyama, Satoru; Fujiwara, Masanori; Itagaki, Hirotomo; Okazaki, Toshiya; Ikehara, Sanae; Nakanishi, Hayao; Shimizu, Nobuyuki; Ikehara, Yuzuru

    2016-10-01

    A method for blood coagulation using low-energy atmospheric-pressure plasma (LEAPP) is confirmed as an alternative procedure to reduce tissue damage caused by heat. Blood coagulation using LEAPP behaves differently depending on working gas species; helium is more effective than argon in promoting fast coagulation. To analyse the difference in reactive species produced by helium and argon plasma, spectroscopic measurements were conducted without and with a target material. To compare emissions, blood coagulation experiments using LEAPP for both plasmas were performed under almost identical conditions. Although many kinds of reactive species such as hydroxyl radicals and excited nitrogen molecules were observed with similar intensity in both plasmas, intensities of nitrogen ion molecules and nitric oxide molecules were extremely strong in the helium plasma. It is considered that nitrogen ion molecules were mainly produced by penning ionization by helium metastable. Near the target, a significant increase in the emissions of reactive species is observed. There is a possibility that electron acceleration was induced in a local electric field formed on the surface. However, in argon plasma, emissions from nitrogen ion were not measured even near the target surface. These differences between the two plasmas may be producing the difference in blood coagulation behaviour. To control the surrounding gas of the plasma, a gas-component-controllable chamber was assembled. Filling the chamber with O2/He or N2/He gas mixtures selectively produces either reactive oxygen species or reactive nitrogen species. Through selective treatments, this chamber would be useful in studying the effects of specific reactive species on blood coagulation.

  6. Latent viral reactivation is associated with changes in plasma antimicrobial protein concentrations during long-duration spaceflight

    Science.gov (United States)

    Spielmann, G.; Laughlin, M. S.; Kunz, H.; Crucian, B. E.; Quiriarte, H. D.; Mehta, S. K.; Pierson, D. L.; Simpson, R. J.

    2018-05-01

    Long duration spaceflights are associated with profound dysregulation of the immune system and latent viral reactivations. However, little is known on the impact of long duration spaceflight on innate immunity which raises concerns on crewmembers' ability to fight infections during a mission. The aim of this study was to determine the effects of spaceflight on plasma antimicrobial proteins (AMPs) and how these changes impact latent herpesvirus reactivations. Plasma, saliva and urine samples were obtained from 23 crewmembers before, during and after a 6-month mission on the International Space Station (ISS). Plasma AMP concentrations were determined by ELISA, and saliva Epstein-Barr virus (EBV) and varicella zoster virus (VZV) and urine cytomegalovirus (CMV) DNA levels were quantified by Real-Time PCR. There was a non-significant increase in plasma HNP1-3 and LL-37 during the early and middle stages of the missions, which was significantly associated with changes in viral DNA during and after spaceflight. Plasma HNP1-3 and Lysozyme increased at the late mission stages in astronauts who had exhibited EBV and VZV reactivations during the early flight stages. Following return to Earth and during recovery, HNP1-3 and lysozyme concentrations were associated with EBV and VZV viral DNA levels, reducing the magnitude of viral reactivation. Reductions in plasma LL-37 upon return were associated with greater CMV reactivation. This study shows that biomarkers of innate immunity appeared to be partially restored after 6-months in space and suggests that following adaptation to the space environment, plasma HNP1-3 and lysozyme facilitate the control of EBV and VZV reactivation rate and magnitude in space and upon return on earth. However, the landing-associated decline in plasma LL-37 may enhance the rate of CMV reactivation in astronauts following spaceflight, potentially compromising crewmember health after landing.

  7. Optical emission and mass spectroscopy of plasma processes in reactive DC pulsed magnetron sputtering of aluminium oxide

    Czech Academy of Sciences Publication Activity Database

    Novotný, Michal; Bulíř, Jiří; Pokorný, Petr; Bočan, Jiří; Fitl, Přemysl; Lančok, Ján; Musil, Jindřich

    2010-01-01

    Roč. 12, č. 3 (2010), 697-700 ISSN 1454-4164 R&D Projects: GA AV ČR IAA100100718; GA AV ČR KAN400100653; GA ČR GP202/09/P324 Institutional research plan: CEZ:AV0Z10100522 Keywords : reactive magnetron sputtering * alumina * plasma spectroscopy * mass spectroscopy * optical emission spectroscopy Subject RIV: BH - Optics, Masers, Lasers Impact factor: 0.412, year: 2010

  8. Elementary processes in plasma-surface interactions with emphasis on ions

    International Nuclear Information System (INIS)

    Zalm, P.C.

    1985-01-01

    Elementary processes occurring at solid surfaces immersed in low pressure plasmas are reviewed. In particular mechanisms leading to anisotropic or directional etching are discussed. The crucial role of ion bombardment is emphasized. First a brief summary of the interaction of (excited) neutrals, ions and electrons with targets is given. Next various aspects of sputter-etching with noble gas and reactive ions are surveyed. Finally it will be argued that synergistic effects, invoked by ion bombardment of a surface under simultaneous exposure to a reactive gas flux, are foremost important in explaining anisotropic plasma etching. It is shown that the role of the ions is not merely to stimulate the chemical reaction path but rather that the active gas flow chemically enhances the sputtering. (author)

  9. Processing of polymers using reactive solvents

    NARCIS (Netherlands)

    Lemstra, P.J.; Kurja, J.; Meijer, H.E.H.; Meijer, H.E.H.

    1997-01-01

    A review with many refs. on processing of polymers using reactive solvents including classification of synthetic polymers, guidelines for the selection of reactive solvents, basic aspects of processing, examples of intractable and tractable polymer/reactive solvent system

  10. Prognostic implications of plasma fibrinogen and serum C- reactive ...

    African Journals Online (AJOL)

    Key words: Plasma fibrinogen, serum C-reactive protein, biomarker, non-small cell lung cancer. Tropical Journal of Pharmaceutical Research ... demonstrated in colorectal [11], cervical, oesophageal [12], and pancreatic cancers .... demographic and clinical characteristic features of the patients involved are shown in Table ...

  11. Control of Reactive Species Generated by Low-frequency Biased Nanosecond Pulse Discharge in Atmospheric Pressure Plasma Effluent

    Science.gov (United States)

    Takashima, Keisuke; Kaneko, Toshiro

    2016-09-01

    The control of hydroxyl radical and the other gas phase species generation in the ejected gas through air plasma (air plasma effluent) has been experimentally studied, which is a key to extend the range of plasma treatment. Nanosecond pulse discharge is known to produce high reduced electric field (E/N) discharge that leads to efficient generation of the reactive species than conventional low frequency discharge, while the charge-voltage cycle in the low frequency discharge is known to be well-controlled. In this study, the nanosecond pulse discharge biased with AC low frequency high voltage is used to take advantages of these discharges, which allows us to modulate the reactive species composition in the air plasma effluent. The utilization of the gas-liquid interface and the liquid phase chemical reactions between the modulated long-lived reactive species delivered from the air plasma effluent could realize efficient liquid phase chemical reactions leading to short-lived reactive species production far from the air plasma, which is crucial for some plasma agricultural applications.

  12. In-situ plasma processing to increase the accelerating gradients of superconducting radio-frequency cavities

    Science.gov (United States)

    Doleans, M.; Tyagi, P. V.; Afanador, R.; McMahan, C. J.; Ball, J. A.; Barnhart, D. L.; Blokland, W.; Crofford, M. T.; Degraff, B. D.; Gold, S. W.; Hannah, B. S.; Howell, M. P.; Kim, S.-H.; Lee, S.-W.; Mammosser, J.; Neustadt, T. S.; Saunders, J. W.; Stewart, S.; Strong, W. H.; Vandygriff, D. J.; Vandygriff, D. M.

    2016-03-01

    A new in-situ plasma processing technique is being developed at the Spallation Neutron Source (SNS) to improve the performance of the cavities in operation. The technique utilizes a low-density reactive oxygen plasma at room temperature to remove top surface hydrocarbons. The plasma processing technique increases the work function of the cavity surface and reduces the overall amount of vacuum and electron activity during cavity operation; in particular it increases the field emission onset, which enables cavity operation at higher accelerating gradients. Experimental evidence also suggests that the SEY of the Nb surface decreases after plasma processing which helps mitigating multipacting issues. In this article, the main developments and results from the plasma processing R&D are presented and experimental results for in-situ plasma processing of dressed cavities in the SNS horizontal test apparatus are discussed.

  13. Bridge between fusion plasma and plasma processing

    International Nuclear Information System (INIS)

    Ohno, Noriyasu; Takamura, Shuichi

    2008-01-01

    In the present review, relationship between fusion plasma and processing plasma is discussed. From boundary-plasma studies in fusion devices new applications such as high-density plasma sources, erosion of graphite in a hydrogen plasma, formation of helium bubbles in high-melting-point metals and the use of toroidal plasmas for plasma processing are emerging. The authors would like to discuss a possibility of knowledge transfer from fusion plasmas to processing plasmas. (T. Ikehata)

  14. Plasma ash processing solutions for advanced interconnect technology

    International Nuclear Information System (INIS)

    Fuller, N.C.M.; Worsley, M.A.; Tai, L.; Bent, S.; Labelle, C.; Arnold, J.; Dalton, T.

    2008-01-01

    A mechanism for the modification of porous ultra low-k (ULK) and extreme ultra low-k (EULK) SiCOH-based materials is proposed. This is achieved by correlating film damage on a patterned structure measured by angular resolved x-ray photoelectron spectroscopy (ARXPS) with corresponding changes in reactive species radical density and ion current in the plasma measured by optical emission spectroscopy (OES), rare gas actinometry, and modeling. Line-to-line electrical leakage and capacitance data of nested line structures exposed to downstream ash plasmas suggest that other etching steps during back-end-of-the-line (BEOL) dual damascene processing are also critical for the overall modification induced to these materials

  15. Integrated Design and Control of Reactive and Non-Reactive Distillation Processes

    DEFF Research Database (Denmark)

    Mansouri, Seyed Soheil; Sales-Cruz, Mauricio; Huusom, Jakob Kjøbsted

    , an alternative approach is to tackle process design and controllability issues simultaneously, in the early stages of process design. This simultaneous synthesis approach provides optimal/near optimal operation and more efficient control of conventional (non-reactive binary distillation columns) (Hamid et al...... of methodologies have been proposed and applied on various problems to address the interactions between process design and control, and they range from optimization-based approaches to model-based methods (Sharifzadeh, 2013). In this work, integrated design and control of non-reactive distillation, ternary...... reactive distillation processes. The element concept (Pérez Cisneros et al., 1997) is used to translate a ternary system of compounds (A + B ↔ C) to a binary system of element (WA and WB). In the case of multicomponent reactive distillation processes the equivalent element concept is used to translate...

  16. Non-thermal dielectric barrier discharge plasma induces angiogenesis through reactive oxygen species.

    Science.gov (United States)

    Arjunan, Krishna Priya; Friedman, Gary; Fridman, Alexander; Clyne, Alisa Morss

    2012-01-07

    Vascularization plays a key role in processes such as wound healing and tissue engineering. Non-thermal plasma, which primarily produces reactive oxygen species (ROS), has recently emerged as an efficient tool in medical applications including blood coagulation, sterilization and malignant cell apoptosis. Liquids and porcine aortic endothelial cells were treated with a non-thermal dielectric barrier discharge plasma in vitro. Plasma treatment of phosphate-buffered saline (PBS) and serum-free medium increased ROS concentration in a dose-dependent manner, with a higher concentration observed in serum-free medium compared with PBS. Species concentration inside cells peaked 1 h after treatment, followed by a decrease 3 h post treatment. Endothelial cells treated with a plasma dose of 4.2 J cm(-2) had 1.7 times more cells than untreated samples 5 days after plasma treatment. The 4.2 J cm(-2) plasma dose increased two-dimensional migration distance by 40 per cent compared with untreated control, while the number of cells that migrated through a three-dimensional collagen gel increased by 15 per cent. Tube formation was also enhanced by plasma treatment, with tube lengths in plasma-treated samples measuring 2.6 times longer than control samples. A fibroblast growth factor-2 (FGF-2) neutralizing antibody and ROS scavengers abrogated these angiogenic effects. These data indicate that plasma enhanced proliferation, migration and tube formation is due to FGF-2 release induced by plasma-produced ROS. Non-thermal plasma may be used as a potential tool for applying ROS in precise doses to enhance vascularization.

  17. Interaction of nanosecond ultraviolet laser pulses with reactive dusty plasma

    International Nuclear Information System (INIS)

    Wetering, F. M. J. H. van de; Oosterbeek, W.; Beckers, J.; Nijdam, S.; Gibert, T.; Mikikian, M.; Rabat, H.; Kovačević, E.; Berndt, J.

    2016-01-01

    Even though UV laser pulses that irradiate a gas discharge are small compared to the plasma volume (≲3%) and plasma-on time (≲6 × 10 −6 %), they are found to dramatically change the discharge characteristics on a global scale. The reactive argon–acetylene plasma allows the growth of nanoparticles with diameters up to 1 μm, which are formed inside the discharge volume due to spontaneous polymerization reactions. It is found that the laser pulses predominantly accelerate and enhance the coagulation phase and are able to suppress the formation of a dust void.

  18. In Situ Fabrication of AlN Coating by Reactive Plasma Spraying of Al/AlN Powder

    Directory of Open Access Journals (Sweden)

    Mohammed Shahien

    2011-10-01

    Full Text Available Reactive plasma spraying is a promising technology for the in situ formation of aluminum nitride (AlN coatings. Recently, it became possible to fabricate cubic-AlN-(c-AlN based coatings through reactive plasma spraying of Al powder in an ambient atmosphere. However, it was difficult to fabricate a coating with high AlN content and suitable thickness due to the coalescence of the Al particles. In this study, the influence of using AlN additive (h-AlN to increase the AlN content of the coating and improve the reaction process was investigated. The simple mixing of Al and AlN powders was not suitable for fabricating AlN coatings through reactive plasma spraying. However, it was possible to prepare a homogenously mixed, agglomerated and dispersed Al/AlN mixture (which enabled in-flight interaction between the powder and the surrounding plasma by wet-mixing in a planetary mill. Increasing the AlN content in the mixture prevented coalescence and increased the nitride content gradually. Using 30 to 40 wt% AlN was sufficient to fabricate a thick (more than 200 µm AlN coating with high hardness (approximately 1000 Hv. The AlN additive prevented the coalescence of Al metal and enhanced post-deposition nitriding through N2 plasma irradiation by allowing the nitriding species in the plasma to impinge on a larger Al surface area. Using AlN as a feedstock additive was found to be a suitable method for fabricating AlN coatings by reactive plasma spraying. Moreover, the fabricated coatings consist of hexagonal (h-AlN, c-AlN (rock-salt and zinc-blend phases and certain oxides: aluminum oxynitride (Al5O6N, cubic sphalerite Al23O27N5 (ALON and Al2O3. The zinc-blend c-AlN and ALON phases were attributed to the transformation of the h-AlN feedstock during the reactive plasma spraying. Thus, the zinc-blend c

  19. Correlation of III/V semiconductor etch results with physical parameters of high-density reactive plasmas excited by electron cyclotron resonance

    Science.gov (United States)

    Gerhard, FRANZ; Ralf, MEYER; Markus-Christian, AMANN

    2017-12-01

    Reactive ion etching is the interaction of reactive plasmas with surfaces. To obtain a detailed understanding of this process, significant properties of reactive composite low-pressure plasmas driven by electron cyclotron resonance (ECR) were investigated and compared with the radial uniformity of the etch rate. The determination of the electronic properties of chlorine- and hydrogen-containing plasmas enabled the understanding of the pressure-dependent behavior of the plasma density and provided better insights into the electronic parameters of reactive etch gases. From the electrical evaluation of I(V) characteristics obtained using a Langmuir probe, plasmas of different compositions were investigated. The standard method of Druyvesteyn to derive the electron energy distribution functions by the second derivative of the I(V) characteristics was replaced by a mathematical model which has been evolved to be more robust against noise, mainly, because the first derivative of the I(V) characteristics is used. Special attention was given to the power of the energy dependence in the exponent. In particular, for plasmas that are generated by ECR with EM modes, the existence of Maxwellian distribution functions is not to be taken as a self-evident fact, but the bi-Maxwellian distribution was proven for Ar- and Kr-stabilized plasmas. In addition to the electron temperature, the global uniform discharge model has been shown to be useful for calculating the neutral gas temperature. To what extent the invasive method of using a Langmuir probe could be replaced with the non-invasive optical method of emission spectroscopy, particularly actinometry, was investigated, and the resulting data exhibited the same relative behavior as the Langmuir data. The correlation with etchrate data reveals the large chemical part of the removal process—most striking when the data is compared with etching in pure argon. Although the relative amount of the radial variation of plasma density and

  20. Improving the work function of the niobium surface of SRF cavities by plasma processing

    Science.gov (United States)

    Tyagi, P. V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-04-01

    An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5-1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  1. Reactive physical vapor deposition of TixAlyN: Integrated plasma-surface modeling characterization

    International Nuclear Information System (INIS)

    Zhang Da; Schaeffer, J.K.

    2004-01-01

    Reactive physical vapor deposition (RPVD) has been widely applied in the microelectronic industry for producing thin films. Fundamental understanding of RPVD mechanisms is needed for successful process development due to the high sensitivity of film properties on process conditions. An integrated plasma equipment-target nitridation modeling infrastructure for RPVD has therefore been developed to provide mechanistic insights and assist optimal process design. The target nitridation model computes target nitride coverage based on self-consistently derived plasma characteristics from the plasma equipment model; target sputter yields needed in the plasma equipment model are also self-consistently derived taking into account the yield-suppressing effect from nitridation. The integrated modeling infrastructure has been applied to investigating RPVD processing with a Ti 0.8 Al 0.2 compound target and an Ar/N 2 gas supply. It has been found that the process produces athermal metal neutrals as the primary deposition precursor. The metal stoichiometry in the deposited film is close to the target composition due to the predominance of athermal species in the flux that reaches the substrate. Correlations between process parameters (N 2 flow, target power), plasma characteristics, surface conditions, and deposition kinetics have been studied with the model. The deposition process is characterized by two regimes when the N 2 flow rate is varied. When N 2 is dilute relative to argon, target nitride coverage increases rapidly with increasing N 2 flow. The sputter yield and deposition rate consequently decrease. For less dilute N 2 mixtures, the sputter yield and deposition rate are stable due to the saturation of target nitridation. With increasing target power, the electron density increases nearly linearly while the variation of N generation is much smaller. Target nitridation and its suppression of the sputter yield saturate at high N 2 flow rendering these parameters

  2. Plasma levels of C-Reactive Protein and Fibrinogen in Pulmonary ...

    African Journals Online (AJOL)

    In this study, we determined the changes in plasma C- reactive protein (C-RP) and Fibrinogen levels in Drug sensitive Tuberculosis (DSTB) patients at diagnosis, Multi drug resistant tuberculosis (MDRTB) patients at diagnosis and during chemotherapy. Twenty-four (24) patients MDRTB patients and 24 newly diagnosed ...

  3. Application of non-thermal plasma reactor for degradation and detoxification of high concentrations of dye Reactive Black 5 in water

    Directory of Open Access Journals (Sweden)

    Dojčinović Biljana P.

    2016-01-01

    Full Text Available Degradation and detoxification efficiency of high concentrations of commercially available reactive textile dye Reactive Black 5 solution (40, 80, 200, 500, 1000 mg L-1, were studied. Advanced oxidation processes in water falling film based dielectric barrier discharge as a non-thermal plasma reactor were used. For the first time, this reactor was used for the treatment of high concentrations of organic pollutants such as reactive textile dye Reactive Black 5 in water. Solution of the dye is treated by plasma as thin water solution film that is constantly regenerated. Basically, the reactor works as a continuous flow reactor and the electrical discharge itself takes place at the gas-liquid interphase. The dye solution was recirculated through the reactor with an applied energy density of 0-374 kJ L-1. Decolorization efficiency (% was monitored by UV-VIS spectrophotometric technique. Samples were taken after every recirculation (~ 22 kJ L-1 and decolorization percent was measured after 5 min and 24 h of plasma treatment. The efficiency of degradation (i.e. mineralization and possible degradation products were also tracked by determination of the chemical oxygen demand (COD and by ion chromatography (IC. Initial toxicity and toxicity of solutions after the treatment were studied with Artemia salina test organisms. Efficiency of decolorization decreased with the increase of the dye concentration. Complete decolorization, high mineralization and non-toxicity of the solution (<10 % were acomplished after plasma treatment using energy density of 242 kJ L-1, while the initial concentrations of Reactive Black 5 were 40 and 80 mg L-1. [Projekat Ministarstva nauke Republike Srbije, br. 172030 i br. 171034

  4. Ignition and monitoring technique for plasma processing of multicell superconducting radio-frequency cavities

    Science.gov (United States)

    Doleans, Marc

    2016-12-01

    An in-situ plasma processing technique has been developed at the Spallation Neutron Source (SNS) to improve the performance of the superconducting radio-frequency (SRF) cavities in operation. The technique uses a low-density reactive neon-oxygen plasma at room-temperature to improve the surface work function, to help remove adsorbed gases on the RF surface, and to reduce its secondary emission yield. SNS SRF cavities have six accelerating cells and the plasma typically ignites in the cell where the electric field is the highest. This article details the technique to ignite and monitor the plasma in each cell of the SNS cavities.

  5. Separation of VUV/UV photons and reactive particles in the effluent of a He/O{sub 2} atmospheric pressure plasma jet

    Energy Technology Data Exchange (ETDEWEB)

    Schneider, S; Benedikt, J [Coupled plasma-solid state systems, Fakultaet fuer Physik und Astronomie, Ruhr-Universitaet Bochum, Universitaetsstr. 150, 44780 Bochum (Germany); Lackmann, J-W; Narberhaus, F; Bandow, J E [Mikrobiologie, Fakultaet fuer Biologie, Ruhr-Universitaet Bochum, Universitaetsstr. 150, 44780 Bochum (Germany); Denis, B [Institute for Electrical Engineering and Plasma Technology, Ruhr-Universitaet Bochum, Universitaetsstr. 150, 44780 Bochum (Germany)

    2011-07-27

    Cold atmospheric pressure plasmas can be used for treatment of living tissues or for inactivation of bacteria or biological macromolecules. The treatment is usually characterized by a combined effect of UV and VUV radiation, reactive species and ions. This combination is usually beneficial for the effectiveness of the treatment but it makes the study of fundamental interaction mechanisms very difficult. Here we report on an effective separation of VUV/UV photons and heavy reactive species in the effluent of a microscale atmospheric pressure plasma jet ({mu}-APPJ). The separation is realized by an additional flow of helium gas under well-defined flow conditions, which deflects heavy particles in the effluent without affecting the VUV and UV photons. Both components of the effluent, the photons and the reactive species, can be used separately or in combination for sample treatment. The results of treatment of a model plasma polymer film and vegetative Bacillus subtilis and Escherichia coli cells are shown and discussed. A simple model of the He gas flow and reaction kinetics of oxygen atoms in the gas phase and at the surface is used to provide a better understanding of the processes in the plasma effluent. The new jet modification, called X-Jet for its appearance, will simplify the investigation of interaction mechanisms of atmospheric pressure plasmas with biological samples.

  6. Plasma thiobarbituric acid reactivity: reaction conditions and the role of iron, antioxidants and lipid peroxy radicals on the quantitation of plasma lipid peroxides

    Energy Technology Data Exchange (ETDEWEB)

    Wade, C.R.; van Rij, A.M.

    1988-01-01

    The effects of Fe/sup 3 +/, lipid peroxy radicals and the antioxidant butylated hydroxytoluene on the 2-thiobarbituric (TBA) acid quantitation of plasma lipid peroxides were investigated. Whole plasma and plasma fractions prepared by trichloroacetic acid (TCA) protein precipitation and lipid extraction, demonstrated markedly differing TBA reactivities in the presence or absence of added Fe/sup 3 +/. Examination of the spectral profiles of the TBA reacted whole plasma and TCA precipitated fractions demonstrated the presence of interfering compounds which gave rise to an artifactual increase in lipid peroxide concentrations. In contrast the TBA reacted lipid extracts had low levels of interfering compounds that could be removed by our previously described high pressure liquid chromatographic method. Further characterization of the TBA reactivity of the lipid extract showed that Fe/sup 3 +/ at an optimal concentration of 0.5 mM was necessary for the quantitative decomposition of the lipid peroxides to the TBA reactive product malondialdehyde (MDA). However the presence of Fe/sup 3 +/ resulted in further peroxidation of any unsaturated lipids present.

  7. Integrated Process Design and Control of Multi-element Reactive Distillation Processes

    DEFF Research Database (Denmark)

    Mansouri, Seyed Soheil; Sales-Cruz, Mauricio; Huusom, Jakob Kjøbsted

    2016-01-01

    In this work, integrated process design and control of reactive distillation processes involving multi-elements is presented. The reactive distillation column is designed using methods and tools which are similar in concept to non-reactive distillation design methods, such as driving force approach....... The methods employed in this work are based on equivalent element concept. This concept facilitates the representation of a multi-element reactive system as equivalent binary light and heavy key elements. First, the reactive distillation column is designed at the maximum driving force where through steady...

  8. Effect of plasma immersion on crystallinity of V2O5 film grown by dc reactive sputtering at room temperature

    International Nuclear Information System (INIS)

    Choi, Sun Hee; Kim, Joosun; Yoon, Young Soo

    2005-01-01

    Vanadium oxide thin films were grown at room temperature by direct current reactive sputtering. To investigate the effect of plasma immersion on the crystallinity of as-grown film, we immersed samples in plasma during the deposition process. X-ray diffraction (XRD) measurements show that as-deposited thin films immersed in plasma are crystalline, whereas those not immersed in the plasma are amorphous. Images taken with scanning electron microscopy show that the surface of films exposed to plasma have a different morphology to the surface of films not exposed to plasma. The Li-intercalation feature of as-deposited films immersed in plasma shows the typical behavior of crystalline vanadium oxide; such behavior is unsuitable for the cathode of thin film batteries (TFBs). These results indicate that direct current plasma promotes the growth of crystalline vanadium oxide films

  9. Elevated pre-treatment levels of plasma C-reactive protein are associated with poor prognosis after breast cancer

    DEFF Research Database (Denmark)

    Allin, Kristine H; Nordestgaard, Børge G; Flyger, Henrik

    2011-01-01

    We examined whether plasma C-reactive protein (CRP) levels at the time of diagnosis of breast cancer are associated with overall survival, disease-free survival, death from breast cancer, and recurrence of breast cancer.......We examined whether plasma C-reactive protein (CRP) levels at the time of diagnosis of breast cancer are associated with overall survival, disease-free survival, death from breast cancer, and recurrence of breast cancer....

  10. Systematic Integrated Process Design and Control of Binary Element Reactive Distillation Processes

    DEFF Research Database (Denmark)

    Mansouri, Seyed Soheil; Sales-Cruz, Mauricio; Huusom, Jakob Kjøbsted

    2016-01-01

    In this work, integrated process design and control of reactive distillation processes is considered through a computer-aided framework. First, a set of simple design methods for reactive distillation column that are similar in concept to non-reactive distillation design methods are extended...... to design-control of reactive distillation columns. These methods are based on the element concept where the reacting system of compounds is represented as elements. When only two elements are needed to represent the reacting system of more than two compounds, a binary element system is identified....... It is shown that the same design-control principles that apply to a non-reacting binary system of compounds are also valid for a reactive binary system of elements for distillation columns. Application of this framework shows that designing the reactive distillation process at the maximum driving force...

  11. Plasma analysis of different TiN PVD processes at various process parameters

    International Nuclear Information System (INIS)

    Strauss, G.N.; Schlichtherle, S.; Pulker, H.K.; Meyer, M.; Jehn, H.; Balzer, M.; Misiano, C.; Silipo, V.

    2002-01-01

    TiN coatings of some microns in thickness were deposited by different reactive plasma deposition technologies (Magnetron Sputtering Magnetically Assisted, Arc Source Ion Plating, Sputter Ion Plating Plasma Assisted) on various metal parts. The experiments were carried out in specially designed plants under variable vacuum and plasma conditions. The plasma properties of the different processes were investigated by mass spectrometry and the energy distribution of process relevant particles was additionally determined. The aim of this work was to find proper processes and conditions for a reliable low cost deposition of hard coatings at relatively high gas pressures. It was found that the magnetically forced and medium frequency pulsed biased dc magnetron sputter deposition variants, operating in the 10 -3 mbar gas pressure range, showed a relatively large amount of single and double charged positive ions with kinetic energies up to 55 and 95 eV, as consequence of the applied modifications. Cathodic arc deposition, in the same gas pressure range of 10 - 3 mbar, showed a very high number of such ions with energies up to more than 100 eV, depending on the value of the applied arc current. However, at constant distance between source and substrate the higher gas pressure increases also the number of energy reducing collisions of the coating-material vapour-species with the gas molecules. The arc source process, even when performed at high gas pressures of about 10 -1 mbar, showed a remarkable amount of ions with energies up to 75 eV resulting in high performance TiN films of quite proper 3D homogeneity. The arc source technique is able to increase film thickness uniformity up to 3 times with respect to the traditional coatings if the samples are mounted in a way that they do not influence each other. (nevyjel)

  12. Plasma concentrations of endothelin in patients with abnormal vascular reactivity

    International Nuclear Information System (INIS)

    Predel, H.G.; Meyer-Lehnert, H.; Baecker, A.; Stelkens, H.; Kramer, H.J.

    1990-01-01

    We measured circulating concentrations of endothelin in healthy subjects and in patients with abnormal vascular reactivity. Endothelin concentrations were determined by radioimmunoassay after extraction of plasma using Sep-Pak C-18 cartridges in healthy subjects, in patients with diabetes mellitus type I, in patients with mild to moderate essential hypertension and in non-dialyzed patients with stable chronic renal failure. Plasma concentrations were similar in healthy controls, in diabetics and in hypertensive patients averaging 5.0±0.6 pg/ml, 4.7±0.2 pg/ml and 6.5±1.0 pg/ml, respectively. In contrast, plasma concentrations of endothelin were markedly elevated in patients with chronic renal failure averaging 16.6±2.9 pg/ml. No correlations were observed between serum creatinine concentrations ranging from 124 to 850 μmol/l or blood pressure and plasma concentrations of endothelin. Bicycle ergometric exercise in six healthy subjects and an acute modest i.v. saline load of 1,000 ml of 0.45% NaCl administered within 60 min in six patients with mild essential hypertension did not affect plasma concentrations of endothelin

  13. Plasma processing for VLSI

    CERN Document Server

    Einspruch, Norman G

    1984-01-01

    VLSI Electronics: Microstructure Science, Volume 8: Plasma Processing for VLSI (Very Large Scale Integration) discusses the utilization of plasmas for general semiconductor processing. It also includes expositions on advanced deposition of materials for metallization, lithographic methods that use plasmas as exposure sources and for multiple resist patterning, and device structures made possible by anisotropic etching.This volume is divided into four sections. It begins with the history of plasma processing, a discussion of some of the early developments and trends for VLSI. The second section

  14. Advanced research and development for plasma processing of polymers with combinatorial plasma-process analyzer

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2010-01-01

    A plasma-process analyzer has been developed on the basis of combinatorial method, in which process examinations with continuous variations of plasma-process conditions can be carried out on a substrate holder with an inclined distribution of process parameters. Combinatorial plasma-process analyses have been demonstrated for examinations of plasma-polymer interactions in terms of etching characteristics and surface morphologies in order to show feasibility and effectiveness of the methodology as advanced research and development for next-generation plasma nano processes. The etching properties and surface morphologies have been investigated for polyethylene terephthalate (PET) films exposed to argon-oxygen mixture plasmas. The etching depth data obtained from three independent batches of the experiments showed universal and almost linear dependence with increasing product of (ion saturation current) x (exposure time); i.e. ion dose. Surface roughness of the polymer slightly increased with increasing ion dose, while the mean spacing after plasma exposure was found to decrease monotonically with increasing ion dose but was saturated at the level of approximately 250 nm.

  15. Reactive chemicals and process hazards

    International Nuclear Information System (INIS)

    Surianarayanan, M.

    2016-01-01

    Exothermic chemical reactions are often accompanied by significant heat release, and therefore, need a thorough investigation before they are taken to a plant scale. Sudden thermal energy releases from exothermic decompositions and runaway reactions have contributed to serious fire and explosions in several chemical process plants. Similarly, thermal runaway had also occurred in storage and transportation of reactive chemicals. The secondary events of thermal runaway reactions can be rupture of process vessel, toxic spills and release of explosive vapor clouds or combination of these also. The explosion hazards are governed by the system thermodynamics and kinetics of the thermal process. Theoretical prediction of limiting temperature is difficult due to process complexities. Further, the kinetic data obtained through classical techniques, at conditions far away from runaway situation, is often not valid for assessing the runaway behavior of exothermic processes. The main focus of this lecture is to discuss the causes and several contributing factors for thermal runaway and instability and present analyses of the methodologies of the new instrumental techniques for assessing the thermal hazards of reactive chemicals during processing, storage and transportation. (author)

  16. Plasma technology in metallurgical processing

    Energy Technology Data Exchange (ETDEWEB)

    Haile, O.

    1995-12-31

    This literature work is mainly focusing on the mechanisms of plasma technology and telling about metallurgical processing, particularly iron and steelmaking as well as the advantage of the unique properties of plasma. The main advantages of plasma technology in metallurgical operations is to direct utilization of naturally available raw materials and fuels without costly upgrading andlor beneficiation, improved environmental impact, improve process control, significant amplification of reactor and process equipment utilization and increased efficiency of raw materials, energy and man power. This literature survey is based on the publication `plasma technology in metallurgical processing` presents a comprehensive account of the physical, electrical, and mechanical aspects of plasma production and practical processing. The applications of plasma technology in metallurgical processing are covered in depth with special emphasis on developments in promising early stages. Plasma technology of today is mature in the metallurgical process applications. A few dramatic improvements are expected in the near future this giving an impetus to the technologists for the long range planning. (18 refs.) (author)

  17. Investigation of air gasification of micronized coal, mechanically activated using the plasma control of the process

    Directory of Open Access Journals (Sweden)

    Butakov Evgenii

    2017-01-01

    Full Text Available Combination of the processes of coal combustion and gasification into a single technology of mechano-chemical and plasma-chemical activation is of a considerable scientific and technological interest. Enhancement of coal reactivity at their grinding with mechanical activation is associated with an increase in the reaction rate of carbon material, and at plasma-chemical effect, the main is an increase in reactivity of the oxidizing agent caused by the high plasma temperatures of atomic oxygen. The process of gasification was studied on the 1-MW setup with tangential scroll supply of pulverized coal-air mixture and cylindrical reaction chamber. Coal ground by the standard boiler mill is fed to the disintegrator, then, it is sent to the scroll inlet of the burner-reactor with the transport air. Pulverized coal is ignited by the plasmatron of 10-kW power. In experiments on air gasification of micronized coal, carried out at the temperature in the reaction chamber of 1000-1200°C and air excess α = 0.3-1, the data on CO concentration of 11% and H2 concentration of up to 6% were obtained. Air and air-steam gasification of mechanically-activated micronized coals with plasma control was calculated using SigmaFlow software package.

  18. Investigation of air gasification of micronized coal, mechanically activated using the plasma control of the process

    Science.gov (United States)

    Butakov, Evgenii; Burdukov, Anatoly; Chernetskiy, Mikhail; Kuznetsov, Victor

    2017-10-01

    Combination of the processes of coal combustion and gasification into a single technology of mechano-chemical and plasma-chemical activation is of a considerable scientific and technological interest. Enhancement of coal reactivity at their grinding with mechanical activation is associated with an increase in the reaction rate of carbon material, and at plasma-chemical effect, the main is an increase in reactivity of the oxidizing agent caused by the high plasma temperatures of atomic oxygen. The process of gasification was studied on the 1-MW setup with tangential scroll supply of pulverized coal-air mixture and cylindrical reaction chamber. Coal ground by the standard boiler mill is fed to the disintegrator, then, it is sent to the scroll inlet of the burner-reactor with the transport air. Pulverized coal is ignited by the plasmatron of 10-kW power. In experiments on air gasification of micronized coal, carried out at the temperature in the reaction chamber of 1000-1200°C and air excess α = 0.3-1, the data on CO concentration of 11% and H2 concentration of up to 6% were obtained. Air and air-steam gasification of mechanically-activated micronized coals with plasma control was calculated using SigmaFlow software package.

  19. Plasma processing of large curved surfaces for superconducting rf cavity modification

    Directory of Open Access Journals (Sweden)

    J. Upadhyay

    2014-12-01

    Full Text Available Plasma-based surface modification of niobium is a promising alternative to wet etching of superconducting radio frequency (SRF cavities. We have demonstrated surface layer removal in an asymmetric nonplanar geometry, using a simple cylindrical cavity. The etching rate is highly correlated with the shape of the inner electrode, radio-frequency (rf circuit elements, gas pressure, rf power, chlorine concentration in the Cl_{2}/Ar gas mixtures, residence time of reactive species, and temperature of the cavity. Using variable radius cylindrical electrodes, large-surface ring-shaped samples, and dc bias in the external circuit, we have measured substantial average etching rates and outlined the possibility of optimizing plasma properties with respect to maximum surface processing effect.

  20. Integrated Process Design and Control of Reactive Distillation Processes

    DEFF Research Database (Denmark)

    Mansouri, Seyed Soheil; Sales-Cruz, Mauricio; Huusom, Jakob Kjøbsted

    2015-01-01

    on the element concept, which is used to translate a system of compounds into elements. The operation of the reactive distillation column at the highest driving force and other candidate points is analyzed through analytical solution as well as rigorous open-loop and closed-loop simulations. By application...... of this approach, it is shown that designing the reactive distillation process at the maximum driving force results in an optimal design in terms of controllability and operability. It is verified that the reactive distillation design option is less sensitive to the disturbances in the feed at the highest driving...

  1. Polarized process algebra with reactive composition

    NARCIS (Netherlands)

    Bergstra, J.A.; Bethke, I.

    2005-01-01

    Polarized processes are introduced to model the asymmetric interaction of systems. The asymmetry stems from the distinction between service and request. The scheduled concurrent composition of two polarized processes is called client–server composition or reactive composition, placing one process in

  2. Oscillatory processes in plasma

    International Nuclear Information System (INIS)

    Gallin, E.

    1980-01-01

    The oscillatory process play an important part in plasma evolution, In hot plasma in particular, the interactions between the oscillation modes are preponderant in relation to the binary collisions between particles. The nonlineary interactions between collective plasma oscillations can generate, in this case, a non-balanced steady state of plasma (steady turbulence). The paper elucidates some aspects of the oscillatory phenomena which contribute to the plasma state evolution, especially of hot plasma. A major part of the paper is devoted to the study of parametric instabilities in plasma and their role in increasing the temperature of plasma components (electrons, ions). Both parametric instabilities in plasma in the vicinity of thermodynamic balance and parametric processes is steady turbulent plasma are analysed - in relation to additional heating of hot plasma. An important result of the thesis refers to the drowing-up of a non-lineary interaction model between the oscillation modes in turbulent plasma, being responsible for the electromagnetic radiation in hot plasma. On the basis of the model suggested in the paper the existence of a low frequency radiative mode in hot plasma in a turbulent state, can be demonstrated. Its frequency could be even lower than plasma frequency in the field of long waves be even lower than plasma frequency in the field of long waves. Such a radiative mode was detected experimentally in focussed plasma installations. (author)

  3. Plasma processing: Technologies and applications

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2005-01-01

    This study aims to present the fundamentals of physics of plasmas, methods of generation, diagnostics, and applications for processing of materials. The first chapter defines plasma in general as well as its main parameters, the most important differential equations in plasma physics, and classifies the types of plasmas. the various methods and techniques to create and sustain plasma are presented in the second chapter. Chapter 3 focuses on plasma diagnostic methods and tools. While chapter 4 deals with applications of plasma processing such as; surface modification of materials, plasma ashing and etching, plasma cutting, and the environmental applications of plasma. Plasma polymerization and its various applications have been presented in more details in the last chapter. (Author)

  4. An atmospheric-pressure cold plasma leads to apoptosis in Saccharomyces cerevisiae by accumulating intracellular reactive oxygen species and calcium

    International Nuclear Information System (INIS)

    Ma, R N; Zhang, Q; Tian, Y; Su, B; Zhang, J; Fang, J; Feng, H Q; Liang, Y D

    2013-01-01

    A non-thermal plasma is known to induce apoptosis of various cells but the mechanism is not yet clear. A eukaryotic model organism Saccharomyces cerevisiaewas used to investigate the cellular and biochemical regulations of cell apoptosis and cell cycle after an atmospheric-pressure cold plasma treatment. More importantly, intracellular calcium (Ca 2+ ) was first involved in monitoring the process of plasma-induced apoptosis in this study. We analysed the cell apoptosis and cell cycle by flow cytometry and observed the changes in intracellular reactive oxygen species (ROS) and Ca 2+ concentration, cell mitochondrial membrane potential (Δψ m ) as well as nuclear DNA morphology via fluorescence staining assay. All experimental results indicated that plasma-generated ROS leads to the accumulation of intracellular ROS and Ca 2+ that ultimately contribute to apoptosis associated with cell cycle arrest at G1 phase through depolarization of Δψ m and fragmenting nuclear DNA. This work provides a novel insight into the physical and biological mechanism of apoptosis induced by a plasma which could benefit for promoting the development of plasmas applied to cancer therapy. (paper)

  5. Collisional processes in supersymmetric plasma

    International Nuclear Information System (INIS)

    Czajka, Alina; Mrowczynski, Stanislaw

    2011-01-01

    Collisional processes in ultrarelativistic N=1 supersymmetric QED plasma are studied and compared to those in an electromagnetic plasma of electrons, positrons and photons. Cross sections of all binary interactions which occur in the supersymmetric plasma at the order of e 4 are computed. Some processes, in particular, the Compton scattering on selectrons, appear to be independent of momentum transfer and thus they are qualitatively different from processes in an electromagnetic plasma. It suggests that the transport properties of the supersymmetric plasma are different than those of its nonsupersymmetric counterpart. Energy loss and momentum broadening of a particle traversing the supersymmetric plasma are discussed in detail and the characteristics are shown to be surprisingly similar to those of QED plasma.

  6. Reactive-ion etching of nylon fabric meshes using oxygen plasma for creating surface nanostructures

    International Nuclear Information System (INIS)

    Salapare, Hernando S.; Darmanin, Thierry; Guittard, Frédéric

    2015-01-01

    Graphical abstract: - Highlights: • Reactive-ion etching (RIE) is employed to nylon 6,6 fabrics to achieve surface texturing and improved wettability. • FTIR spectra of the treated samples exhibited decreased transmittance of amide and carboxylic acid groups due to etching. • Etching is enhanced for higher power plasma treatments and for samples with larger mesh sizes. • Decreased crystallinity was achieved after plasma treatment. • Higher power induced higher negative DC self-bias voltage on the samples that favored anisotropic and aggressive etching. - Abstract: A facile one-step oxygen plasma irradiation in reactive ion etching (RIE) configuration is employed to nylon 6,6 fabrics with different mesh sizes to achieve surface nanostructures and improved wettability for textile and filtration applications. To observe the effects of power and irradiation time on the samples, the experiments were performed using constant irradiation time in varying power and using constant power in varying irradiation times. Results showed improved wettability after the plasma treatment. The FTIR spectra of all the treated samples exhibited decreased transmittance of the amide and carboxylic acid groups due to surface etching. The changes in the surface chemistry are supported by the SEM data wherein etching and surface nanostructures were observed for the plasma-treated samples. The etching of the surfaces is enhanced for higher power plasma treatments. The thermal analysis showed that the plasma treatment resulted in decreased crystallinity. Surface chemistry showed that the effects of the plasma treatment on the samples have no significant difference for all the mesh sizes. However, surface morphology showed that the sizes of the surface cracks are the same for all the mesh sizes but samples with larger mesh sizes exhibited enhanced etching as compared to the samples with smaller mesh sizes. Higher power induced higher negative DC self-bias voltage on the samples that

  7. A Three-Step Atomic Layer Deposition Process for SiN x Using Si2Cl6, CH3NH2, and N2 Plasma.

    Science.gov (United States)

    Ovanesyan, Rafaiel A; Hausmann, Dennis M; Agarwal, Sumit

    2018-06-06

    We report a novel three-step SiN x atomic layer deposition (ALD) process using Si 2 Cl 6 , CH 3 NH 2 , and N 2 plasma. In a two-step process, nonhydrogenated chlorosilanes such as Si 2 Cl 6 with N 2 plasmas lead to poor-quality SiN x films that oxidize rapidly. The intermediate CH 3 NH 2 step was therefore introduced in the ALD cycle to replace the NH 3 plasma step with a N 2 plasma, while using Si 2 Cl 6 as the Si precursor. This three-step process lowers the atomic H content and improves the film conformality on high-aspect-ratio nanostructures as Si-N-Si bonds are formed during a thermal CH 3 NH 2 step in addition to the N 2 plasma step. During ALD, the reactive surface sites were monitored using in situ surface infrared spectroscopy. Our infrared spectra show that, on the post-N 2 plasma-treated SiN x surface, Si 2 Cl 6 reacts primarily with the surface -NH 2 species to form surface -SiCl x ( x = 1, 2, or 3) bonds, which are the reactive sites during the CH 3 NH 2 cycle. In the N 2 plasma step, reactive -NH 2 surface species are created because of the surface H available from the -CH 3 groups. At 400 °C, the SiN x films have a growth per cycle of ∼0.9 Å with ∼12 atomic percent H. The films grown on high-aspect-ratio nanostructures have a conformality of ∼90%.

  8. Generation and Role of Reactive Oxygen and Nitrogen Species Induced by Plasma, Lasers, Chemical Agents, and Other Systems in Dentistry

    Science.gov (United States)

    Jha, Nayansi; Ryu, Jae Jun

    2017-01-01

    The generation of reactive oxygen and nitrogen species (RONS) has been found to occur during inflammatory procedures, during cell ischemia, and in various crucial developmental processes such as cell differentiation and along cell signaling pathways. The most common sources of intracellular RONS are the mitochondrial electron transport system, NADH oxidase, and cytochrome P450. In this review, we analyzed the extracellular and intracellular sources of reactive species, their cell signaling pathways, the mechanisms of action, and their positive and negative effects in the dental field. In dentistry, ROS can be found—in lasers, photosensitizers, bleaching agents, cold plasma, and even resin cements, all of which contribute to the generation and prevalence of ROS. Nonthermal plasma has been used as a source of ROS for biomedical applications and has the potential for use with dental stem cells as well. There are different types of dental stem cells, but their therapeutic use remains largely untapped, with the focus currently on only periodontal ligament stem cells. More research is necessary in this area, including studies about ROS mechanisms with dental cells, along with the utilization of reactive species in redox medicine. Such studies will help to provide successful treatment modalities for various diseases. PMID:29204250

  9. A secondary fuel removal process: plasma processing

    Energy Technology Data Exchange (ETDEWEB)

    Min, J Y; Kim, Y S [Hanyang Univ., Seoul (Korea, Republic of); Bae, K K; Yang, M S [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1997-07-01

    Plasma etching process of UO{sub 2} by using fluorine containing gas plasma is studied as a secondary fuel removal process for DUPIC (Direct Use of PWR spent fuel Into Candu) process which is taken into consideration for potential future fuel cycle in Korea. CF{sub 4}/O{sub 2} gas mixture is chosen for reactant gas and the etching rates of UO{sub 2} by the gas plasma are investigated as functions of CF{sub 4}/O{sub 2} ratio, plasma power, substrate temperature, and plasma gas pressure. It is found that the optimum CF{sub 4}/O{sub 2} ratio is around 4:1 at all temperatures up to 400 deg C and the etching rate increases with increasing r.f. power and substrate temperature. Under 150W r.f. power the etching rate reaches 1100 monolayers/min at 400 deg C, which is equivalent to about 0.5mm/min. (author).

  10. The plasma physics of plasma processing

    International Nuclear Information System (INIS)

    Shohet, L.

    1991-01-01

    Plasma processing is used for producing new materials with unusual and superior properties, for developing new chemical compounds and processes, for machining, and for altering and refining materials and surfaces. It has direct applications to semiconductor fabrication, materials synthesis, welding, lighting, polymers, anti-corrosion coatings, machine tools, metallurgy, electrical and electronics devices, hazardous waste removal, high performance ceramics, and many other items in both high-technology and the more traditional industries. Plasma processing takes on a wide variety of apparently different forms in industry, but the techniques share many common characteristics and problems. Control of the generation and flux of ions, electrons and free radicals in the plasma and their incidence on a surface is vital. Diagnostics, sensors, modeling techniques, and associated statistical methods are needed. However, without an in-depth understanding of the variety of phenomena taking place and their application to the industrial environment, advances in this technology, and its efficient use, will occur at a diminishing rate

  11. Statistical Optimization of Reactive Plasma Cladding to Synthesize a WC-Reinforced Fe-Based Alloy Coating

    Science.gov (United States)

    Wang, Miqi; Zhou, Zehua; Wu, Lintao; Ding, Ying; Xu, Feilong; Wang, Zehua

    2018-04-01

    A new compound Fe-W-C powder for reactive plasma cladding was fabricated by precursor carbonization process using sucrose as a precursor. The application of quadratic general rotary unitized design was highlighted to develop a mathematical model to predict and accomplish the desired surface hardness of plasma-cladded coating. The microstructure and microhardness of the coating with optimal parameters were also investigated. According to the developed empirical model, the optimal process parameters were determined as follows: 1.4 for C/W atomic ratio, 20 wt.% for W content, 130 A for scanning current and 100 mm/min (1.67 mm/s) for scanning rate. The confidence level of the model was 99% according to the results of the F-test and lack-of-fit test. Microstructural study showed that the dendritic structure was comprised of a mechanical mixture of α-Fe and carbides, while the interdendritic structure was a eutectic of α-Fe and carbides in the composite coating with optimal parameters. WC phase generation can be confirmed from the XRD pattern. Due to good preparation parameters, the average microhardness of cladded coating can reach 1120 HV0.1, which was four times the substrate microhardness.

  12. Plasma processing and chemistry

    NARCIS (Netherlands)

    Schram, D.C.; Mullen, van der J.J.A.M.; Sanden, van de M.C.M.

    1994-01-01

    The growing field of applications of plasma as deposition, etching, surface modification and chemical conversion has stimulated a renewed interest in plasma science in the atomic physical chemistry regime. The necessity to optimize the various plasma processing techniques in terms of rates, and

  13. Obese Hypertensive Men Have Plasma Concentrations of C-Reactive Protein Similar to That of Obese Normotensive Men

    DEFF Research Database (Denmark)

    Asferg, Camilla L; Andersen, Ulrik B; Linneberg, Allan

    2014-01-01

    BACKGROUND: Low-grade chronic inflammation is a characteristic feature of obesity, the most important lifestyle risk factor for hypertension. Elevated plasma concentrations of the inflammatory biomarker C-reactive protein (CRP) are associated with an increased risk of hypertension, but elevated...... plasma CRP concentrations are also closely associated with obesity. It is uncertain whether CRP is directly involved in the pathogenesis of hypertension or is only a marker of other pathogenic processes closely related to obesity. METHODS: We studied 103 obese men (body mass index (BMI) ≥ 30.0 kg/m(2......)); 63 of these men had 24-hour ambulatory blood pressure (ABP) ≥ 130/80 mm Hg and comprised the obese hypertensive (OHT) group. The 40 remaining obese men had 24-hour ABP obese normotensive (ONT) group. Our control group comprised 27 lean normotensive (LNT) men. All...

  14. Aqueous reactive species induced by a PCB surface micro-discharge air plasma device: a quantitative study

    Science.gov (United States)

    Chen, Chen; Li, Fanying; Chen, Hai-Lan; Kong, Michael G.

    2017-11-01

    This paper presents a quantitative investigation on aqueous reactive species induced by air plasma generated from a printed circuit board surface micro-discharge (SMD) device. Under the conditions amenable for proliferation of mammalian cells, concentrations of ten types of reactive oxygen and nitrogen species (RONS) in phosphate buffering solution (PBS) are measured by chemical fluorescent assays and electron spin resonance spectroscopy (ESR). Results show that concentrations of several detected RNS (NO2- , NO3- , peroxynitrites, and NO2\\centerdot ) are higher than those of ROS (H2O2, O2\\centerdot - , and 1O2) in the air plasma treated solution. Concentrations of NO3- can reach 150 times of H2O2 with 60 s plasma treatment. For short-lived species, the air plasma generates more copious peroxynitrite than other RONS including NO2\\centerdot , O2\\centerdot - , 1O2, and N{{O}\\centerdot } in PBS. In addition, the existence of reaction between H2O2 and NO2- /HNO2 to produce peroxynitrite is verified by the chemical scavenger experiments. The reaction relations between detected RONS are also discussed.

  15. Plasma processing of nanomaterials

    CERN Document Server

    Sankaran, R Mohan

    2014-01-01

    CRC Press author R. Mohan Sankaran is the winner of the 2011 Peter Mark Memorial Award "… for the development of a tandem plasma synthesis method to grow carbon nanotubes with unprecedented control over the nanotube properties and chirality." -2011 AVS Awards Committee"Readers who want to learn about how nanomaterials are processed, using the most recent methods, will benefit greatly from this book. It contains very recent technical details on plasma processing and synthesis methods used by current researchers developing new nano-based materials, with all the major plasma-based processing techniques used today being thoroughly discussed."-John J. Shea, IEEE Electrical Insulation Magazine, May/June 2013, Vol. 29, No. 3.

  16. Ultraviolet Laser Damage Dependence on Contamination Concentration in Fused Silica Optics during Reactive Ion Etching Process

    Directory of Open Access Journals (Sweden)

    Laixi Sun

    2018-04-01

    Full Text Available The reactive ion etching (RIE process of fused silica is often accompanied by surface contamination, which seriously degrades the ultraviolet laser damage performance of the optics. In this study, we find that the contamination behavior on the fused silica surface is very sensitive to the RIE process which can be significantly optimized by changing the plasma generating conditions such as discharge mode, etchant gas and electrode material. Additionally, an optimized RIE process is proposed to thoroughly remove polishing-introduced contamination and efficiently prevent the introduction of other contamination during the etching process. The research demonstrates the feasibility of improving the damage performance of fused silica optics by using the RIE technique.

  17. Studies on Nanocrystalline TiN Coatings Prepared by Reactive Plasma Spraying

    Directory of Open Access Journals (Sweden)

    Dong Yanchun

    2008-01-01

    Full Text Available Titanium nitride (TiN coatings with nanostructure were prepared on the surface of 45 steel (Fe-0.45%C via reactive plasma spraying (denoted as RPS Ti powders using spraying gun with self-made reactive chamber. The microstructural characterization, phases constitute, grain size, microhardness, and wear resistance of TiN coatings were systematically investigated. The grain size was obtained through calculation using the Scherrer formula and observed by TEM. The results of X-ray diffraction and electron diffraction indicated that the TiN is main phase of the TiN coating. The forming mechanism of the nano-TiN was characterized by analyzing the SEM morphologies of surface of TiN coating and TiN drops sprayed on the surface of glass, and observing the temperature and velocity of plasma jet using Spray Watch. The tribological properties of the coating under nonlubricated condition were tested and compared with those of the AISI M2 high-speed steel and Al2O3 coating. The results have shown that the RPS TiN coating presents better wear resistance than the M2 high-speed steel and Al2O3 coating under nonlubricated condition. The microhardness of the cross-section and longitudinal section of the TiN coating was tested. The highest hardness of the cross-section of TiN coating is 1735.43HV100 g.

  18. Kinetic and radiation processes in cluster plasmas

    International Nuclear Information System (INIS)

    Smirnov, B.M.

    1996-01-01

    The analysis of processes is made for a cluster plasma which is a xenon arc plasma of a high pressure with an admixture of tungsten cluster ions. Because cluster ions emit radiation, this system is a light source which parameters are determined by various processes such as heat release and transport of charged particles in the plasma, radiative processes involving clusters, processes of cluster evaporation and attachment of atoms to it that leads to an equilibrium between clusters and vapor of their atoms, processes of cluster generation, processes of the ionization equilibrium between cluster ions and plasma electrons, transport of cluster ions in the discharge plasma in all directions. These processes govern by properties of a specific cluster plasma under consideration. (author)

  19. Designing reactive distillation processes with improved efficiency

    NARCIS (Netherlands)

    Almeida-Rivera, C.P.

    2005-01-01

    In this dissertation a life-span inspired perspective is taken on the conceptual design of grassroots reactive distillation processes. Attention was paid to the economic performance of the process and to potential losses of valuable resources over the process life span. The research was cast in a

  20. Fundamental processes of plasma and reactive gas surface treatment for the recovery of hydrogen isotopes from carbon co-deposits in fusion devices

    International Nuclear Information System (INIS)

    Moeller, Soeren

    2014-01-01

    The use of carbon-based plasma-facing wall components offers many advantages for plasma operation in magnetic confinement nuclear fusion devices. However, through reactions with the hydrogen based fusion plasma, carbon forms amorphous hydrogenated carbon co-deposits (a-C:H) in the vacuum vessels. If tritium is used to fuel the reactor, this co-deposition can quickly lead to an inacceptable high tritium inventory. Through co-deposition with carbon about 10% of the tritium injected into the reactor can be trapped. Even with other wall materials co-deposition can be significant. A method to recover the hydrogen isotopes from the co-deposits is necessary. The method has to be compatible with the requirements of the devices and nuclear fusion plasma operation. In this work thermo-chemical removal by neutral gases (TCR) and removal by plasmas is investigated. Models are developed to describe the involved processes of both removal methods. TCR is described using a reaction-diffusion model. Within this model the reactive gas diffuses into the co-deposits and subsequently reacts in a thermally activated process. The co-deposits are pyrolysed, forming volatile gases, e.g. CO 2 and H 2 O. These gases are pumped from the vacuum vessel and recycled. Applying the model to literature observations enables to connect data on exposure temperature, pressure, time and co-deposit properties. Two limits of TCR (reaction- or diffusion-limited) are identified. Plasma removal sputters co-deposits by their chemical and physical interaction with the impinging ions. The description uses a 0D plasma model from the literature which derives plasma parameters from the balance of input power to plasma power losses. The model is extended with descriptions of the plasma sheath and ion-surface interactions to derive the co-deposit removal rates. Plasma removal can be limited by this ion induced surface release rate or the rate of pumping of the released species. To test the models dedicated

  1. Fundamental processes of plasma and reactive gas surface treatment for the recovery of hydrogen isotopes from carbon co-deposits in fusion devices

    Energy Technology Data Exchange (ETDEWEB)

    Moeller, Soeren

    2014-11-01

    The use of carbon-based plasma-facing wall components offers many advantages for plasma operation in magnetic confinement nuclear fusion devices. However, through reactions with the hydrogen based fusion plasma, carbon forms amorphous hydrogenated carbon co-deposits (a-C:H) in the vacuum vessels. If tritium is used to fuel the reactor, this co-deposition can quickly lead to an inacceptable high tritium inventory. Through co-deposition with carbon about 10% of the tritium injected into the reactor can be trapped. Even with other wall materials co-deposition can be significant. A method to recover the hydrogen isotopes from the co-deposits is necessary. The method has to be compatible with the requirements of the devices and nuclear fusion plasma operation. In this work thermo-chemical removal by neutral gases (TCR) and removal by plasmas is investigated. Models are developed to describe the involved processes of both removal methods. TCR is described using a reaction-diffusion model. Within this model the reactive gas diffuses into the co-deposits and subsequently reacts in a thermally activated process. The co-deposits are pyrolysed, forming volatile gases, e.g. CO{sub 2} and H{sub 2}O. These gases are pumped from the vacuum vessel and recycled. Applying the model to literature observations enables to connect data on exposure temperature, pressure, time and co-deposit properties. Two limits of TCR (reaction- or diffusion-limited) are identified. Plasma removal sputters co-deposits by their chemical and physical interaction with the impinging ions. The description uses a 0D plasma model from the literature which derives plasma parameters from the balance of input power to plasma power losses. The model is extended with descriptions of the plasma sheath and ion-surface interactions to derive the co-deposit removal rates. Plasma removal can be limited by this ion induced surface release rate or the rate of pumping of the released species. To test the models dedicated

  2. Physical processes in hot cosmic plasmas

    International Nuclear Information System (INIS)

    Fabian, A.G.; Giovannelli, F.

    1990-01-01

    The interpretation of many high energy astrophysical phenomena relies on a detailed knowledge of radiation and transport processes in hot plasmas. The understanding of these plasma properties is one of the aims of terrestrial plasma physics. While the microscopic properties of astrophysical plasmas can hardly be determined experimentally, laboratory plasmas are more easily accessible to experimental techniques, but transient phenomena and the interaction of the plasma with boundaries often make the interpretation of measurements cumbersome. This book contains the talks given at the NATO Advanced Research Workshop on astro- and plasma-physics in Vulcano, Sicily, May 29-June 2, 1989. The book focuses on three main areas: radiation transport processes in hot (astrophysical and laboratory) plasmas; magnetic fields; their generation, reconnection and their effects on plasma transport properties; relativistic and ultra-high density plasmas

  3. Processing of thermoplastic polymers using reactive solvents

    NARCIS (Netherlands)

    Meijer, H.E.H.; Venderbosch, R.W.; Goossens, J.G.P.; Lemstra, P.J.

    1996-01-01

    The use of reactive solvents offers an interesting and flexible route to extent the processing characteristics of thermoplastic polymers beyond their existing limits. This holds for both intractable and tractable polymers. The first mainly applies for amorphous high-Tg polymers where processing may

  4. Simulation studies of plasma waves in the electron foreshock - The transition from reactive to kinetic instability

    Science.gov (United States)

    Dum, C. T.

    1990-01-01

    Particle simulation experiments were used to analyze the electron beam-plasma instability. It is shown that there is a transition from the reactive state of the electron beam-plasma instability to the kinetic instability of Langmuir waves. Quantitative tests, which include an evaluation of the dispersion relation for the evolving non-Maxwellian beam distribution, show that a quasi-linear theory describes the onset of this transition and applies again fully to the kinetic stage. This stage is practically identical to the late stage seen in simulations of plasma waves in the electron foreshock described by Dum (1990).

  5. Reactive dual magnetron sputtering for large area application

    International Nuclear Information System (INIS)

    Struempfel, J.

    2002-01-01

    Production lines for large area coating demand high productivity of reactive magnetron sputtering processes. Increased dynamic deposition rates for oxides and nitrides were already obtained by using of highly powered magnetrons in combination with advanced sputter techniques. However, besides high deposition rates the uniformity of such coatings has to be carefully considered. First the basics of reactive sputtering processes and dual magnetron sputtering are summarized. Different methods for process stabilization and control are commonly used for reactive sputtering. The Plasma Emission Monitor (PE M) offers the prerequisite for fast acting process control derived from the in-situ intensity measurements of a spectral line of the sputtered target material. Combined by multiple Plasma Emission Monitor control loops segmented gas manifolds are able to provide excellent thin film uniformity at high deposition rates. The Dual Magnetron allows a broad range of processing by different power supply modes. Medium frequency, DC and pulsed DC power supplies can be used for high quality layers. Whereas the large area coating of highly isolating layers like TiO 2 or SiO 2 is dominated by MF sputtering best results for coating with transparent conductive oxides are obtained by dual DC powering of the dual magnetron arrangement. (Author)

  6. Reactive spark plasma synthesis of CaZrTi2O7 zirconolite ceramics for plutonium disposition

    Science.gov (United States)

    Sun, Shi-Kuan; Stennett, Martin C.; Corkhill, Claire L.; Hyatt, Neil C.

    2018-03-01

    Near single phase zirconolite ceramics, prototypically CaZrTi2O7, were fabricated by reactive spark plasma sintering (RSPS), from commercially available CaTiO3, ZrO2 and TiO2 reagents, after processing at 1200 °C for only 1 h. Ceramics were of theoretical density and formed with a controlled mean grain size of 1.9 ± 0.6 μm. The reducing conditions of RSPS afforded the presence of paramagnetic Ti3+, as demonstrated by EPR spectroscopy. Overall, this study demonstrates the potential for RSPS to be a disruptive technology for disposition of surplus separated plutonium stockpiles in ceramic wasteforms, given its inherent advantage of near net shape products and rapid throughput.

  7. Plasma technologies: applications to waste processing

    International Nuclear Information System (INIS)

    Fauchais, P.

    2007-01-01

    Since the 1990's, plasma technologies have found applications in the processing of toxic wastes of military and industrial origin, like the treatment of contaminated solids and low level radioactive wastes, the decontamination of soils etc.. Since the years 2000, this development is becoming exponential, in particular for the processing of municipal wastes and the recovery of their synthesis gas. The advantage of thermal plasmas with respect to conventional combustion techniques are: a high temperature (more than 6000 K), a pyrolysis capability (CO formation instead of CO 2 ), about 90% of available energy above 1500 K (with respect to 23% with flames), a greater energy density, lower gas flow rates, and plasma start-up and shut-down times of only few tenth of seconds. This article presents: 1 - the present day situation of thermal plasmas development; 2 - some general considerations about plasma waste processing; 3 - the plasma processes: liquid toxic wastes, solid wastes (contaminated soils and low level radioactive wastes, military wastes, vitrification of incinerators fly ash, municipal wastes processing, treatment of asbestos fibers, treatment of chlorinated industrial wastes), metallurgy wastes (dusts, aluminium slags), medical and ship wastes, perspectives; 4 -conclusion. (J.S.)

  8. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  9. Non equilibrium atomic processes and plasma spectroscopy

    International Nuclear Information System (INIS)

    Kato, Takako

    2003-01-01

    Along with the technical progress in plasma spectroscopy, non equilibrium ionization processes have been recently observed. We study non local thermodynamic equilibrium and non ionization equilibrium for various kinds of plasmas. Specifically we discuss non equilibrium atomic processes in magnetically confined plasmas, solar flares and laser produced plasmas using a collisional radiative model based on plasma spectroscopic data. (author)

  10. Atomic processes in high temperature plasmas

    International Nuclear Information System (INIS)

    Hahn, Y.

    1990-03-01

    Much theoretical and experimental efforts have been expended in recent years to study those atomic processes which are specially relevant to understanding high temperature laboratory plasmas. For magnetically confined fusion plasmas, the temperature range of interest spans from the hundreds of eV at plasma edges to 10 keV at the center of the plasma, where most of the impurity ions are nearly fully ionized. These highly stripped ions interact strongly with electrons in the plasma, leading to further excitation and ionization of the ions, as well as electron capture. Radiations are emitted during these processes, which easily escape to plasma container walls, thus cooling the plasma. One of the dominant modes of radiation emission has been identified with dielectronic recombination. This paper reviews this work

  11. Biomimetic architectures by plasma processing fabrication and applications

    CERN Document Server

    Chattopadhyay, Surojit

    2014-01-01

    Photonic structures in the animal kingdom: valuable inspirations for bio-mimetic applications. Moth eye-type anti-reflecting nanostructures by an electron cyclotron resonance plasma. Plasma-processed biomimetic nano/microstructures. Wetting properties of natural and plasma processed biomimetic surfaces. Biomimetic superhydrophobic surface by plasma processing. Biomimetic interfaces of plasma modified titanium alloy.

  12. A new thin film deposition process by cathodic plasma electrolysis

    International Nuclear Information System (INIS)

    Paulmier, T.; Kiriakos, E.; Bell, J.; Fredericks, P.

    2004-01-01

    Full text: A new technique, called atmospheric pressure plasma deposition (APPD), has been developed since a few years for the deposition of carbon and DLC, Titanium or Silicon films on metal and metal alloys substrates. A high voltage (2kV) is applied in a liquid electrolytic solution between an anode and a cathode, both electrodes being cylindrical: a glow discharge is then produced and confined at the vicinity of the cathode. The physic of the plasma in the electrolytic solution near the cathode is very different form the other techniques of plasma deposition since the pressure is here close to the atmospheric pressure. We describe here the different physico-chemical processes occurring during the process. In this cathodic process, the anodic area is significantly larger than the cathode area. In a first step, the electrolytic solution is heated by Joule effect induced by the high voltage between the electrodes. Due to the high current density, the vaporization of the solution occurs near the cathode: a large amount of bubbles are produced which are stabilized at the electrode by hydrodynamic and electromagnetic forces, forming a vapour sheath. The electric field and voltage drop are then concentrated in this gas envelope, inducing the ionization of the gas and the ignition of a glow discharge at the surface of the material. This plasma induces the formation of ionized and reactive species which diffuse and are accelerated toward the cathode. These excited species are the precursors for the formation of the deposition material. At the same time, the glow discharge interacts with the electrolyte solution inducing also ionization, convection and polymerization processes in the liquid: the solution is therefore a second source of the deposition material. A wide range of films have been deposited with a thickness up to 10 micrometers. These films have been analyzed by SEM and Raman spectroscopy. The electrolytic solution has been characterized by GC-MS and the

  13. Electrolytic plasma processing of steel surfaces

    International Nuclear Information System (INIS)

    Bejar, M.A; Araya, R.N; Baeza, B

    2006-01-01

    The thermo-chemical treatments of steels with plasma is normally carried out in low-pressure ionized gaseous atmospheres. Among the treatments used most often are: nitruration, carburization and boronized. A plasma can also generate at atmospheric pressure. One way to produce it is with an electrochemical cell that works at a relatively high inter-electrode voltage and under conditions of heavy gas generation. This type of plasma is known as electrolytic plasma. This work studies the feasibility of using electrolytic plasma for the surface processing of steels. Two processes were selected: boronized and nitruration., for the hardening of two types of steel: one with low carbon (1020) and one with low alloy (4140). In the case of the nitruration, the 1020 steel was first aluminized. The electrolytes were aqueous solutions of borax for the boronizing and urea for the nitruration. The electrolytic plasmas were classified qualitatively, in relation with their luminosity by low, medium and high intensity. The boronizing was carried out with low intensity plasmas for a period of one hour. The nitruration was performed with plasmas of different intensities and for period of a few minutes to half an hour. The test pieces processed by electrolytic plasma were characterized by micro-hardness tests and X-ray diffraction. The maximum surface hardnesses obtained for the 1020 and 4140 steels were the following: 300 and 700 HV for the boronizing, and 1650 and 1200 HV for the nitruration, respectively. The utilization of an electrolytic plasma permits the surface processing of steels, noticeably increasing their hardness. With this type of plasma some thermo-chemical surface treatments can be done very rapidly as well (CW)

  14. Plasma-chemical processes and systems

    International Nuclear Information System (INIS)

    Castro B, J.

    1987-01-01

    The direct applications of plasma technology on chemistry and metallurgy are presented. The physical fundaments of chemically active non-equilibrium plasma, the reaction kinetics, and the physical chemical transformations occuring in the electrical discharges, which are applied in the industry, are analysed. Some plasma chemical systems and processes related to the energy of hydrogen, with the chemical technology and with the metallurgy are described. Emphasis is given to the optimization of the energy effectiveness of these processes to obtain reducers and artificial energetic carriers. (M.C.K.) [pt

  15. Proteins oxidation and autoantibodies' reactivity against hydrogen peroxide and malondialdehyde -oxidized thyroid antigens in patients' plasmas with Graves' disease and Hashimoto Thyroiditis.

    Science.gov (United States)

    Mseddi, Malek; Ben Mansour, Riadh; Gargouri, Bochra; Mnif, Fatma; El Ghawi, Samir; Hammami, Boutheina; Ghorbel, Abdelmonem; Abid, Mohamed; Lassoued, Saloua

    2017-06-25

    The aim of this study was to evaluate proteins oxidation in plasmas of two autoimmune thyroid diseases (AITD): Graves' disease (GD) and Hashimoto Thyroiditis (HT), and to determine whether oxidative modification of thyroid antigens (T.Ag) enhanced the reactivity of autoantibodies in plasmas of AITD patients compared with the reactivity towards native T.Ag. Carbonyl and thiol groups and MDA-protein adducts were assessed spectrophotometric methods in plasmas of 74 AITD patients and 65 healthy controls. The reactivities immunoglobulin (Ig)G autoantibodies towards malondialdéhyde (MDA)-modified T.Ag, hydrogen peroxide (H 2 O 2 )-modified T.Ag, native T.Ag and native derm were checked by enzyme-linked immunosorbent assay (ELISA). Evaluation of oxidized proteins exhibited high levels of MDA bound to proteins and carbonyl groups, as well as reduced thiol level in plasmas of AITD patients by comparison to healthy controls (p thyroid stimulating hormone level in HT patients in the other (r = 0.65, p < 0.001). The data suggest that high production of H 2 O 2 probably occurred during hormone synthesis could contribute to protein oxidation in AITD and to create neoepitopes responsible for autoantibody reactivity's to H 2 O 2 -oxidized T.Ag enhancement. These results provide support to the involvement of oxidative stress in AITD development and/or exacerbation. Copyright © 2017 Elsevier B.V. All rights reserved.

  16. Functionalization of polymer powders for SLS-processes using an atmospheric plasma jet in a fluidized bed reactor

    Energy Technology Data Exchange (ETDEWEB)

    Sachs, Marius; Schmitt, Adeliene; Schmidt, Jochen; Peukert, Wolfgang; Wirth, Karl-Ernst [Institute of Particle Technology, Friedrich-Alexander-University Erlangen-Nuremberg (Germany)

    2015-05-22

    Recently additive manufacturing processes such as selective laser sintering (SLS) of polymers have gained more importance for industrial applications [1]. Tailor-made modification of polymers is essential in order to make these processes more efficient and to cover the industrial demands. The so far used polymer materials show weak performance regarding the mechanical stability of processed parts. To overcome this limitation, a new route to functionalize the surface of commercially available polymer particles (PA12; PE-HD; PP) using an atmospheric plasma jet in combination with a fluidized bed reactor has been investigated. Consequently, an improvement of adhesion and wettability [2] of the polymer surface without restraining the bulk properties of the powder is achieved. The atmospheric plasma jet process can provide reactive species at moderate temperatures which are suitable for polymer material. The functionalization of the polymer powders improves the quality of the devices build in a SLS-process.

  17. Study on reactive extrusion processes of block copolymer

    International Nuclear Information System (INIS)

    Wu Lili; Jia Yuxi; Sun Sheng; Zhang Guofang; Zhao Guoqun; An Lijia

    2007-01-01

    The anionic copolymerization process of styrene-butadiene (S/B) block copolymer in a closely intermeshing co-rotating twin screw extruder with butyl-lithium initiator was studied. According to the anionic copolymerization mechanism and the reactive extrusion characteristics, the mathematical models of monomer conversion, average molecular weight and fluid viscosity during the anionic copolymerization of S/B were constructed, and then the reactive extrusion process was simulated by means of the finite volume method and the uncoupled semi-implicit iterative algorithm. Finally, the influence of the feeding mixture composition on conversion was discussed. The simulated results were nearly in agreement with the experimental results

  18. Chemical analysis of reactive species and antimicrobial activity of/nwater treated by nanosecond pulsed DBD air plasma

    Czech Academy of Sciences Publication Activity Database

    Laurita, R.; Barbieri, D.; Gherardi, M.; Colombo, V.; Lukeš, Petr

    2015-01-01

    Roč. 3, č. 2 (2015), s. 53-61 ISSN 2212-8166 R&D Projects: GA MŠk(CZ) LD14080 Grant - others:European Cooperation in Science and Technology(XE) COST TD1208 Institutional support: RVO:61389021 Keywords : Dielectric barrier discharge * Plasma activated water * Reactive species * Peroxynitrite * Phenol degradation * Candida albicans * Staphylococcus aureus * Antimicrobial activity * Nosocomial infections Subject RIV: BL - Plasma and Gas Discharge Physics http://www.sciencedirect.com/science/article/pii/S2212816615300081

  19. Beam-generated plasmas for processing applications

    Science.gov (United States)

    Meger, R. A.; Blackwell, D. D.; Fernsler, R. F.; Lampe, M.; Leonhardt, D.; Manheimer, W. M.; Murphy, D. P.; Walton, S. G.

    2001-05-01

    The use of moderate energy electron beams (e-beams) to generate plasma can provide greater control and larger area than existing techniques for processing applications. Kilovolt energy electrons have the ability to efficiently ionize low pressure neutral gas nearly independent of composition. This results in a low-temperature, high-density plasma of nearly controllable composition generated in the beam channel. By confining the electron beam magnetically the plasma generation region can be designated independent of surrounding structures. Particle fluxes to surfaces can then be controlled by the beam and gas parameters, system geometry, and the externally applied rf bias. The Large Area Plasma Processing System (LAPPS) utilizes a 1-5 kV, 2-10 mA/cm2 sheet beam of electrons to generate a 1011-1012cm-3 density, 1 eV electron temperature plasma. Plasma sheets of up to 60×60 cm2 area have been generated in a variety of molecular and atomic gases using both pulsed and cw e-beam sources. The theoretical basis for the plasma production and decay is presented along with experiments measuring the plasma density, temperature, and potential. Particle fluxes to nearby surfaces are measured along with the effects of radio frequency biasing. The LAPPS source is found to generate large-area plasmas suitable for materials processing.

  20. Temporal development of the plasma composition of Zr and Cr metal plasma streams in a N2 environment

    International Nuclear Information System (INIS)

    Rosen, Johanna; Anders, Andre; Hultman, Lars; Schneider, Jochen M.

    2003-01-01

    We describe the temporal development of the plasma composition in a pulsed plasma stream generated by cathodic arc. Cathodes of Zr and Cr were operated at various nitrogen pressures. The time resolved plasma composition for the cathode materials was analyzed with time-of-flight charge-to-mass spectrometry, and was found to be a strong function of the nitrogen pressure. Large plasma composition gradients were detected within the first 60 (micro)s of the pulse, the nitrogen ion concentration increasing with increasing pressure. The results are explained by the formation and erosion of a compound layer formed at the cathode surface in the presence of a reactive gas. The average charge state was also found to be affected by the reactive gas pressure as well as by the time after ignition. The charge states were highest in the beginning of the pulse at low nitrogen pressure, decreasing to a steady-state value at higher pressure. These results are of importance for reactive plasma processing and for controlling of the evolution of thin film composition and microstructure

  1. Investigations on diamond nanostructuring of different morphologies by the reactive-ion etching process and their potential applications.

    Science.gov (United States)

    Kunuku, Srinivasu; Sankaran, Kamatchi Jothiramalingam; Tsai, Cheng-Yen; Chang, Wen-Hao; Tai, Nyan-Hwa; Leou, Keh-Chyang; Lin, I-Nan

    2013-08-14

    We report the systematic studies on the fabrication of aligned, uniform, and highly dense diamond nanostructures from diamond films of various granular structures. Self-assembled Au nanodots are used as a mask in the self-biased reactive-ion etching (RIE) process, using an O2/CF4 process plasma. The morphology of diamond nanostructures is a close function of the initial phase composition of diamond. Cone-shaped and tip-shaped diamond nanostructures result for microcrystalline diamond (MCD) and nanocrystalline diamond (NCD) films, whereas pillarlike and grasslike diamond nanostructures are obtained for Ar-plasma-based and N2-plasma-based ultrananocrystalline diamond (UNCD) films, respectively. While the nitrogen-incorporated UNCD (N-UNCD) nanograss shows the most-superior electron-field-emission properties, the NCD nanotips exhibit the best photoluminescence properties, viz, different applications need different morphology of diamond nanostructures to optimize the respective characteristics. The optimum diamond nanostructure can be achieved by proper choice of granular structure of the initial diamond film. The etching mechanism is explained by in situ observation of optical emission spectrum of RIE plasma. The preferential etching of sp(2)-bonded carbon contained in the diamond films is the prime factor, which forms the unique diamond nanostructures from each type of diamond films. However, the excited oxygen atoms (O*) are the main etching species of diamond film.

  2. Understanding plasma spraying process and characteristics of DC-arc plasma gun (PJ-100

    Directory of Open Access Journals (Sweden)

    Jovana Ružić

    2012-12-01

    Full Text Available The thermal spray processes are a group of coating processes used to apply metallic or non-metallic coatings. In these processes energy sources are used to heat the coating material (in the form of powder, wire, or rod form to a molten or semi-molten state and accelerated towards a prepared surface by either carrier gases or atomization jets. In plasma spraying process, the spraying material is generally in the form of powder and requires a carrier gas to feed the powder into the plasma jet, which is passing between the hot cathode and the cylindrical nozzle-shaped anode. The design of DC plasma gun (PJ - 100 is designed and manufactured in Serbia. Plasma spaying process, the powder injection with the heat, momentum and mass transfers between particles and plasma jet, and the latest developments related to the production of DC plasma gun are described in this article.

  3. Plasma surface interaction processes and possible synergisms

    International Nuclear Information System (INIS)

    Behrisch, R.; Roberto, J.B.

    1984-08-01

    The process determining the plasma surface interaction in today's high temperature plasma experiments are investigated following several lines. First, in plasma devices, the particle and energy fluxes to the different first wall areas the fluxes from the walls back into the plasma are measured and the boundary plasma parameters are determined. The surface composition and structure of the walls, limiters and divertor plates are analyzed following exposure to many discharges. Secondly, the different surface processes which are expected to contribute to the plasma surface interaction (particularly to hydrogen particle balance and impurity introduction) are studied in simulation experiments using well defined particle beams

  4. Hydrogen Plasma Processing of Iron Ore

    Science.gov (United States)

    Sabat, Kali Charan; Murphy, Anthony B.

    2017-06-01

    Iron is currently produced by carbothermic reduction of oxide ores. This is a multiple-stage process that requires large-scale equipment and high capital investment, and produces large amounts of CO2. An alternative to carbothermic reduction is reduction using a hydrogen plasma, which comprises vibrationally excited molecular, atomic, and ionic states of hydrogen, all of which can reduce iron oxides, even at low temperatures. Besides the thermodynamic and kinetic advantages of a hydrogen plasma, the byproduct of the reaction is water, which does not pose any environmental problems. A review of the theory and practice of iron ore reduction using a hydrogen plasma is presented. The thermodynamic and kinetic aspects are considered, with molecular, atomic and ionic hydrogen considered separately. The importance of vibrationally excited hydrogen molecules in overcoming the activation energy barriers, and in transferring energy to the iron oxide, is emphasized. Both thermal and nonthermal plasmas are considered. The thermophysical properties of hydrogen and argon-hydrogen plasmas are discussed, and their influence on the constriction and flow in the of arc plasmas is considered. The published R&D on hydrogen plasma reduction of iron oxide is reviewed, with both the reduction of molten iron ore and in-flight reduction of iron ore particles being considered. Finally, the technical and economic feasibility of the process are discussed. It is shown that hydrogen plasma processing requires less energy than carbothermic reduction, mainly because pelletization, sintering, and cokemaking are not required. Moreover, the formation of the greenhouse gas CO2 as a byproduct is avoided. In-flight reduction has the potential for a throughput at least equivalent to the blast furnace process. It is concluded that hydrogen plasma reduction of iron ore is a potentially attractive alternative to standard methods.

  5. Method and apparatus for monitoring plasma processing operations

    Science.gov (United States)

    Smith, Jr., Michael Lane; Ward, Pamela Denise Peardon; Stevenson, Joel O'Don

    2002-01-01

    The invention generally relates to various aspects of a plasma process, and more specifically the monitoring of such plasma processes. One aspect relates in at least some manner to calibrating or initializing a plasma monitoring assembly. This type of calibration may be used to address wavelength shifts, intensity shifts, or both associated with optical emissions data obtained on a plasma process. A calibration light may be directed at a window through which optical emissions data is being obtained to determine the effect, if any, that the inner surface of the window is having on the optical emissions data being obtained therethrough, the operation of the optical emissions data gathering device, or both. Another aspect relates in at least some manner to various types of evaluations which may be undertaken of a plasma process which was run, and more typically one which is currently being run, within the processing chamber. Plasma health evaluations and process identification through optical emissions analysis are included in this aspect. Yet another aspect associated with the present invention relates in at least some manner to the endpoint of a plasma process (e.g., plasma recipe, plasma clean, conditioning wafer operation) or discrete/discernible portion thereof (e.g., a plasma step of a multiple step plasma recipe). Another aspect associated with the present invention relates to how one or more of the above-noted aspects may be implemented into a semiconductor fabrication facility, such as the distribution of wafers to a wafer production system. A final aspect of the present invention relates to a network a plurality of plasma monitoring systems, including with remote capabilities (i.e., outside of the clean room).

  6. Plasma Technologies of Solid Fuels Processing

    International Nuclear Information System (INIS)

    Karpenko, E.I.; Messerle, V.E.; Ustimenko, A.

    2003-01-01

    Use of fuel processing plasma technologies improves ecological and economical indexes of low-grade coal utilization at thermal power plants. This paper presents experimental plasma plant 70 k W of power and 11 kg per hour of coal productivity. On the base of material and heat balances integral indexes of the process of plasma gasification of Podmoskovny brown coal 48% of ash content were found. Synthesis gas with concentration 85.2% was got. Hydrogen concentration in the synthesis gas was higher than carbon monoxide one. Ratio H 2 :CO in synthesis gas was 1.4-1.5. It was shown that steam consumption and temperature of the process increase causes H 2 concentration and coal gasification degree increase. Fulfilled experiments and comparison of their result with theoretical investigations allowed creating pilot experimental plant for plasma processing of low-grade coals. The power of the pilot plant is 1000 k W and coal productivity is 300 kg/h. (author)

  7. An analysis of reactivity prediction during the reactor start-up process

    International Nuclear Information System (INIS)

    Bajgl, Josef; Krysl, Vaclav; Svarny, Jiri

    2015-01-01

    The different VVER-440 core fuel loadings subcriticality evaluations are performed during the start-up process by boron dilution or control assembly withdrawn by macrocode MOBY-DICK calculations. The dynamic reactivity and quasicritical reactivity are compared and sensitivity of reactivity prediction at the low boundary of start-up interval (ρ = -0,01) has been provided on the basis of different modelling of ionization chamber (IC) response calculation. Special attention is paid to the impact of power distribution and spontaneous fission distribution form factor on IC response correction during control assembly movement. Precision and robustness of different corrections of IC signal processing in real core start-up processed IC signals was evaluated.

  8. A ReaxFF-based molecular dynamics study of the mechanisms of interactions between reactive oxygen plasma species and the Candida albicans cell wall

    Science.gov (United States)

    Zhao, T.; Shi, L.; Zhang, Y. T.; Zou, L.; Zhang, L.

    2017-10-01

    Atmospheric pressure non-equilibrium plasmas have attracted significant attention and have been widely used to inactivate pathogens, yet the mechanisms underlying the interactions between plasma-generated species and bio-organisms have not been elucidated clearly. In this paper, reactive molecular dynamics simulations are employed to investigate the mechanisms of interactions between reactive oxygen plasma species (O, OH, and O2) and β-1,6-glucan (a model for the C. albicans cell wall) from a microscopic point of view. Our simulations show that O and OH species can break structurally important C-C and C-O bonds, while O2 molecules exhibit only weak, non-bonded interactions with β-1,6-glucan. Hydrogen abstraction from hydroxyl or CH groups occurs first in all bond cleavage mechanisms. This is followed by a cascade of bond cleavage and double bond formation events. These lead to the destruction of the fungal cell wall. O and OH have similar effects related to their bond cleavage mechanisms. Our simulation results provide fundamental insights into the mechanisms underlying the interactions between reactive oxygen plasma species and the fungal cell wall of C. albicans at the atomic level.

  9. Mask-free surface structuring of micro- and nanocrystalline diamond films by reactive ion plasma etching

    Czech Academy of Sciences Publication Activity Database

    Domonkos, Mária; Ižák, Tibor; Babchenko, Oleg; Varga, Marián; Hruška, Karel; Kromka, Alexander

    2014-01-01

    Roč. 6, č. 7 (2014), s. 780-784 ISSN 2164-6627 R&D Projects: GA ČR GAP108/12/0910; GA ČR GAP108/12/0996; GA MPO FR-TI2/736 Institutional support: RVO:68378271 Keywords : micro- and nanocrystalline diamond * capacitively coupled plasma * reactive ion etching * nanostructuring * scanning electron microscopy Subject RIV: BM - Solid Matter Physics ; Magnetism

  10. Synthesis and properties of nanostructured dense LaB6 cathodes by arc plasma and reactive spark plasma sintering

    International Nuclear Information System (INIS)

    Zhou Shenlin; Zhang Jiuxing; Liu Danmin; Lin Zulun; Huang Qingzhen; Bao Lihong; Ma Ruguang; Wei Yongfeng

    2010-01-01

    Nanostructured polycrystalline LaB 6 ceramics were prepared by the reactive spark plasma sintering method, using boron nanopowders and LaH 2 powders with a particle size of about 30 nm synthesized by hydrogen dc arc plasma. The reaction mechanism of sintering, crystal structure, microstructure, grain orientations and properties of the materials were investigated using differential scanning calorimetry, X-ray diffraction, Neutron powder diffraction, Raman spectroscopy, transmission electron microscopy and electron backscattered diffraction. It is shown that nanostructured dense LaB 6 with a fibrous texture can be fabricated by SPS at a pressure of 80 MPa and temperature of 1300 deg. C for 5 min. Compared with the coarse polycrystalline LaB 6 prepared by traditional methods, the nanostructured LaB 6 bulk possesses both higher mechanical and higher thermionic emission properties. The Vickers hardness was 22.3 GPa, the flexural strength was 271.2 MPa and the maximum emission current density was 56.81 A cm -2 at a cathode temperature of 1600 deg. C.

  11. Atomic and molecular processes in fusion plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Janev, R.K. [International Atomic Energy Agency, Vienna (Austria)

    1997-01-01

    The role of atomic and molecular processes in achieving and maintaining the conditions for thermonuclear burn in a magnetically confined fusion plasma is described. Emphasis is given to the energy balance and power and particle exhaust issues. The most important atomic and molecular processes which affect the radiation losses and impurity transport in the core plasma, the neutral particle transport in the plasma edge and the radiative cooling of divertor plasmas are discussed in greater detail. (author)

  12. Vacuum ultra-violet damage and damage mitigation for plasma processing of highly porous organosilicate glass dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Marneffe, J.-F. de, E-mail: marneffe@imec.be; Lukaszewicz, M.; Porter, S. B.; Vajda, F.; Rutigliani, V.; Verdonck, P.; Baklanov, M. R. [IMEC v.z.w., 3001 Leuven (Belgium); Zhang, L.; Heyne, M.; El Otell, Z.; Krishtab, M. [IMEC v.z.w., 3001 Leuven (Belgium); Department of Chemistry, KULeuven, 3001 Leuven (Belgium); Goodyear, A.; Cooke, M. [Oxford Instruments Plasma Technology, BS49 4AP Bristol (United Kingdom)

    2015-10-07

    Porous organosilicate glass thin films, with k-value 2.0, were exposed to 147 nm vacuum ultra-violet (VUV) photons emitted in a Xenon capacitive coupled plasma discharge. Strong methyl bond depletion was observed, concomitant with a significant increase of the bulk dielectric constant. This indicates that, besides reactive radical diffusion, photons emitted during plasma processing do impede dielectric properties and therefore need to be tackled appropriately during patterning and integration. The detrimental effect of VUV irradiation can be partly suppressed by stuffing the low-k porous matrix with proper sacrificial polymers showing high VUV absorption together with good thermal and VUV stability. In addition, the choice of an appropriate hard-mask, showing high VUV absorption, can minimize VUV damage. Particular processing conditions allow to minimize the fluence of photons to the substrate and lead to negligible VUV damage. For patterned structures, in order to reduce VUV damage in the bulk and on feature sidewalls, the combination of both pore stuffing/material densification and absorbing hard-mask is recommended, and/or the use of low VUV-emitting plasma discharge.

  13. Process intensification for biodiesel production from Jatropha curcas L. seeds: Supercritical reactive extraction process parameters study

    International Nuclear Information System (INIS)

    Lim, Steven; Lee, Keat Teong

    2013-01-01

    Highlights: ► Investigation of supercritical reactive extraction process for biodiesel production. ► Focus is given on optimizing methyl esters yield for Jatropha curcas L. seeds. ► Influence of process parameters to the reaction are discussed thoroughly. ► Comparison between the novel reaction with conventional process are studied. ► High methyl esters yield can be obtained without pre-extraction and catalyst. -- Abstract: In a bid to increase the cost competitiveness of biodiesel production against mineral diesel, process intensification has been studied for numerous biodiesel processing technologies. Subsequently, reactive extraction or in situ transesterification is actively being explored in which the solid oil-bearing seeds are used as the reactant directly with short-chain alcohol. This eliminates separate oil extraction process and combines both extraction and transesterification in a single unit. Supercritical reactive extraction takes one step further by substituting the role of catalyst with supercritical conditions to achieve higher yield and shorter processing time. In this work, supercritical reactive extraction with methanol was carried out in a high-pressure batch reactor to produce fatty acid methyl esters (FAMEs) from Jatropha curcas L. seeds. Material and process parameters including space loading, solvent to seed ratio, co-solvent (n-hexane) to seed ratio, reaction temperature, reaction time and mixing intensity were varied one at a time and optimized based on two responses i.e. extraction efficiency, M extract and FAME yield, F y . The optimum responses for supercritical reactive extraction obtained were 104.17% w/w and 99.67% w/w (relative to 100% lipid extraction with n-hexane) for M extract and F y respectively under the following conditions: 54.0 ml/g space loading, 5.0 ml/g methanol to seeds ratio, 300 °C, 9.5 MPa (Mega Pascal), 30 min reaction time and without n-hexane as co-solvent or any agitation source. This proved that

  14. Fundamental Processes in Plasmas. Final report

    International Nuclear Information System (INIS)

    O'Neil, Thomas M.; Driscoll, C. Fred

    2009-01-01

    This research focuses on fundamental processes in plasmas, and emphasizes problems for which precise experimental tests of theory can be obtained. Experiments are performed on non-neutral plasmas, utilizing three electron traps and one ion trap with a broad range of operating regimes and diagnostics. Theory is focused on fundamental plasma and fluid processes underlying collisional transport and fluid turbulence, using both analytic techniques and medium-scale numerical simulations. The simplicity of these systems allows a depth of understanding and a precision of comparison between theory and experiment which is rarely possible for neutral plasmas in complex geometry. The recent work has focused on three areas in basic plasma physics. First, experiments and theory have probed fundamental characteristics of plasma waves: from the low-amplitude thermal regime, to inviscid damping and fluid echoes, to cold fluid waves in cryogenic ion plasmas. Second, the wide-ranging effects of dissipative separatrices have been studied experimentally and theoretically, finding novel wave damping and coupling effects and important plasma transport effects. Finally, correlated systems have been investigated experimentally and theoretically: UCSD experients have now measured the Salpeter correlation enhancement, and theory work has characterized the 'guiding center atoms of antihydrogen created at CERN

  15. Comparison of Reactive and Non-Reactive Spark Plasma Sintering Routes for the Fabrication of Monolithic and Composite Ultra High Temperature Ceramics (UHTC Materials

    Directory of Open Access Journals (Sweden)

    Roberto Orrù

    2013-04-01

    Full Text Available A wider utilization of ultra high temperature ceramics (UHTC materials strongly depends on the availability of efficient techniques for their fabrication as dense bodies. Based on recent results reported in the literature, it is possible to state that Spark Plasma Sintering (SPS technology offers a useful contribution in this direction. Along these lines, the use of two different SPS-based processing routes for the preparation of massive UHTCs is examined in this work. One method, the so-called reactive SPS (R-SPS, consists of the synthesis and densification of the material in a single step. Alternatively, the ceramic powders are first synthesized by Self-propagating High-temperature Synthesis (SHS and then sintered by SPS. The obtained results evidenced that R-SPS method is preferable for the preparation of dense monolithic products, while the sintering of SHS powders requires relatively milder conditions when considering binary composites. The different kinetic mechanisms involved during R-SPS of the monolithic and composite systems, i.e., combustion-like or gradual solid-diffusion, respectively, provides a possible explanation. An important role is also played by the SHS process, particularly for the preparation of composite powders, since stronger interfaces are established between the ceramic constituents formed in situ, thus favoring diffusion processes during the subsequent SPS step.

  16. Plasma processing of superconducting radio frequency cavities

    Science.gov (United States)

    Upadhyay, Janardan

    The development of plasma processing technology of superconducting radio frequency (SRF) cavities not only provides a chemical free and less expensive processing method, but also opens up the possibility for controlled modification of the inner surfaces of the cavity for better superconducting properties. The research was focused on the transition of plasma etching from two dimensional flat surfaces to inner surfaces of three dimensional (3D) structures. The results could be applicable to a variety of inner surfaces of 3D structures other than SRF cavities. Understanding the Ar/Cl2 plasma etching mechanism is crucial for achieving the desired modification of Nb SRF cavities. In the process of developing plasma etching technology, an apparatus was built and a method was developed to plasma etch a single cell Pill Box cavity. The plasma characterization was done with the help of optical emission spectroscopy. The Nb etch rate at various points of this cavity was measured before processing the SRF cavity. Cylindrical ring-type samples of Nb placed on the inner surface of the outer wall were used to measure the dependence of the process parameters on plasma etching. The measured etch rate dependence on the pressure, rf power, dc bias, temperature, Cl2 concentration and diameter of the inner electrode was determined. The etch rate mechanism was studied by varying the temperature of the outer wall, the dc bias on the inner electrode and gas conditions. In a coaxial plasma reactor, uniform plasma etching along the cylindrical structure is a challenging task due to depletion of the active radicals along the gas flow direction. The dependence of etch rate uniformity along the cylindrical axis was determined as a function of process parameters. The formation of dc self-biases due to surface area asymmetry in this type of plasma and its variation on the pressure, rf power and gas composition was measured. Enhancing the surface area of the inner electrode to reduce the

  17. Longitudinal Relationship between Plasma Reactive Oxygen Metabolites and Periodontal Condition in the Maintenance Phase of Periodontal Treatment

    Directory of Open Access Journals (Sweden)

    Tatsuya Machida

    2014-01-01

    Full Text Available Aim. The present cohort study describes the longitudinal relationship between plasma oxidative status and periodontitis progression during the maintenance phase of treatment. Materials and Methods. Forty-five patients (mean age 58.8 years were monitored from 2008 to 2013. Periodontal conditions, including probing pocket depth (PPD and clinical attachment level (CAL, were recorded. Measurements of plasma reactive oxygen metabolites (ROM and biologic antioxidant potential (BAP were performed to evaluate plasma oxidative status. The patients were assigned into 2 groups as low and high plasma ROM level using a cut-off value which was median of plasma ROM level at baseline. Results. In the subjects with low plasma ROM level at baseline, changes in mean CAL were positively correlated with changes in plasma ROM levels, bleeding on probing, and plaque control record, but not with PPD. In the subjects with high plasma ROM at baseline, changes in CAL were significantly associated with only PPD at baseline. On the other hands there were no significant associations between changes in CAL and those in plasma BAP levels. Conclusions. When plasma ROM level in periodontitis patients was low, increases in plasma ROM level were associated with those in CAL during the maintenance phase of treatment.

  18. Changes in attentional processing and affective reactivity in pregnancy and postpartum

    Directory of Open Access Journals (Sweden)

    Gollan JK

    2014-11-01

    Full Text Available Jackie K Gollan, Laina Rosebrock, Denada Hoxha, Katherine L Wisner Asher Center for the Study and Treatment of Depressive Disorders, Department of Psychiatry and Behavioral Sciences, Northwestern University Feinberg School of Medicine, Chicago, IL, USA Abstract: The aim of this review is to provide an overview of the research in attentional processing and affective reactivity in pregnancy and postpartum to inform future research. Numerous changes occur in attentional processing and affective reactivity across the childbearing period. This review focuses on the definition and methods of measuring attentional processing and affective reactivity. We discuss research studies that have examined the changes in these two processes during the perinatal phases of pregnancy and postpartum, with and without depression and anxiety. We evaluate the importance of using multiple levels of measurement, including physiological and neuroimaging techniques, to study these processes via implicit and explicit tasks. Research that has identified regions of brain activation using functional magnetic resonance imaging as well as other physiological assessments is integrated into the discussion. The importance of using sophisticated methodological techniques in future studies, such as multiple mediation models, for the purpose of elucidating mechanisms of change during these processes in pregnancy and postpartum is emphasized. We conclude with a discussion of the effect of these processes on maternal psychological functioning and infant outcomes. These processes support a strategy for individualizing treatment for pregnant and postpartum women suffering from depression and anxiety. Keywords: attentional processing, emotion, affective reactivity, depression, pregnancy, postpartum

  19. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  20. Production and correlation of reactive oxygen and nitrogen species in gas- and liquid-phase generated by helium plasma jets under different pulse widths

    Science.gov (United States)

    Liu, Zhijie; Zhou, Chunxi; Liu, Dingxin; Xu, Dehui; Xia, Wenjie; Cui, Qingjie; Wang, Bingchuan; Kong, Michael G.

    2018-01-01

    In this paper, we present the effects of the pulse width (PW) on the plasma jet's discharge characteristics, particularly focusing on the production and correlation of the reactive oxygen and nitrogen species (RONS) in gas- and liquid-phase. It is found that the length of plasma jet plume first increases before the PW of 10 μs, then gradually decreases and finally almost remains unchanged beyond 150 μs. The plasma bullet disappears after the falling edge of the voltage pulse at low PW, while it terminates far ahead of the falling edge of voltage pulse at high PW. This is mainly attributed to accumulation of space charges that lead to weakening of the reduced electric field with an increase of PW from low to high. More important, it is found that the excited reactive species, the positive and negative ions from plasma jet, and the concentrations of NO2- and NO3- in deionized water exposed to plasma jet also display the first increasing and then decreasing change trend with increase of PW, while the concentration of H2O2 in water almost displays the linearly increasing trend. This mainly results from the formation of the H3O+ and HO2-, as well as their ion water clusters that can produce more OH radicals to be converted into H2O2, while the NO2- and NO3- in gas phase can transport into water and exist most stably in water. The water cluster formation at gas-liquid interface is an important key process that can affect the chemical nature and dose of aqueous RONS in water; this is beneficial for understanding how the RONS are formed in liquid-phase.

  1. Conclusive evidence of abrupt coagulation inside the void during cyclic nanoparticle formation in reactive plasma

    International Nuclear Information System (INIS)

    Wetering, F. M. J. H. van de; Nijdam, S.; Beckers, J.

    2016-01-01

    In this letter, we present scanning electron microscopy (SEM) results that confirm in a direct way our earlier explanation of an abrupt coagulation event as the cause for the void hiccup. In a recent paper, we reported on the fast and interrupted expansion of voids in a reactive dusty argon–acetylene plasma. The voids appeared one after the other, each showing a peculiar, though reproducible, behavior of successive periods of fast expansion, abrupt contraction, and continued expansion. The abrupt contraction was termed “hiccup” and was related to collective coagulation of a new generation of nanoparticles growing in the void using relatively indirect methods: electron density measurements and optical emission spectroscopy. In this letter, we present conclusive evidence using SEM of particles collected at different moments in time spanning several growth cycles, which enables us to follow the nanoparticle formation process in great detail.

  2. Deposition of titanium nitride layers by electric arc – Reactive plasma spraying method

    International Nuclear Information System (INIS)

    Şerban, Viorel-Aurel; Roşu, Radu Alexandru; Bucur, Alexandra Ioana; Pascu, Doru Romulus

    2013-01-01

    Highlights: ► Titanium nitride layers deposited by electric arc – reactive plasma spraying method. ► Deposition of titanium nitride layers on C45 steel at different spraying distances. ► Characterization of the coatings hardness as function of the spraying distances. ► Determination of the corrosion behavior of titanium nitride layers obtained. - Abstract: Titanium nitride (TiN) is a ceramic material which possesses high mechanical properties, being often used in order to cover cutting tools, thus increasing their lifetime, and also for covering components which are working in corrosive environments. The paper presents the experimental results on deposition of titanium nitride coatings by a new combined method (reactive plasma spraying and electric arc thermal spraying). In this way the advantages of each method in part are combined, obtaining improved quality coatings in the same time achieving high productivity. Commercially pure titanium wire and C45 steel as substrate were used for experiments. X-ray diffraction analysis shows that the deposited coatings are composed of titanium nitride (TiN, Ti 2 N) and small amounts of Ti 3 O. The microstructure of the deposited layers, investigated both by optical and scanning electron microscopy, shows that the coatings are dense, compact, without cracks and with low porosity. Vickers microhardness of the coatings presents maximum values of 912 HV0.1. The corrosion tests in 3%NaCl solution show that the deposited layers have a high corrosion resistance compared to unalloyed steel substrate.

  3. Anxiety-induced plasma norepinephrine augmentation increases reactive oxygen species formation by monocytes in essential hypertension.

    Science.gov (United States)

    Yasunari, Kenichi; Matsui, Tokuzo; Maeda, Kensaku; Nakamura, Munehiro; Watanabe, Takanori; Kiriike, Nobuo

    2006-06-01

    An association between anxiety and depression and increased blood pressure (BP) and cardiovascular disease risk has not been firmly established. We examined the hypothesis that anxiety and depression lead to increased plasma catecholamines and to production of reactive oxygen species (ROS) by mononuclear cells (MNC) in hypertensive individuals. We also studied the role of BP in this effect. In Protocol 1, a cross-sectional study was performed in 146 hypertensive patients to evaluate whether anxiety and depression affect BP and ROS formation by MNC through increasing plasma catecholamines. In Protocol 2, a 6-month randomized controlled trial using a subtherapeutic dose of the alpha(1)-adrenergic receptor antagonist doxazosin (1 mg/day) versus placebo in 86 patients with essential hypertension was performed to determine whether the increase in ROS formation by MNC was independent of BP. In Protocol 1, a significant relationship was observed between the following: trait anxiety and plasma norepinephrine (r = 0.32, P anxiety may increase plasma norepinephrine and increase ROS formation by MNC independent of BP in hypertensive patients.

  4. Effect of processing temperature on the bitumen/MDI-PEG reactivity

    Energy Technology Data Exchange (ETDEWEB)

    Martin-Alfonso, M.J.; Partal, P.; Navarro, F.J.; Garcia-Morales, M. [Departamento de Ingenieria Quimica, Facultad de Ciencias Experimentales, Campus de ' El Carmen' , Universidad de Huelva, 21071, Huelva (Spain); Bordado, J.C.M. [Chemical and Biological Engineering Department, IBB, Instituto Superior Tecnico, Av. Rovisco Pais 1049-001 Lisbon (Portugal); Diogo, A.C. [Materials Engineering Department, Instituto Superior Tecnico, Av. Rovisco Pais 1049-001 Lisbon (Portugal)

    2009-04-15

    Reactive polymers are lately gaining acceptance to give added value to a residue of the crude oil refining process such as bitumen. The resulting material should display enhanced mechanical properties to be considered for advanced applications in construction. In the present paper, we report the effect of processing temperature on the reaction between bitumen compounds and an isocyanate-based reactive polymer, synthesized by reaction of polymeric MDI (4,4'-diphenylmethane diisocyanate) with a low molecular weight polyethylene-glycol (PEG). Rheokinetics experiments, viscosity measurements at 60 C, atomic force microscopy (AFM) characterization, thin layer chromatography (TLC-FID) analysis and thermogravimetric studies (TGA) were performed on the reactive polymer and on samples of MDI-PEG modified bitumen containing 2 wt.% of the polymer. Results showed the existence of an optimum processing temperature arisen as a consequence of opposite effects: microstructural availability for the formation of a polymer-bitumen network, reaction ability and polymer thermal degradation. Consequently, this study aims to serve as a guideline for the refining and asphalt industries facing the stage of selecting the optimum processing parameters. (author)

  5. Automated Plasma Spray (APS) process feasibility study: Plasma spray process development and evaluation

    Science.gov (United States)

    Fetheroff, C. W.; Derkacs, T.; Matay, I. M.

    1979-01-01

    An automated plasma spray (APS) process was developed to apply two layer (NiCrAlY and ZrO2-12Y2O3) thermal-barrier coatings to aircraft gas turbine engine blade airfoils. The APS process hardware consists of four subsystems: a mechanical blade positioner incorporating two interlaced six-degree-of-freedom assemblies; a noncoherent optical metrology subsystem; a microprocessor-based adaptive system controller; and commercial plasma spray equipment. Over fifty JT9D first stage turbine blades specimens were coated with the APS process in preliminary checkout and evaluation studies. The best of the preliminary specimens achieved an overall coating thickness uniformity of + or - 53 micrometers, much better than is achievable manually. Factors limiting this performance were identified and process modifications were initiated accordingly. Comparative evaluations of coating thickness uniformity for manually sprayed and APS coated specimens were initiated. One of the preliminary evaluation specimens was subjected to a torch test and metallographic evaluation.

  6. Radiant-and-plasma technology for coal processing

    Directory of Open Access Journals (Sweden)

    Vladimir Messerle

    2012-12-01

    Full Text Available Radiant-and-plasma technology for coal processing is presented in the article. Thermodynamic computation and experiments on plasma processing of bituminous coal preliminary electron-beam activated were fulfilled in comparison with plasma processing of the coal. Positive influence of the preliminary electron-beam activation of coal on synthesis gas yield was found. Experiments were carried out in the plasma gasifier of 100 kW power. As a result of the measurements of material and heat balance of the process gave the following integral indicators: weight-average temperature of 2200-2300 K, and carbon gasification degree of 82,4-83,2%. Synthesis gas yield at thermochemical preparation of raw coal dust for burning was 24,5% and in the case of electron-beam activation of coal synthesis gas yield reached 36,4%, which is 48% higher.

  7. Plasma Processing of Model Residential Solid Waste

    Science.gov (United States)

    Messerle, V. E.; Mossé, A. L.; Nikonchuk, A. N.; Ustimenko, A. B.; Baimuldin, R. V.

    2017-09-01

    The authors have tested the technology of processing of model residential solid waste. They have developed and created a pilot plasma unit based on a plasma chamber incinerator. The waste processing technology has been tested and prepared for commercialization.

  8. 16. Hot dense plasma atomic processes

    International Nuclear Information System (INIS)

    Werner, Dappen; Totsuji, H.; Nishii, Y.

    2002-01-01

    This document gathers 13 articles whose common feature is to deal with atomic processes in hot plasmas. Density functional molecular dynamics method is applied to the hydrogen plasma in the domain of liquid metallic hydrogen. The effects of the density gradient are taken into account in both the electronic kinetic energy and the exchange energy and it is shown that they almost cancel with each other, extending the applicability of the Thomas-Fermi-Dirac approximation to the cases where the density gradient is not negligible. Another article reports about space and time resolved M-shell X-ray measurements of a laser-produced gas jet xenon plasma. Plasma parameters have been measured by ion acoustic and electron plasma waves Thomson scattering. Photo-ionization becomes a dominant atomic process when the density and the temperature of plasmas are relatively low and when the plasma is submitted to intense external radiation. It is shown that 2 plasmas which have a very different density but have the same ionization parameters, are found in a similar ionization state. Most radiation hydrodynamics codes use radiative opacity data from available libraries of atomic data. Several articles are focused on the determination of one group Rosseland and Planck mean analytical formulas for several single elements used in inertial fusion targets. In another paper the plasma density effect on population densities, effective ionization, recombination rate coefficients and on emission lines from carbon and Al ions in hot dense plasma, is studied. The last article is devoted to a new atomic model in plasmas that considers the occupation probability of the bound state and free state density in the presence of the plasma micro-field. (A.C.)

  9. Simulation studies of plasma waves in the electron foreshock: The transition from reactive to kinetic instability

    International Nuclear Information System (INIS)

    Dum, C.T.

    1990-01-01

    The electron beam-plasma instability is analyzed in particle simulation experiments, starting with a beam of small velocity spread. The dispersion relation is solved for snapshots of the actual evolving electron distribution function, rather than for the usual models consisting of Maxwellians. As the beam broadens, the analysis shows a transition from reactive beam modes, with frequencies extending much below the plasma frequency ω e , to kinetic instability of Langmuir waves, ω∼ω e , which is in agreement with the frequencies and growth rates observed in the simulation. Beam evolution is also in agreement with quasi-linear theory, except at the end of the reactive phase when trapping of beam electrons is seen. Although the spectrum temporarily narrows at this stage, there are, in contrast to previous simulations, still many modes present. the system then can proceed to a kinetic phase in which quasi-linear theory is again applicable. This stage is identical with the evolution starting from a gentle broad beam, except that wave levels are several times higher. With higher wave levels, mode coupling effects are also more prominent, but are still unable to prevent plateau formation. In contrast to the Langmuir wave regime, the reactive broadband wave regime lasts only for a relatively short period. In the electron foreshock it could only persist if a narrow beam or a sharp cutoff feature were maintained by continued beam injection and the time-of-flight mechanism

  10. Report on the scientific activity for the CRP on data for molecular processes in edge plasmas

    International Nuclear Information System (INIS)

    Schneider, I.F.; Crumeyrolle, O.; Suzor-Weiner, A.; Florescu, A.I.; Motapon, O.; Nana Ngassam, V.; Waffeu Tamo, F.O.; Fifirig, M.; Stroe, M.C.

    2006-01-01

    Reactive collisions between electrons and molecular ions of hydrogen and oxides, relevant for fusion plasma kinetics have been investigated. The main results (advances in modelling and computed rates) achieved are: Reactive collisions between electrons and H 2 + ions at low energy (0.0001-1 eV): dissociative recombination, superelastic collisions, inelastic collisions and elastic collisions; Computation and comparison with TSR storage ring experimental data; Rigorous theory for intermediate energy; Critical update of molecular data (states and interactions) for H 2 and isotopes; computation and comparison with flowing afterglow Langmuir probe plasma experiments

  11. C reactive protein and chronic obstructive pulmonary disease

    DEFF Research Database (Denmark)

    Dahl, Morten; Vestbo, Jørgen; Zacho, Jeppe

    2011-01-01

    It is unclear whether elevated plasma C reactive protein (CRP) is causally related to chronic obstructive pulmonary disease (COPD). The authors tested the hypothesis that genetically elevated plasma CRP causes COPD using a Mendelian randomisation design.......It is unclear whether elevated plasma C reactive protein (CRP) is causally related to chronic obstructive pulmonary disease (COPD). The authors tested the hypothesis that genetically elevated plasma CRP causes COPD using a Mendelian randomisation design....

  12. Electrostatic double layers and a plasma evacuation process

    International Nuclear Information System (INIS)

    Raadu, M.A.; Carlqvist, P.

    1979-12-01

    An evacuation process due to the growth of current driven instabilities in a plasma is discussed. The process, which leads to localized extreme density reductions, is related to the formation of electrostatic double layers. The initial linear phase is treated using the superposition of unstable plasma waves. In the long wave length, non-dispersive limit a density dip, which is initially present as a small disturbance, grows rapidly and remains localized in the plasma. The process works for a variety of plasma conditions provided a certain current density is exceeded. For a particular choice of plasma parameters the non-linear development is followed, by solving the coupled Vlasov-Poisson equations by finite difference methods. The evacuation process is found to work even more effectively in the non-linear phase and leads to an extreme density reduction within the dip. It is suggested that the growth of such structures produces weak points within the plasma that can lead to the formation of double layers. (Auth.)

  13. Synthesis and processing of composites by reactive metal penetration

    Energy Technology Data Exchange (ETDEWEB)

    Loehman, R.E.; Ewsuk, K.G. [Sandia National Laboratories, Albuquerque, NM (United States); Tomsia, A.P. [Pask Research and Engineering, Berkeley, CA (United States)] [and others

    1995-05-01

    Ceramic-metal composites are being developed because their high stiffness-to weight ratios, good fracture toughness, and variable electrical and thermal properties give them advantages over more conventional materials. However, because ceramic-metal composite components presently are more expensive than monolithic materials, improvements in processing are required to reduce manufacturing costs. Reactive metal penetration is a promising new method for making ceramic- and metal-matrix composites that has the advantage of being inherently a net-shape process. This technique, once fully developed, will provide another capability for manufacturing the advanced ceramic composites that are needed for many light-weight structural and wear applications. The lower densities of these composites lead directly to energy savings in use. Near-net-shape fabrication of composite parts should lead to additional savings because costly and energy intensive grinding and machining operations are significantly reduced, and the waste generated from such finishing operations is minimized. The goals of this research program are: (1) to identify feasible compositional systems for making composites by reactive metal penetration; (2) to understand the mechanism(s) of composite formation by reactive metal penetration; and (3) to learn how to control and optimize reactive metal penetration for economical production of composites and composite coatings.

  14. Transport processes in space plasmas

    International Nuclear Information System (INIS)

    Birn, J.; Elphic, R.C.; Feldman, W.C.

    1997-01-01

    This is the final report of a three-year, Laboratory Directed Research and Development (LDRD) project at the Los Alamos National Laboratory (LANL). The project represents a comprehensive research effort to study plasma and field transport processes relevant for solar-terrestrial interaction, involving the solar wind and imbedded magnetic field and plasma structures, the bow shock of the Earth's magnetosphere and associated waves, the Earth's magnetopause with imbedded flux rope structures and their connection with the Earth, plasma flow in the Earth's magnetotail, and ionospheric beam/wave interactions. The focus of the work was on the interaction between plasma and magnetic and electric fields in the regions where different plasma populations exist adjacent to or superposed on each other. These are the regions of particularly dynamic plasma behavior, important for plasma and energy transport and rapid energy releases. The research addressed questions about how this interaction takes place, what waves, instabilities, and particle/field interactions are involved, how the penetration of plasma and energy through characteristic boundaries takes place, and how the characteristic properties of the plasmas and fields of the different populations influence each other on different spatial and temporal scales. These topics were investigated through combining efforts in the analysis of plasma and field data obtained through space missions with theory and computer simulations of the plasma behavior

  15. Non-equilibrium plasma reactor for natrual gas processing

    International Nuclear Information System (INIS)

    Shair, F.H.; Ravimohan, A.L.

    1974-01-01

    A non-equilibrium plasma reactor for natural gas processing into ethane and ethylene comprising means of producing a non-equilibrium chemical plasma wherein selective conversion of the methane in natural gas to desired products of ethane and ethylene at a pre-determined ethane/ethylene ratio in the chemical process may be intimately controlled and optimized at a high electrical power efficiency rate by mixing with a recycling gas inert to the chemical process such as argon, helium, or hydrogen, reducing the residence time of the methane in the chemical plasma, selecting the gas pressure in the chemical plasma from a wide range of pressures, and utilizing pulsed electrical discharge producing the chemical plasma. (author)

  16. Submillimeter Spectroscopic Study of Semiconductor Processing Plasmas

    Science.gov (United States)

    Helal, Yaser H.

    Plasmas used for manufacturing processes of semiconductor devices are complex and challenging to characterize. The development and improvement of plasma processes and models rely on feedback from experimental measurements. Current diagnostic methods are not capable of measuring absolute densities of plasma species with high resolution without altering the plasma, or without input from other measurements. At pressures below 100 mTorr, spectroscopic measurements of rotational transitions in the submillimeter/terahertz (SMM) spectral region are narrow enough in relation to the sparsity of spectral lines that absolute specificity of measurement is possible. The frequency resolution of SMM sources is such that spectral absorption features can be fully resolved. Processing plasmas are a similar pressure and temperature to the environment used to study astrophysical species in the SMM spectral region. Many of the molecular neutrals, radicals, and ions present in processing plasmas have been studied in the laboratory and their absorption spectra have been cataloged or are in the literature for the purpose of astrophysical study. Recent developments in SMM devices have made its technology commercially available for applications outside of specialized laboratories. The methods developed over several decades in the SMM spectral region for these laboratory studies are directly applicable for diagnostic measurements in the semiconductor manufacturing industry. In this work, a continuous wave, intensity calibrated SMM absorption spectrometer was developed as a remote sensor of gas and plasma species. A major advantage of intensity calibrated rotational absorption spectroscopy is its ability to determine absolute concentrations and temperatures of plasma species from first principles without altering the plasma environment. An important part of this work was the design of the optical components which couple 500 - 750 GHz radiation through a commercial inductively coupled plasma

  17. Fast screening of analytes for chemical reactions by reactive low-temperature plasma ionization mass spectrometry.

    Science.gov (United States)

    Zhang, Wei; Huang, Guangming

    2015-11-15

    Approaches for analyte screening have been used to aid in the fine-tuning of chemical reactions. Herein, we present a simple and straightforward analyte screening method for chemical reactions via reactive low-temperature plasma ionization mass spectrometry (reactive LTP-MS). Solution-phase reagents deposited on sample substrates were desorbed into the vapor phase by action of the LTP and by thermal desorption. Treated with LTP, both reagents reacted through a vapor phase ion/molecule reaction to generate the product. Finally, protonated reagents and products were identified by LTP-MS. Reaction products from imine formation reaction, Eschweiler-Clarke methylation and the Eberlin reaction were detected via reactive LTP-MS. Products from the imine formation reaction with reagents substituted with different functional groups (26 out of 28 trials) were successfully screened in a time of 30 s each. Besides, two short-lived reactive intermediates of Eschweiler-Clarke methylation were also detected. LTP in this study serves both as an ambient ionization source for analyte identification (including reagents, intermediates and products) and as a means to produce reagent ions to assist gas-phase ion/molecule reactions. The present reactive LTP-MS method enables fast screening for several analytes from several chemical reactions, which possesses good reagent compatibility and the potential to perform high-throughput analyte screening. In addition, with the detection of various reactive intermediates (intermediates I and II of Eschweiler-Clarke methylation), the present method would also contribute to revealing and elucidating reaction mechanisms. Copyright © 2015 John Wiley & Sons, Ltd.

  18. Fundamental atomic plasma chemistry for semiconductor manufacturing process analysis

    International Nuclear Information System (INIS)

    Ventzek, P.L.G.; Zhang, D.; Stout, P.J.; Rauf, S.; Orlowski, M.; Kudrya, V.; Astapenko, V.; Eletskii, A.

    2002-01-01

    An absence of fundamental atomic plasma chemistry data (e.g. electron impact cross-sections) hinders the application of plasma process models in semiconductor manufacturing. Of particular importance is excited state plasma chemistry data for metallization applications. This paper describes important plasma chemistry processes in the context of high density plasmas for metallization application and methods for the calculation of data for the study of these processes. Also discussed is the development of model data sets that address computational tractability issues. Examples of model electron impact cross-sections for Ni reduced from multiple collision processes are presented

  19. Some new radiation processes in plasmas

    International Nuclear Information System (INIS)

    Wu, C.S.

    1981-01-01

    Some new plasma radiation processes are reviewed, viz., (1) emission near the electron plasma frequency, (2) direct amplification of radiation near the electron cycloton frequency, and (3) parametic amplification of radiation by stimulated scattering. (L.C.) [pt

  20. Surface studies of plasma processed Nb samples

    International Nuclear Information System (INIS)

    Tyagi, Puneet V.; Doleans, Marc; Hannah, Brian S.; Afanador, Ralph; Stewart, Stephen; Mammosser, John; Howell, Matthew P; Saunders, Jeffrey W; Degraff, Brian D; Kim, Sang-Ho

    2015-01-01

    Contaminants present at top surface of superconducting radio frequency (SRF) cavities can act as field emitters and restrict the cavity accelerating gradient. A room temperature in-situ plasma processing technology for SRF cavities aiming to clean hydrocarbons from inner surface of cavities has been recently developed at the Spallation Neutron Source (SNS). Surface studies of the plasma-processed Nb samples by Secondary ion mass spectrometry (SIMS) and Scanning Kelvin Probe (SKP) showed that the NeO_2 plasma processing is very effective to remove carbonaceous contaminants from top surface and improves the surface work function by 0.5 to 1.0 eV.

  1. Mixed Wastes Vitrification by Transferred Plasma

    International Nuclear Information System (INIS)

    Tapia-Fabela, J.; Pacheco-Pacheco, M.; Pacheco-Sotelo, J.; Torres-Reyes, C.; Valdivia-Barrientos, R.; Benitez-Read, J.; Lopez-Callejas, R.; Ramos-Flores, F.; Boshle, S.; Zissis, G.

    2007-01-01

    Thermal plasma technology provides a stable and long term treatment of mixed wastes through vitrification processes. In this work, a transferred plasma system was realized to vitrify mixed wastes, taking advantage of its high power density, enthalpy and chemical reactivity as well as its rapid quenching and high operation temperatures. To characterize the plasma discharge, a temperature diagnostic is realized by means of optical emission spectroscopy (OES). To typify the morphological structure of the wastes samples, scanning electron microscopy (SEM), and X-ray diffraction (XRD) techniques were applied before and after the plasma treatment

  2. Decomposition mechanism of trichloroethylene based on by-product distribution in the hybrid barrier discharge plasma process

    Energy Technology Data Exchange (ETDEWEB)

    Han, Sang-Bo [Industry Applications Research Laboratory, Korea Electrotechnology Research Institute, Changwon, Kyeongnam (Korea, Republic of); Oda, Tetsuji [Department of Electrical Engineering, The University of Tokyo, Tokyo 113-8656 (Japan)

    2007-05-15

    The hybrid barrier discharge plasma process combined with ozone decomposition catalysts was studied experimentally for decomposing dilute trichloroethylene (TCE). Based on the fundamental experiment for catalytic activities on ozone decomposition, MnO{sub 2} was selected for application in the main experiments for its higher catalytic abilities than other metal oxides. A lower initial TCE concentration existed in the working gas; the larger ozone concentration was generated from the barrier discharge plasma treatment. Near complete decomposition of dichloro-acetylchloride (DCAC) into Cl{sub 2} and CO{sub x} was observed for an initial TCE concentration of less than 250 ppm. C=C {pi} bond cleavage in TCE gave a carbon single bond of DCAC through oxidation reaction during the barrier discharge plasma treatment. Those DCAC were easily broken in the subsequent catalytic reaction. While changing oxygen concentration in working gas, oxygen radicals in the plasma space strongly reacted with precursors of DCAC compared with those of trichloro-acetaldehyde. A chlorine radical chain reaction is considered as a plausible decomposition mechanism in the barrier discharge plasma treatment. The potential energy of oxygen radicals at the surface of the catalyst is considered as an important factor in causing reactive chemical reactions.

  3. Monitoring non-thermal plasma processes for nanoparticle synthesis

    Science.gov (United States)

    Mangolini, Lorenzo

    2017-09-01

    Process characterization tools have played a crucial role in the investigation of dusty plasmas. The presence of dust in certain non-thermal plasma processes was first detected by laser light scattering measurements. Techniques like laser induced particle explosive evaporation and ion mass spectrometry have provided the experimental evidence necessary for the development of the theory of particle nucleation in silane-containing non-thermal plasmas. This review provides first a summary of these early efforts, and then discusses recent investigations using in situ characterization techniques to understand the interaction between nanoparticles and plasmas. The advancement of such monitoring techniques is necessary to fully develop the potential of non-thermal plasmas as unique materials synthesis and processing platforms. At the same time, the strong coupling between materials and plasma properties suggest that it is also necessary to advance techniques for the measurement of plasma properties while in presence of dust. Recent progress in this area will be discussed.

  4. Advanced oxide powders processing based on cascade plasma

    International Nuclear Information System (INIS)

    Solonenko, O P; Smirnov, A V

    2014-01-01

    Analysis of the potential advantages offered to thermal spraying and powder processing by the implementation of plasma torches with inter-electrode insert (IEI) or, in other words, cascade plasma torches (CPTs) is presented. The paper provides evidence that the modular designed single cathode CPT helps eliminate the following major disadvantages of conventional plasma torches: plasma parameters drifting, 1-5 kHz pulsing of plasma flow, as well as excessive erosion of electrodes. More stable plasma results in higher quality, homogeneity and reproducibility of plasma sprayed coatings and powders treated. In addition, CPT offers an extremely wide operating window, which allows better control of plasma parameters, particle dwell time and, consequently, particle temperature and velocity within a wide range by generating high enthalpy quasi-laminar plasmas, medium enthalpy transient plasmas, as well as relatively low enthalpy turbulent plasmas. Stable operation, flexibility with plasma gases as well as wide operating window of CPT should help significantly improve the existing plasma spraying processes and coatings, and also help develop new advanced technologies

  5. Process Improvement of Reactive Dye Synthesis Using Six Sigma Concept

    Science.gov (United States)

    Suwanich, Thanapat; Chutima, Parames

    2017-06-01

    This research focuses on the problem occurred in the reactive dye synthesis process of a global manufacturer in Thailand which producing various chemicals for reactive dye products to supply global industries such as chemicals, textiles and garments. The product named “Reactive Blue Base” is selected in this study because it has highest demand and the current chemical yield shows a high variation, i.e. yield variation of 90.4% - 99.1% (S.D. = 2.405 and Cpk = -0.08) and average yield is 94.5% (lower than the 95% standard set by the company). The Six Sigma concept is applied aiming at increasing yield and reducing variation of this process. This approach is suitable since it provides a systematic guideline with five improvement phases (DMAIC) to effectively tackle the problem and find the appropriate parameter settings of the process. Under the new parameter settings, the process yield variation is reduced to range between 96.5% - 98.5% (S.D. = 0.525 and Cpk = 1.83) and the average yield is increased to 97.5% (higher than the 95% standard set by the company).

  6. Application of molten salts in pyrochemical processing of reactive metals

    International Nuclear Information System (INIS)

    Mishra, B.; Olson, D.L.; Averill, W.A.

    1992-01-01

    Various mixes of chloride and fluoride salts are used as the media for conducting pyrochemical processes in the production and purification of reactive metals. These processes generate a significant amount of contaminated waste that has to be treated for recycling or disposal. Molten calcium chloride based salt systems have been used in this work to electrolytically regenerate calcium metal from calcium oxide for the in situ reduction of reactive metal oxides. The recovery of calcium is characterized by the process efficiency to overcome back reactions in the electrowinning cell. A thermodynamic analysis, based on fundamental rate theory, has been performed to understand the process parameters controlling the metal deposition, rate, behavior of the ceramic anode-sheath and influence of the back-reactions. It has been observed that the deposition of calcium is dependent on the ionic diffusion through the sheath. It has also been evidenced that the recovered calcium is completely lost through the back-reactions in the absence of a sheath. A practical scenario has also been presented where the electrowon metal can be used in situ as a reductant to reduce another reactive metal oxide

  7. Si etching with reactive neutral beams of very low energy

    Energy Technology Data Exchange (ETDEWEB)

    Hara, Yasuhiro [Organization for Research and Development of Innovative Science and Technology, Kansai University, 3-3-35 Yamate-chou, Suita, Osaka 565-0871 (Japan); Hamagaki, Manabu; Mise, Takaya [RIKEN, 2-1, Hirosawa, Wako, Saitama 351-0198 (Japan); Iwata, Naotaka; Hara, Tamio [Toyota Technological Institute, 2-12-1 Hisakata, Tenpaku-ku, Nagoya 468-8511 (Japan)

    2014-12-14

    A Si etching process has been investigated with reactive neutral beams (NBs) extracted using a low acceleration voltage of less than 100 V from CF{sub 4} and Ar mixed plasmas. The etched Si profile shows that the etching process is predominantly anisotropic. The reactive NB has a constant Si etching rate in the acceleration voltage range from 20 V to 80 V. It is considered that low-energy NBs can trigger Si etching because F radicals adsorb onto the Si surface and weaken Si–Si bonds. The etching rate per unit beam flux is 33 times higher than that with Ar NB. These results show that the low-energy reactive NB is useful for damage-free high speed Si etching.

  8. PLASMA EMISSION BY NONLINEAR ELECTROMAGNETIC PROCESSES

    Energy Technology Data Exchange (ETDEWEB)

    Ziebell, L. F.; Petruzzellis, L. T.; Gaelzer, R. [Instituto de Física, UFRGS, Porto Alegre, RS (Brazil); Yoon, P. H. [Institute for Physical Science and Technology, University of Maryland, College Park (United States); Pavan, J., E-mail: luiz.ziebell@ufrgs.br, E-mail: laripetruzzellis@yahoo.com.br, E-mail: rudi.gaelzer@ufrgs.br, E-mail: yoonp@umd.edu, E-mail: joel.pavan@ufpel.edu.br [Instituto de Física e Matemática, UFPel, Pelotas, RS (Brazil)

    2015-06-20

    The plasma emission, or electromagnetic (EM) radiation at the plasma frequency and/or its harmonic(s), is generally accepted as the radiation mechanism responsible for solar type II and III radio bursts. Identification and characterization of these solar radio burst phenomena were done in the 1950s. Despite many decades of theoretical research since then, a rigorous demonstration of the plasma emission process based upon first principles was not available until recently, when, in a recent Letter, Ziebell et al. reported the first complete numerical solution of EM weak turbulence equations; thus, quantitatively analyzing the plasma emission process starting from the initial electron beam and the associated beam-plasma (or Langmuir wave) instability, as well as the subsequent nonlinear conversion of electrostatic Langmuir turbulence into EM radiation. In the present paper, the same problem is revisited in order to elucidate the detailed physical mechanisms that could not be reported in the brief Letter format. Findings from the present paper may be useful for interpreting observations and full-particle numerical simulations.

  9. Towards Plasma-Based Water Purification: Challenges and Prospects for the Future

    Science.gov (United States)

    Foster, John

    2016-10-01

    Freshwater scarcity derived from climate change, pollution, and over-development has led to serious consideration for water reuse. Advanced water treatment technologies will be required to process wastewater slated for reuse. One new and emerging technology that could potentially address the removal micropollutants in both drinking water as well as wastewater slated for reuse is plasma-based water purification. Plasma in contact with liquid water generates reactive species that attack and ultimately mineralize organic contaminants in solution. This interaction takes place in a boundary layer centered at the plasma-liquid interface. An understanding of the physical processes taking place at this interface, though poorly understood, is key to the optimization of plasma water purifiers. High electric field conditions, large density gradients, plasma-driven chemistries, and fluid dynamic effects prevail in this multiphase region. The region is also the source function for longer-lived reactive species that ultimately treat the water. Here, we review the need for advanced water treatment methods and in the process, make the case for plasma-based methods. Additionally, we survey the basic methods of interacting plasma with liquid water (including a discussion of breakdown processes in water), the current state of understanding of the physical processes taking place at the plasma-liquid interface, and the role that these processes play in water purification. The development of diagnostics usable in this multiphase environment along modeling efforts aimed at elucidating physical processes taking place at the interface are also detailed. Key experiments that demonstrate the capability of plasma-based water treatment are also reviewed. The technical challenges to the implementation of plasma-based water reactors are also discussed. NSF CBET 1336375 and DOE DE-SC0001939.

  10. Thermal Plasma decomposition of fluoriated greenhouse gases

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Soo Seok; Watanabe, Takayuki [Tokyo Institute of Technology, Yokohama (Japan); Park, Dong Wha [Inha University, Incheon (Korea, Republic of)

    2012-02-15

    Fluorinated compounds mainly used in the semiconductor industry are potent greenhouse gases. Recently, thermal plasma gas scrubbers have been gradually replacing conventional burn-wet type gas scrubbers which are based on the combustion of fossil fuels because high conversion efficiency and control of byproduct generation are achievable in chemically reactive high temperature thermal plasma. Chemical equilibrium composition at high temperature and numerical analysis on a complex thermal flow in the thermal plasma decomposition system are used to predict the process of thermal decomposition of fluorinated gas. In order to increase economic feasibility of the thermal plasma decomposition process, increase of thermal efficiency of the plasma torch and enhancement of gas mixing between the thermal plasma jet and waste gas are discussed. In addition, noble thermal plasma systems to be applied in the thermal plasma gas treatment are introduced in the present paper.

  11. Characterization of Ni ferrites powders prepared by plasma arc discharge process

    Energy Technology Data Exchange (ETDEWEB)

    Safari, A. [Department of Materials Science and Engineering, Faculty of Engineering, Shahid Chamran University, Ahvaz (Iran, Islamic Republic of); Gheisari, Kh., E-mail: khgheisari@scu.ac.ir [Department of Materials Science and Engineering, Faculty of Engineering, Shahid Chamran University, Ahvaz (Iran, Islamic Republic of); Farbod, M. [Physics Department, Shahid Chamran University, Ahvaz (Iran, Islamic Republic of)

    2017-01-01

    The aim of this work was to synthesize a single-phase spinel structure from a mixture of zinc, iron and nickel powders by plasma arc discharge method. A mixture of zinc, iron and nickel powders with the appropriate molar ratio was prepared and formed into a cylindrical shape. The synthesis process was performed in air, oxygen and argon atmospheres with the applied arc current of 400 A and pressure of 1 atm. After establishing an arc between the electrodes, the produced powders were collected and their structure and magnetic properties were examined by XRD and VSM, respectively. ZnO as an impurity was appeared in the as-produced powders owing to the high reactivity of zinc atoms, preventing the formation of Ni–Zn ferrite. A pure spinel structure with the highest saturation magnetization (43.8 emu/g) was observed as zinc powders removed completely from the initial mixture. Morphological evaluations using field emission scanning electron microscopy showed that the mean size of fabricated nanoparticles was in the range 100–200 nm and was dependent on the production conditions. - Highlights: • Nanocrystalline Ni ferrite powders are prepared by plasma arc discharge process. • The mean particle size of the as-synthesized ceramic powders is about 100 nm. • The highest saturation magnetization is observed as zinc powders removed completely from the initial mixture.

  12. Measurement and modeling of plasma parameters in reactive high-power impulse magnetron sputtering of Ti in Ar/O.sub.2./sub. mixtures

    Czech Academy of Sciences Publication Activity Database

    Čada, Martin; Lundin, D.; Hubička, Zdeněk

    2017-01-01

    Roč. 121, č. 17 (2017), s. 1-7, č. článku 171913. ISSN 0021-8979 R&D Projects: GA ČR(CZ) GA15-00863S EU Projects: European Commission(XE) 608800 - HIPPOCAMP Institutional support: RVO:68378271 Keywords : reactive sputtering * HiPIMS * Langmuir probe * R-IRM model * plasma density * electron temperature Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics) Impact factor: 2.068, year: 2016

  13. Plasmas in Multiphase Media: Bubble Enhanced Discharges in Liquids and Plasma/Liquid Phase Boundaries

    Energy Technology Data Exchange (ETDEWEB)

    Kushner, Mark Jay [University of Michigan

    2014-07-10

    In this research project, the interaction of atmospheric pressure plasmas with multi-phase media was computationally investigated. Multi-phase media includes liquids, particles, complex materials and porous surfaces. Although this investigation addressed fundamental plasma transport and chemical processes, the outcomes directly and beneficially affected applications including biotechnology, medicine and environmental remediation (e.g., water purification). During this project, we made advances in our understanding of the interaction of atmospheric pressure plasmas in the form of dielectric barrier discharges and plasma jets with organic materials and liquids. We also made advances in our ability to use computer modeling to represent these complex processes. We determined the method that atmospheric pressure plasmas flow along solid and liquid surfaces, and through endoscopic like tubes, deliver optical and high energy ion activation energy to organic and liquid surfaces, and produce reactivity in thin liquid layers, as might cover a wound. We determined the mechanisms whereby plasmas can deliver activation energy to the inside of liquids by sustaining plasmas in bubbles. These findings are important to the advancement of new technology areas such as plasma medicine

  14. Modeling the astrophysical dynamical process with laser-plasmas

    International Nuclear Information System (INIS)

    Xia Jiangfan; Zhang Jun; Zhang Jie

    2001-01-01

    The use of the state-of-the-art laser facility makes it possible to create conditions of the same or similar to those in the astrophysical processes. The introduction of the astrophysics-relevant ideas in laser-plasma experiments is propitious to the understanding of the astrophysical phenomena. However, the great difference between the laser-produced plasmas and the astrophysical processes makes it awkward to model the latter by laser-plasma experiments. The author addresses the physical backgrounds for modeling the astrophysical plasmas by laser plasmas, connecting these two kinds of plasmas by scaling laws. Thus, allowing the creation of experimental test beds where observations and models can be quantitatively compared with laser-plasma data. Special attentions are paid on the possibilities of using home-made laser facilities to model astrophysical phenomena

  15. Reactive radical-driven bacterial inactivation by hydrogen-peroxide-enhanced plasma-activated-water

    Science.gov (United States)

    Wu, Songjie; Zhang, Qian; Ma, Ruonan; Yu, Shuang; Wang, Kaile; Zhang, Jue; Fang, Jing

    2017-08-01

    The combined effects of plasma activated water (PAW) and hydrogen peroxide (H2O2), PAW/HP, in sterilization were investigated in this study. To assess the synergistic effects of PAW/HP, S. aureus was selected as the test microorganism to determine the inactivation efficacy. Also, the DNA/RNA and proteins released by the bacterial suspensions under different conditions were examined to confirm membrane integrity. Additionally, the intracellular pH (pHi) of S. aureus was measured in our study. Electron spin resonance spectroscopy (ESR) was employed to identify the presence of radicals. Finally, the oxidation reduction potential (ORP), conductivity and pH were measured. Our results revealed that the inactivation efficacy of PAW/HP is much greater than that of PAW, while increased H2O2 concentration result in higher inactivation potential. More importantly, as compared with PAW, the much stronger intensity ESR signals and higher ORP in PAW/HP suggests that the inactivation mechanism of the synergistic effects of PAW/HP: more reactive oxygen species (ROS) and reactive nitrogen species (RNS), especially OH and NO radicals, are generated in PAW combined with H2O2 resulting in more deaths of the bacteria.

  16. ADIPONECTIN AND C-REACTIVE PROTEIN RELATIONSHIP IN PLASMA AND ADIPOSE TISSUE (STUDY AMONG HEALTHY OBESE EGYPTIAN FEMALES)

    International Nuclear Information System (INIS)

    SOLIMAN, S.E.T.

    2008-01-01

    The adipokine, adiponectin inhibits vascular inflammation and acts as an endogenous modulator of obesity - linked diseases. High - sensitive C-reactive protein (hs-CRP) is recently debated as a risk factor and mediator for atherosclerosis. The present study investigated the association between adiponectin and hs-CRP in plasma and adipose tissue, and their relation to body composition and insulin sensitivity in a cohort of normal (30 subjects), obese (30 subjects) and morbidly - obese females (10 subjects). Messenger RNA (mRNA) expression of CRP and adiponectin in human adipose tissue were measured using real-time polymerase chain reaction. Plasma adiponectin and insulin were measured using radioimmunoassay methods, while, plasma hs-CRP was measured using ultrasensitive latex method.Results showed that adiponectin was negatively correlated with weight, BMI and insulin sensitivity index, and positively correlated with HDLc. The plasma hs-CRP levels were negatively correlated with plasma adiponectin. The plasma adiponectin levels being significantly lower and plasma hs-CRP being significantly higher in obese than normal females. Real- Time PCR analysis revealed the expression of CRP m-RNA in human adipose tissue and this was inversely correlated to adiponectin m RNA. These results suggest that elevation of CRP and reduction of adiponectin could emerge as mediators of atherogenesis and insulin resistance

  17. Investigations of nitrogen oxide plasmas: Fundamental chemistry and surface reactivity and monitoring student perceptions in a general chemistry recitation

    Science.gov (United States)

    Blechle, Joshua M.

    Part I of this dissertation focuses on investigations of nitrogen oxide plasma systems. With increasing concerns over the environmental presence of NxOy species, there is growing interest in utilizing plasma-assisted conversion techniques. Advances, however, have been limited because of the lack of knowledge regarding the fundamental chemistry of these plasma systems. Understanding the kinetics and thermodynamics of processes in these systems is vital to realizing their potential in a range of applications. Unraveling the complex chemical nature of these systems, however, presents numerous challenges. As such, this work serves as a foundational step in the diagnostics and assessment of these NxOy plasmas. The partitioning of energy within the plasma system is essential to unraveling these complications as it provides insight into both gas and surface reactivity. To obtain this information, techniques such as optical emission spectroscopy (OES), broadband absorption spectroscopy (BAS), and laser induced fluorescence (LIF) were utilized to determine species energetics (vibrational, rotational, translational temperatures). These temperature data provide mechanistic insight and establish the relationships between system parameters and energetic outcomes. Additionally, these data are also correlated to surface reactivity data collected with the Imaging of Radicals Interacting with Surfaces (IRIS) technique. IRIS data demonstrate the relationship between internal temperatures of radicals and their observed surface scatter coefficients (S), the latter of which is directly related to surface reactivity (R) [R = 1-S]. Furthermore, time-resolved (TR) spectroscopic techniques, specifically TR-OES, revealed kinetic trends in NO and N2 formation from a range of precursors (NO, N2O, N2/O2). By examining the rate constants associated with the generation and destruction of various plasma species we can investigate possible mechanistic implications. All told, such data provides

  18. Hydrogen production using plasma processing

    International Nuclear Information System (INIS)

    Wagner, D.; Whidden, T.K.

    2006-01-01

    Plasma processing is a promising method of extracting hydrogen from natural gas while avoiding the greenhouse gas (GHG) production typical of other methods such as steam methane reforming. This presentation describes a plasma discharge process based that, in a single reactor pass, can yield hydrogen concentrations of up to 50 % by volume in the product gas mixture. The process is free of GHG's, does not require catalysts and is easily scalable. Chemical and morphological analyses of the gaseous and solid products of the process by gas-chromatography/mass-spectrometry, microscopic Raman analyses and electron microscopy respectively are reviewed. The direct production of hydrogen-enriched natural gas (HENG) as a fuel for low pollution internal combustion engines and its purification to high-purity hydrogen (99.99%) from the product gas by pressure swing adsorption (PSA) purifier beds are reviewed. The presentation reviews potential commercial applications for the technology

  19. A Model-Based Methodology for Integrated Design and Operation of Reactive Distillation Processes

    DEFF Research Database (Denmark)

    Mansouri, Seyed Soheil; Sales-Cruz, Mauricio; Huusom, Jakob Kjøbsted

    2015-01-01

    and resolved. A new approach isto tackle process intensification and controllability issues in an integrated manner, in the early stages of process design. This integrated and simultaneous synthesis approach provides optimal operation and moreefficient control of complex intensified systems that suffice...... calculation of reactive bubble points. For an energy-efficient design, the driving-forc eapproach (to determine the optimal feed location) for a reactive system has been employed. For both thereactive McCabe-Thiele and driving force method, vapor-liquid equilibrium data are based on elements. Thereactive...... system of compounds (methanol, isobutene and MTBE) to a binary system ofelements (elements A and B). For a binary element system, a simple reactive McCabe-Thiele-type method (to determine the number of reactive stages) has been used. The reactive equilibrium curve is constructed through sequential...

  20. Plasma spraying process of disperse carbides for spraying and facing

    International Nuclear Information System (INIS)

    Blinkov, I.V.; Vishnevetskaya, I.A.; Kostyukovich, T.G.; Ostapovich, A.O.

    1989-01-01

    A possibility to metallize carbides in plasma of impulsing capacitor discharge is considered. Powders granulation occurs during plasma spraying process, ceramic core being completely capped. X-ray phase and chemical analyses of coatings did not show considerable changes of carbon content in carbides before and after plasma processing. This distinguishes the process of carbides metallization in impulsing plasma from the similar processing in arc and high-frequency plasma generator. Use of powder composites produced in the impulsing capacitor discharge, for plasma spraying and laser facing permits 2-3 times increasing wear resistance of the surface layer as against the coatings produced from mechanical powders mixtures

  1. Microwave Plasma Sources for Gas Processing

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2008-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the non-thermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguide-based surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguide-based nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzle-type MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented

  2. Oral Administration of the Japanese Traditional Medicine Keishibukuryogan-ka-yokuinin Decreases Reactive Oxygen Metabolites in Rat Plasma: Identification of Chemical Constituents Contributing to Antioxidant Activity

    Directory of Open Access Journals (Sweden)

    Yosuke Matsubara

    2017-02-01

    Full Text Available Insufficient detoxification and/or overproduction of reactive oxygen species (ROS induce cellular and tissue damage, and generated reactive oxygen metabolites become exacerbating factors of dermatitis. Keishibukuryogan-ka-yokuinin (KBGY is a traditional Japanese medicine prescribed to treat dermatitis such as acne vulgaris. Our aim was to verify the antioxidant properties of KBGY, and identify its active constituents by blood pharmacokinetic techniques. Chemical constituents were quantified in extracts of KBGY, crude components, and the plasma of rats treated with a single oral administration of KBGY. Twenty-three KBGY compounds were detected in plasma, including gallic acid, prunasin, paeoniflorin, and azelaic acid, which have been reported to be effective for inflammation. KBGY decreased level of the diacron-reactive oxygen metabolites (d-ROMs in plasma. ROS-scavenging and lipid hydroperoxide (LPO generation assays revealed that gallic acid, 3-O-methylgallic acid, (+-catechin, and lariciresinol possess strong antioxidant activities. Gallic acid was active at a similar concentration to the maximum plasma concentration, therefore, our findings indicate that gallic acid is an important active constituent contributing to the antioxidant effects of KBGY. KBGY and its active constituents may improve redox imbalances induced by oxidative stress as an optional treatment for skin diseases.

  3. Plasma Discharge Process in a Pulsed Diaphragm Discharge System

    Science.gov (United States)

    Duan, Jianjin; Hu, Jue; Zhang, Chao; Wen, Yuanbin; Meng, Yuedong; Zhang, Chengxu

    2014-12-01

    As one of the most important steps in wastewater treatment, limited study on plasma discharge process is a key challenge in the development of plasma applications. In this study, we focus on the plasma discharge process of a pulsed diaphragm discharge system. According to the analysis, the pulsed diaphragm discharge proceeds in seven stages: (1) Joule heating and heat exchange stage; (2) nucleated site formation; (3) plasma generation (initiation of the breakdown stage); (4) avalanche growth and plasma expansion; (5) plasma contraction; (6) termination of the plasma discharge; and (7) heat exchange stage. From this analysis, a critical voltage criterion for breakdown is obtained. We anticipate this finding will provide guidance for a better application of plasma discharges, especially diaphragm plasma discharges.

  4. Structural modification of the skin barrier by OH radicals: a reactive molecular dynamics study for plasma medicine

    International Nuclear Information System (INIS)

    Van der Paal, J; Verlackt, C C; Yusupov, M; Neyts, E C; Bogaerts, A

    2015-01-01

    While plasma treatment of skin diseases and wound healing has been proven highly effective, the underlying mechanisms, and more generally the effect of plasma radicals on skin tissue, are not yet completely understood. In this paper, we perform ReaxFF-based reactive molecular dynamics simulations to investigate the interaction of plasma generated OH radicals with a model system composed of free fatty acids, ceramides, and cholesterol molecules. This model system is an approximation of the upper layer of the skin (stratum corneum). All interaction mechanisms observed in our simulations are initiated by H-abstraction from one of the ceramides. This reaction, in turn, often starts a cascade of other reactions, which eventually lead to the formation of aldehydes, the dissociation of ceramides or the elimination of formaldehyde, and thus eventually to the degradation of the skin barrier function. (paper)

  5. Reactive Oxygen Species and Antioxidant in Seminal Plasma and Their Impact on Male Fertility

    Directory of Open Access Journals (Sweden)

    Mohammad Eid Hammadeh

    2009-01-01

    Full Text Available Spermatozoa generate reactive oxygen species (ROS in physiological amounts, which play arole in sperm functions during sperm capacitation, acrosome reaction (AR, and oocyte fusion. Inaddition, damaged sperm are likely to be the source of ROS. The most important ROS producedby human sperm are hydrogen peroxide, superoxide anion and hydroxyl radicals. Besides, humanseminal plasma and sperm possess an antioxidant system to scavenge ROS and prevent ROS relatedcellular damage. Under normal circumstances, there is an appropriate balance between oxidants andantioxidants. A shift in the levels of ROS towards pro-oxidants in semen can induce oxidative stress(OS on spermatozoa.Male infertility is associated with increased ROS and decreased total antioxidant activity in theseminal plasma. ROS induce nuclear DNA strand breaks. Besides, due to a high polyunsaturatedfatty acid content human sperm plasma membranes are highly sensitive to ROS induced lipidperoxidation thus decreasing membrane fluidity. This will result in increased lipid peroxidation(LPO, decreased sperm motility, viability, function and ultimately lead to infertility. The protectiveaction of antioxidants against the deleterious effect of ROS on cellular lipids, proteins and DNA hasbeen supported by several scientific studies.The purpose of the present review is to address the possible relationship between ROS andantioxidants production in seminal plasma, and the role they may play in influencing the outcomeof assisted reproductive technology (ART.

  6. Dissociative recombination in reactive flows related to planetary atmospheric entries

    Directory of Open Access Journals (Sweden)

    Bultel Arnaud

    2015-01-01

    Full Text Available The Dissociative Recombination (DR processes play a significant role in plasma chemistry. This article illustrates this role from the modeling point of view in the case of reactive flows related to atmospheric entry plasmas. Two situations are investigated, for which the studied plasma is nitrogen. The first configuration corresponds to the relaxation process behind a strong shock wave moving at high Mach number in a shock tube, the second one to the recombination taking place in an expanding plasma flowing in a diverging nozzle. In both cases, the collisional-radiative model CoRaM-N2, involving N2, N, N2+, N+ and electrons, is implemented in an Eulerian 1D code able to compute the aerodynamic fields; calculations are performed in standard conditions. We show that, according to the rate coefficients used for the DR processes, the population density of the charged species especially N2+ is strongly modified only for the post-shock flow.

  7. Automatic system for processing the plasma radiation spectra

    International Nuclear Information System (INIS)

    Isakaev, Eh.Kh.; Markin, A.V.; Khajmin, V.A.; Chinnov, V.F.

    2001-01-01

    One is tackling a problem to ensure computer for processing of experimental data when studying plasma obtained due to the present day systems to acquire information. One elaborated rather simple and reliable programs for processing. The system is used in case of plasma quantitative spectroscopy representing the classical and most widely used method to analyze the parameters and the properties of low-temperature and high-temperature plasma [ru

  8. Fusion reactivity, confinement, and stability of neutral-beam heated plasmas in TFTR and other tokamaks

    International Nuclear Information System (INIS)

    Park, Hyeon, K.

    1996-05-01

    The hypothesis that the heating beam fueling profile shape connects the edge condition and improved core confinement and fusion reactivity is extensively studied on TFTR and applied to other tokamaks. The derived absolute scalings based on beam fueling profile shape for the stored energy and neutron yield can be applied to the deuterium discharges at different major radii in TFTR. These include Supershot, High poloidal beta, L-mode, and discharges with a reversed shear (RS) magnetic configuration. These scalings are also applied to deuterium-tritium discharges. The role of plasma parameters, such as plasma current, Isdo2(p), edge safety factor, qsdo5(a), and toroidal field, Bsdo2(T), in the performance and stability of the discharges is explicitly studied. Based on practical and externally controllable plasma parameters, the limitation and optimization of fusion power production of the present TFTR is investigated and a path for a discharge condition with fusion power gain, Q > 1 is suggested based on this study. Similar physics interpretation is provided for beam heated discharges on other major tokamaks

  9. Combined effect of protein and oxygen on reactive oxygen and nitrogen species in the plasma treatment of tissue

    Science.gov (United States)

    Gaur, Nishtha; Szili, Endre J.; Oh, Jun-Seok; Hong, Sung-Ha; Michelmore, Andrew; Graves, David B.; Hatta, Akimitsu; Short, Robert D.

    2015-09-01

    The influence of protein and molecular, ground state oxygen (O2) on the plasma generation, and transport of reactive oxygen and nitrogen species (RONS) in tissue are investigated. A tissue target, comprising a 1 mm thick gelatin film (a surrogate for real tissue), is placed on top of a 96-well plate; each well is filled with phosphate buffered saline (PBS, pH 7.4) containing one fluorescent or colorimetric reporter that is specific for one of three RONS (i.e., H2O2, NO2-, or OH•) or a broad spectrum reactive oxygen species reporter (2,7-dichlorodihydrofluorescein). A helium cold atmospheric plasma (CAP) jet contacts the top of the gelatin surface, and the concentrations of RONS generated in PBS are measured on a microplate reader. The data show that H2O2, NO2-, or OH• are generated in PBS underneath the target. Independently, measurements are made of the O2 concentration in the PBS with and without the gelatin target. Adding bovine serum albumin protein to the PBS or gelatin shows that protein either raises or inhibits RONS depending upon the O2 concentration. Our results are discussed in the context of plasma-soft tissue interactions that are important in the development of CAP technology for medicine, biology, and food manufacturing.

  10. Decolorization of reactive textile dyes using water falling film dielectric barrier discharge

    International Nuclear Information System (INIS)

    Dojcinovic, Biljana P.; Roglic, Goran M.; Obradovic, Bratislav M.; Kuraica, Milorad M.; Kostic, Mirjana M.; Nesic, Jelena; Manojlovic, Dragan D.

    2011-01-01

    Highlights: → Decolorization of four reactive textile dyes using non-thermal plasma reactor. → Influence of applied energy on decolorization. → Effects of initial pH and addition of homogeneous catalysts. → Toxicity evaluation using the brine shrimp as a test organism. - Abstract: Decolorization of reactive textile dyes Reactive Black 5, Reactive Blue 52, Reactive Yellow 125 and Reactive Green 15 was studied using advanced oxidation processes (AOPs) in a non-thermal plasma reactor, based on coaxial water falling film dielectric barrier discharge (DBD). Used initial dye concentrations in the solution were 40.0 and 80.0 mg/L. The effects of different initial pH of dye solutions, and addition of homogeneous catalysts (H 2 O 2 , Fe 2+ and Cu 2+ ) on the decolorization during subsequent recirculation of dye solution through the DBD reactor, i.e. applied energy density (45-315 kJ/L) were studied. Influence of residence time was investigated over a period of 24 h. Change of pH values and effect of pH adjustments of dye solution after each recirculation on the decolorization was also tested. It was found that the initial pH of dye solutions and pH adjustments of dye solution after each recirculation did not influence the decolorization. The most effective decolorization of 97% was obtained with addition of 10 mM H 2 O 2 in a system of 80.0 mg/L Reactive Black 5 with applied energy density of 45 kJ/L, after residence time of 24 h from plasma treatment. Toxicity was evaluated using the brine shrimp Artemia salina as a test organism.

  11. Decolorization of reactive textile dyes using water falling film dielectric barrier discharge

    Energy Technology Data Exchange (ETDEWEB)

    Dojcinovic, Biljana P. [Institute of Chemistry, Technology and Metallurgy, Center of Chemistry, Studentski trg 12-16, 11000 Belgrade (Serbia); Roglic, Goran M. [Faculty of Chemistry, University of Belgrade, P.O. Box 158, 11000 Belgrade (Serbia); Obradovic, Bratislav M., E-mail: obrat@ff.bg.ac.rs [Faculty of Physics, University of Belgrade, P.O. Box 368, 11000 Belgrade (Serbia); Kuraica, Milorad M. [Faculty of Physics, University of Belgrade, P.O. Box 368, 11000 Belgrade (Serbia); Kostic, Mirjana M. [Faculty of Technology and Metallurgy, Department of Textile Engineering, Karnegijeva 4, 11000 Belgrade (Serbia); Nesic, Jelena; Manojlovic, Dragan D. [Faculty of Chemistry, University of Belgrade, P.O. Box 158, 11000 Belgrade (Serbia)

    2011-08-30

    Highlights: {yields} Decolorization of four reactive textile dyes using non-thermal plasma reactor. {yields} Influence of applied energy on decolorization. {yields} Effects of initial pH and addition of homogeneous catalysts. {yields} Toxicity evaluation using the brine shrimp as a test organism. - Abstract: Decolorization of reactive textile dyes Reactive Black 5, Reactive Blue 52, Reactive Yellow 125 and Reactive Green 15 was studied using advanced oxidation processes (AOPs) in a non-thermal plasma reactor, based on coaxial water falling film dielectric barrier discharge (DBD). Used initial dye concentrations in the solution were 40.0 and 80.0 mg/L. The effects of different initial pH of dye solutions, and addition of homogeneous catalysts (H{sub 2}O{sub 2}, Fe{sup 2+} and Cu{sup 2+}) on the decolorization during subsequent recirculation of dye solution through the DBD reactor, i.e. applied energy density (45-315 kJ/L) were studied. Influence of residence time was investigated over a period of 24 h. Change of pH values and effect of pH adjustments of dye solution after each recirculation on the decolorization was also tested. It was found that the initial pH of dye solutions and pH adjustments of dye solution after each recirculation did not influence the decolorization. The most effective decolorization of 97% was obtained with addition of 10 mM H{sub 2}O{sub 2} in a system of 80.0 mg/L Reactive Black 5 with applied energy density of 45 kJ/L, after residence time of 24 h from plasma treatment. Toxicity was evaluated using the brine shrimp Artemia salina as a test organism.

  12. Plasma hearth process demonstration project

    International Nuclear Information System (INIS)

    Geimer, R.M.; Gillins, R.L.

    1995-01-01

    The Plasma Hearth Process (PHP) demonstration project is one of the key technology projects in the US Department of Energy (DOE) Office of Technology Development Mixed Waste Focus Area. The PHP is a high temperature thermal treatment process using a plasma arc torch in a stationary, refractory lined chamber that destroys organics and stabilizes the residuals in a nonleaching, vitrified waste form, greatly improving the disposability of the waste. This paper describes the PHP system and summarizes test results to date, including volume reduction, destruction and removal efficiencies for organic wastes, and emission characteristics. Tests performed so far demonstrate that the PHP adresses DOE mixed waste final waste form requirements and US Environmental Protection Agency Toxicity Characteristic Leaching Procedure requirements

  13. Thermodynamic analysis of thermal plasma process of composite zirconium carbide and silicon carbide production from zircon concentrates

    International Nuclear Information System (INIS)

    Kostic, Z.G.; Stefanovic, P.Lj.; Pavlovic; Pavlovic, Z.N.; Zivkovic, N.V.

    2000-01-01

    Improved zirconium ceramics and composites have been invented in an effort to obtain better resistance to ablation at high temperature. These ceramics are suitable for use as thermal protection materials on the exterior surfaces of spacecraft, and in laboratory and industrial environments that include flows of hot oxidizing gases. Results of thermodynamic consideration of the process for composite zirconium carbide and silicon carbide ultrafine powder production from ZrSiO 4 in argon thermal plasma and propane-butane gas as reactive quenching reagents are presented in the paper. (author)

  14. Preparation and Microstructure of Porous ZrB2 Ceramics Using Reactive Spark Plasma Sintering Method

    Institute of Scientific and Technical Information of China (English)

    YUAN Huiping; LI Junguo; SHEN Qiang; ZHANG Lianmeng

    2015-01-01

    Zirconium oxide (ZrO2) and boron carbide (B4C) were added to ZrB2 raw powders to prepare ZrB2 porous ceramics by reactive spark plasma sintering (RSPS). The reactions between ZrO2 and B4C which produce ZrB2 and gas (such as CO and B2O3) result in pore formation. X-Ray Diffraction results indicated that the products phase was ZrB2 and the reaction was completed after the RSPS process. The porosity could be controlled by changing the ratio of synthesized ZrB2 to raw ZrB2 powders. The porosity of porous ceramics with 20 wt% and 40 wt% synthsized ZrB2 are 0.185 and 0.222, respectivly. And dense ZrB2-SiC ceramic with a porosity of 0.057 was prepared under the same conditions for comparison. The pores were homogeneously distributed within the microstructure of the porous ceramics. The results indicate a promising method for preparing porous ZrB2-based ceramics.

  15. Electroreflectance and the problem of studying plasma-surface interactions

    International Nuclear Information System (INIS)

    Preppernau, B.L.

    1995-01-01

    A long standing problem in low-temperature plasma discharge physics is to understand in detail the mutual interaction of real exposed surfaces (electrodes) with the reactive plasma environment. In particular, one wishes to discern the influence of these surfaces on the plasma parameters given their contributions from secondary electrons and ions. This paper briefly reviews the known surface interaction processes as well as currently available diagnostics to study the interface between plasmas and surfaces. Next comes a discussion describing the application of plasma-modulated electroreflectance to this research and some potential experimental techniques

  16. Coupled Modeling of Rhizosphere and Reactive Transport Processes

    Science.gov (United States)

    Roque-Malo, S.; Kumar, P.

    2017-12-01

    The rhizosphere, as a bio-diverse plant root-soil interface, hosts many hydrologic and biochemical processes, including nutrient cycling, hydraulic redistribution, and soil carbon dynamics among others. The biogeochemical function of root networks, including the facilitation of nutrient cycling through absorption and rhizodeposition, interaction with micro-organisms and fungi, contribution to biomass, etc., plays an important role in myriad Critical Zone processes. Despite this knowledge, the role of the rhizosphere on watershed-scale ecohydrologic functions in the Critical Zone has not been fully characterized, and specifically, the extensive capabilities of reactive transport models (RTMs) have not been applied to these hydrobiogeochemical dynamics. This study uniquely links rhizospheric processes with reactive transport modeling to couple soil biogeochemistry, biological processes, hydrologic flow, hydraulic redistribution, and vegetation dynamics. Key factors in the novel modeling approach are: (i) bi-directional effects of root-soil interaction, such as simultaneous root exudation and nutrient absorption; (ii) multi-state biomass fractions in soil (i.e. living, dormant, and dead biological and root materials); (iii) expression of three-dimensional fluxes to represent both vertical and lateral interconnected flows and processes; and (iv) the potential to include the influence of non-stationary external forcing and climatic factors. We anticipate that the resulting model will demonstrate the extensive effects of plant root dynamics on ecohydrologic functions at the watershed scale and will ultimately contribute to a better characterization of efflux from both agricultural and natural systems.

  17. Dry cleaning of fluorocarbon residues by low-power electron cyclotron resonance hydrogen plasma

    CERN Document Server

    Lim, S H; Yuh, H K; Yoon Eui Joon; Lee, S I

    1988-01-01

    A low-power ( 50 W) electron cyclotron resonance hydrogen plasma cleaning process was demonstrated for the removal of fluorocarbon residue layers formed by reactive ion etching of silicon dioxide. The absence of residue layers was confirmed by in-situ reflection high energy electron diffraction and cross-sectional high resolution transmission electron microscopy. The ECR hydrogen plasma cleaning was applied to contact cleaning of a contact string structure, resulting in comparable contact resistance arising during by a conventional contact cleaning procedure. Ion-assisted chemical reaction involving reactive atomic hydrogen species generated in the plasma is attributed for the removal of fluorocarbon residue layers.

  18. Gaseous material capacity of open plasma jet in plasma spray-physical vapor deposition process

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Plasma spray-physical vapor deposition (PS-PVD) process, emerging as a highly efficient hybrid approach, is based on two powerful technologies of both plasma spray and physical vapor deposition. The maximum production rate is affected by the material feed rate apparently, but it is determined by the material vapor capacity of transporting plasma actually and essentially. In order to realize high production rate, the gaseous material capacity of plasma jet must be fundamentally understood. In this study, the thermal characteristics of plasma were measured by optical emission spectrometry. The results show that the open plasma jet is in the local thermal equilibrium due to a typical electron number density from 2.1 × 1015 to 3.1 × 1015 cm-3. In this condition, the temperature of gaseous zirconia can be equal to the plasma temperature. A model was developed to obtain the vapor pressure of gaseous ZrO2 molecules as a two dimensional map of jet axis and radial position corresponding to different average plasma temperatures. The overall gaseous material capacity of open plasma jet, take zirconia for example, was further established. This approach on evaluating material capacity in plasma jet would shed light on the process optimization towards both depositing columnar coating and a high production rate of PS-PVD.

  19. Modeling and processing of laser Doppler reactive hyperaemia signals

    Science.gov (United States)

    Humeau, Anne; Saumet, Jean-Louis; L'Huiller, Jean-Pierre

    2003-07-01

    Laser Doppler flowmetry is a non-invasive method used in the medical domain to monitor the microvascular blood cell perfusion through tissue. Most commercial laser Doppler flowmeters use an algorithm calculating the first moment of the power spectral density to give the perfusion value. Many clinical applications measure the perfusion after a vascular provocation such as a vascular occlusion. The response obtained is then called reactive hyperaemia. Target pathologies include diabetes, hypertension and peripheral arterial occlusive diseases. In order to have a deeper knowledge on reactive hyperaemia acquired by the laser Doppler technique, the present work first proposes two models (one analytical and one numerical) of the observed phenomenon. Then, a study on the multiple scattering between photons and red blood cells occurring during reactive hyperaemia is carried out. Finally, a signal processing that improves the diagnosis of peripheral arterial occlusive diseases is presented.

  20. Dense high-temperature plasma transport processes

    International Nuclear Information System (INIS)

    Giniyatova, Sh.G.

    2002-01-01

    In this work the transport processes in dense high-temperature semiclassical plasma are studied on the base of the kinetic equation, where the semiclassical potential was used, in its collision integral. The coefficient of plasma electrical conductivity, viscosity and thermal conductivity were received. There were compared with the other authors' results. The Grad's method was used obtaining of viscosity and thermal coefficients. (author)

  1. Memory for color reactivates color processing region.

    Science.gov (United States)

    Slotnick, Scott D

    2009-11-25

    Memory is thought to be constructive in nature, where features processed in different cortical regions are synthesized during retrieval. In an effort to support this constructive memory framework, the present functional magnetic resonance imaging study assessed whether memory for color reactivated color processing regions. During encoding, participants were presented with colored and gray abstract shapes. During retrieval, old and new shapes were presented in gray and participants responded 'old-colored', 'old-gray', or 'new'. Within color perception regions, color memory related activity was observed in the left fusiform gyrus, adjacent to the collateral sulcus. A retinotopic mapping analysis indicated this activity occurred within color processing region V8. The present feature specific evidence provides compelling support for a constructive view of memory.

  2. Investigation of plasma dynamics during the growth of amorphous titanium dioxide thin films

    Science.gov (United States)

    Kim, Jin-Soo; Jee, Hyeok; Yu, Young-Hun; Seo, Hye-Won

    2018-06-01

    We have grown amorphous titanium dioxide thin films by reactive DC sputtering method using a different argon/oxygen partial pressure at a room temperature. The plasma dynamics of the process, reactive and sputtered gas particles was investigated via optical emission spectroscopy. We then studied the correlations between the plasma states and the structural/optical properties of the films. The growth rate and morphology of the titanium dioxide thin films turned out to be contingent with the population and the energy profile of Ar, O, and TiO plasma. In particular, the films grown under energetic TiO plasma have shown a direct band-to-band transition with an optical energy band gap up to ∼4.2 eV.

  3. A global plasma model for reactive deposition of compound films by modulated pulsed power magnetron sputtering discharges

    Science.gov (United States)

    Zheng, B. C.; Wu, Z. L.; Wu, B.; Li, Y. G.; Lei, M. K.

    2017-05-01

    A spatially averaged, time-dependent global plasma model has been developed to describe the reactive deposition of a TiAlSiN thin film by modulated pulsed power magnetron sputtering (MPPMS) discharges in Ar/N2 mixture gas, based on the particle balance and the energy balance in the ionization region, and considering the formation and erosion of the compound at the target surface. The modeling results show that, with increasing the N2 partial pressure from 0% to 40% at a constant working pressure of 0.3 Pa, the electron temperature during the strongly ionized period increases from 4 to 7 eV and the effective power transfer coefficient, which represents the power fraction that effectively heats the electrons and maintains the discharge, increases from about 4% to 7%; with increasing the working pressure from 0.1 to 0.7 Pa at a constant N2 partial pressure of 25%, the electron temperature decreases from 10 to 4 eV and the effective power transfer coefficient decreases from 8% to 5%. Using the modeled plasma parameters to evaluate the kinetic energy of arriving ions, the ion-to-neutral flux ratio of deposited species, and the substrate heating, the variations of process parameters that increase these values lead to an enhanced adatom mobility at the target surface and an increased input energy to the substrate, corresponding to the experimental observation of surface roughness reduction, the microstructure transition from the columnar structure to the dense featureless structure, and the enhancement of phase separation. At higher N2 partial pressure or lower working pressure, the modeling results demonstrate an increase in electron temperature, which shifts the discharge balance of Ti species from Ti+ to Ti2+ and results in a higher return fraction of Ti species, corresponding to the higher Al/Ti ratio of deposited films at these conditions. The modeling results are well correlated with the experimental observation of the composition variation and the microstructure

  4. Breakdown of the Frozen-in Condition and Plasma Acceleration: Dynamical Theory

    Science.gov (United States)

    Song, Y.; Lysak, R. L.

    2007-12-01

    The magnetic reconnection hypothesis emphasizes the importance of the breakdown of the frozen-in condition, explains the strong dependence of the geomagnetic activity on the IMF, and approximates an average qualitative description for many IMF controlled effects in magnetospheric physics. However, some important theoretical aspects of reconnection, including its definition, have not been carefully examined. The crucial components of such models, such as the largely-accepted X-line reconnection picture and the broadly-used explanations of the breakdown of the frozen-in condition, lack complete theoretical support. The important irreversible reactive interaction is intrinsically excluded and overlooked in most reconnection models. The generation of parallel electric fields must be the result of a reactive plasma interaction, which is associated with the temporal changes and spatial gradients of magnetic and velocity shears (Song and Lysak, 2006). Unlike previous descriptions of the magnetic reconnection process, which depend on dissipative-type coefficients or some passive terms in the generalized Ohm's law, the reactive interaction is a dynamical process, which favors localized high magnetic and/or mechanical stresses and a low plasma density. The reactive interaction is often closely associated with the radiation of shear Alfvén waves and is independent of any assumed dissipation coefficients. The generated parallel electric field makes an irreversible conversion between magnetic energy and the kinetic energy of the accelerated plasma and the bulk flow. We demonstrate how the reactive interaction, e.g., the nonlinear interaction of MHD mesoscale wave packets at current sheets and in the auroral acceleration region, can create and support parallel electric fields, causing the breakdown of the frozen-in condition and plasma acceleration.

  5. Multi-scale Dynamical Processes in Space and Astrophysical Plasmas

    CERN Document Server

    Vörös, Zoltán; IAFA 2011 - International Astrophysics Forum 2011 : Frontiers in Space Environment Research

    2012-01-01

    Magnetized plasmas in the universe exhibit complex dynamical behavior over a huge range of scales. The fundamental mechanisms of energy transport, redistribution and conversion occur at multiple scales. The driving mechanisms often include energy accumulation, free-energy-excited relaxation processes, dissipation and self-organization. The plasma processes associated with energy conversion, transport and self-organization, such as magnetic reconnection, instabilities, linear and nonlinear waves, wave-particle interactions, dynamo processes, turbulence, heating, diffusion and convection represent fundamental physical effects. They demonstrate similar dynamical behavior in near-Earth space, on the Sun, in the heliosphere and in astrophysical environments. 'Multi-scale Dynamical Processes in Space and Astrophysical Plasmas' presents the proceedings of the International Astrophysics Forum Alpbach 2011. The contributions discuss the latest advances in the exploration of dynamical behavior in space plasmas environm...

  6. Cluster processes in gases and plasmas

    CERN Document Server

    Smirnov, Boris M

    2009-01-01

    Boris M. Smirnov received his Ph.D. in physics from Leningrad State University in 1968. After working in different research positions, he finally accepted a post as head of one of the divisions of the Institute for High Temperatures at the Russian Academy of Sciences in Moscow in 1986. Professor Smirnov is the author and co-author of approximately 50 books as well as 400 research articles in plasma physics, atomic physics, and atomic clusters. He is Vice Chairman of the National Council for Low Temperature Plasma and Chairman ofa Section on Elementary Processes in Plasma. Professor Smirnov`s r

  7. Improvement of ZnO TCO film growth for photovoltaic devices by reactive plasma deposition (RPD)

    International Nuclear Information System (INIS)

    Iwata, K.; Sakemi, T.; Yamada, A.; Fons, P.; Awai, K.; Yamamoto, T.; Shirakata, S.; Matsubara, K.; Tampo, H.; Sakurai, K.; Ishizuka, S.; Niki, S.

    2005-01-01

    Reactive plasma deposition (RPD) is a technique for depositing a thin film on a substrate using a pressure-slope type plasma ion gun. This method offers the advantage of low-ion damage, low deposition temperature, large area deposition and high growth rates. Ga-doped zinc oxide (ZnO) thin film was grown on a moving glass substrate by RPD. Evaporation of very small quantity of tungsten from anode electrode by plasma collision lets the resistivity of grown ZnO transparent conductive oxide (TCO) film to increase. However, no reduction of carrier concentration was observed but only reduction of carrier mobility. It indicates that reduction of evaporation of tungsten from anode electrode induces increase of carrier mobility without any increase of carrier concentration. After installation of an anode cooling system in order to avoid the tungsten evaporation, increase of the mobility (37 cm 2 /Vsec) was observed and the lowest resistivity (2.0x10 -4 no. OMEGAno. cm) film was obtained from large size grown ZnO TCO of 200x200 mm at low growth temperature of 200 deg. C with high growth rate of 24 no. muno. m/h

  8. Variables and advantages of the polymerization process in plasma

    International Nuclear Information System (INIS)

    Rojas, Andres F; Ortiz, Jairo A; Restrepo, Elizabeth; Devia Alfonso

    1998-01-01

    They are given to know the parameters that affect the polymerization process in plasma like they are: the kinetics, the electric joining, the variables related to the substrata and the interaction plasma/surface. Some advantages of the polymerization process are also presented with regard to the conventional processes

  9. Plasma nitriding - an eco friendly surface hardening process

    International Nuclear Information System (INIS)

    Mukherjee, S.

    2015-01-01

    Surface hardening is a process of heating the metal such that the surface gets only hardened. This process is adopted for many components like gears, cams, and crankshafts, which desire high hardness on the outer surface with a softer core to withstand the shocks. So, to attain such properties processes like carburising, nitriding, flame hardening and induction hardening are employed. Amongst these processes nitriding is the most commonly used process by many industries. In nitriding process the steel material is heated to a temperature of around 550 C and then exposed to atomic nitrogen. This atomic nitrogen reacts with iron and other alloying elements and forms nitrides, which are very hard in nature. By this process both wear resistance and hardness of the product can be increased. The atomic nitrogen required for this process can be obtained using ammonia gas (gas nitriding), cyanide based salt bath (liquid nitriding) and plasma medium (plasma nitriding). However, plasma nitriding has recently received considerable industrial interest owing to its characteristic of faster nitrogen penetration, short treatment time, low process temperature, minimal distortion, low energy use and easier control of layer formation compared with conventional techniques such as gas and liquid nitriding. This process can be used for all ferrous materials including stainless steels. Plasma nitriding is carried out using a gas mixture of nitrogen and hydrogen gas at sub atmospheric pressures hence, making it eco-friendly in nature. Plasma nitriding allows modification of the surface layers and hardness profiles by changing the gas mixture and temperature. The wide applicable temperature range enables a multitude of applications, beyond the possibilities of gas or salt bath processes. This has led to numerous applications of this process in industries such as the manufacture of machine parts for plastics and food processing, packaging and tooling as well as pumps and hydraulic, machine

  10. Elements of calculation of reactivity by numerical processing

    International Nuclear Information System (INIS)

    Hedde, J.

    1968-01-01

    In order to explore the new opportunities provided by numerical techniques, the author describes the theoretical optimal conditions of a calculation in real time of reactivity from counting samples produced by a nuclear reactor. These optimal conditions can be the better approached if a more complex processing is adopted. A compromise is to be searched between the desired precision and simplicity of the numerical processing hardware. An example is reported to assess result accuracy on a wide power evolution range with a structure of reduced complexity [fr

  11. A Study on Decontamination Process Using Atmospheric Pressure Plasma

    International Nuclear Information System (INIS)

    Kim, Yong Soo; Jeon, Sang Hwan; Jin, Dong Sik; Park, Dong Min

    2010-05-01

    Radioactive decontamination process using atmospheric pressure plasma which can be operated parallel with low vacuum cold plasma processing is studied. Two types of cold plasma torches were designed and manufactured. One of them is the cylindrical type applicable to the treatment of three-dimensional surfaces. The other is the rectangular type for the treatment of flat and large surface areas. Ar palsam was unstable but using He as a carrier gas, discharge condition was improved. Besides filtering module using pre, medium, charcoal, and HEPA filter was designed and manufactured. More intensive study for developing filtering system will be followed. Atmospheric pressure plasma decontamination process can be used to the equipment and facility wall decontamination

  12. Tuning properties of long-period gratings by plasma post-processing of their diamond-like carbon nano-overlays

    International Nuclear Information System (INIS)

    Smietana, M; Koba, M; Mikulic, P; Bock, W J

    2014-01-01

    This work presents an application of reactive ion etching (RIE) for effective tuning of spectral response and the refractive index (RI) sensitivity of diamond-like carbon (DLC) nano-coated long-period gratings (LPGs). When oxygen plasma is applied the technique allows for an efficient and well controlled etching of hard and chemically resistant DLC films deposited on optical fibers. We show that optical properties of DLC, especially its refractive index, strongly depend on thickness of the film when it is thinner than 150 nm. The effect of DLC nano-coating deposition and etching on spectral properties of the LPGs is discussed. We have correlated the DLC properties with the shift of the LPG resonance wavelength and have found that both deposition and etching processes took place less effectively than on the electrode when the LPG sample was held above the electrode in the plasma reactor. An advantage of plasma-based etching is a capability for post-processing of the nano-coated structures with a good precision, as well as cleaning the samples and their re-coating according to requested needs. Moreover, the application of RIE allows for post-fabrication tuning of RI sensitivity of the DLC nano-coated LPGs. (paper)

  13. Tuning properties of long-period gratings by plasma post-processing of their diamond-like carbon nano-overlays

    Science.gov (United States)

    Smietana, M.; Koba, M.; Mikulic, P.; Bock, W. J.

    2014-11-01

    This work presents an application of reactive ion etching (RIE) for effective tuning of spectral response and the refractive index (RI) sensitivity of diamond-like carbon (DLC) nano-coated long-period gratings (LPGs). When oxygen plasma is applied the technique allows for an efficient and well controlled etching of hard and chemically resistant DLC films deposited on optical fibers. We show that optical properties of DLC, especially its refractive index, strongly depend on thickness of the film when it is thinner than 150 nm. The effect of DLC nano-coating deposition and etching on spectral properties of the LPGs is discussed. We have correlated the DLC properties with the shift of the LPG resonance wavelength and have found that both deposition and etching processes took place less effectively than on the electrode when the LPG sample was held above the electrode in the plasma reactor. An advantage of plasma-based etching is a capability for post-processing of the nano-coated structures with a good precision, as well as cleaning the samples and their re-coating according to requested needs. Moreover, the application of RIE allows for post-fabrication tuning of RI sensitivity of the DLC nano-coated LPGs.

  14. Effects of irradiation distance on supply of reactive oxygen species to the bottom of a Petri dish filled with liquid by an atmospheric O{sub 2}/He plasma jet

    Energy Technology Data Exchange (ETDEWEB)

    Kawasaki, Toshiyuki, E-mail: kawasaki@nbu.ac.jp; Kusumegi, Shota; Kudo, Akihiro; Sakanoshita, Tomohiro; Tsurumaru, Takuya; Sato, Akihiro [Department of Mechanical and Electrical Engineering, Nippon Bunri University, Oita, Oita 870-0397 (Japan); Uchida, Giichiro [Joining and Welding Research Institute, Osaka University, Ibaraki, Osaka 567-0047 (Japan); Koga, Kazunori; Shiratani, Masaharu [Graduate School of Information Science and Electrical Engineering, Kyushu University, Fukuoka, Fukuoka 819-0395 (Japan)

    2016-05-07

    The impact of irradiation distances on plasma jet-induced specific effects on the supply of reactive oxygen species (ROS) to the bottom of a Petri dish filled with liquid was investigated using a KI-starch gel reagent that can be employed as a ROS indicator even in water. O{sub 3} exposure experiments without plasma irradiation were also performed to elucidate the specific effects of the plasma jet. Relative concentrations of ROS transported to the bottom were evaluated using absorbance measurements. The results indicated that ROS supply to the bottom is markedly enhanced by the plasma jet irradiation at shorter irradiation distances, whereas similar results could not be obtained for the O{sub 3} exposure. In these cases, the liquid mixing in the depth direction was also enhanced by the plasma jet irradiation only, and the supply of reactive atomic oxygen to the liquid surface was markedly increased as well.

  15. Technology and plasma-materials interaction processes of tokamak disruptions

    International Nuclear Information System (INIS)

    McGrath, R.T.; Kellman, A.G.

    1992-01-01

    A workshop on the technology and plasma-materials interaction processes of tokamak disruptions was held April 3, 1992 in Monterey, California, as a satellite meeting of the 10th International Conference on Plasma-Surface Interactions. The objective was to bring together researchers working on disruption measurements in operating tokamaks, those performing disruption simulation experiments using pulsed plasma gun, electron beam and laser systems, and computational physicists attempting to model the evolution and plasma-materials interaction processes of tokamak disruptions. This is a brief report on the workshop. 4 refs

  16. Atomic processes in high temperature plasmas

    International Nuclear Information System (INIS)

    Hahn, Y.

    1991-07-01

    This is the final report on the project Atomic Processes in High Temperature Plasmas', which has been completed in June 30, 1991. The original contract started in 1978. The dielectronic recombination (DR) rate coefficients were calculated for ions with the number of electrons N = 1, 2, 3, 4, 5, 10, 11, and 12. The result was then used to construct a new and improved rate formula. Other important resonant processes, which are closely related to DR, were also studied to interpret experiments and to test the DR theory. The plasma field and the density effects on the rate coefficients was found to be important, and a consistent correction procedure is being developed. The available data on the DR rates and their accuracy do not yet fully meet the requirement for plasma modeling; there are serious gaps in the available data, and the currently adopted theoretical procedure needs improvements. Critical assessment of the current status of the DR problem is presented, and possible future work needed is summarized

  17. Two-Step Plasma Process for Cleaning Indium Bonding Bumps

    Science.gov (United States)

    Greer, Harold F.; Vasquez, Richard P.; Jones, Todd J.; Hoenk, Michael E.; Dickie, Matthew R.; Nikzad, Shouleh

    2009-01-01

    A two-step plasma process has been developed as a means of removing surface oxide layers from indium bumps used in flip-chip hybridization (bump bonding) of integrated circuits. The two-step plasma process makes it possible to remove surface indium oxide, without incurring the adverse effects of the acid etching process.

  18. Atmospheric plasma processes for environmental applications

    OpenAIRE

    Shapoval, Volodymyr

    2012-01-01

    Plasma chemistry is a rapidly growing field which covers applications ranging from technological processing of materials, including biological tissues, to environmental remediation and energy production. The so called atmospheric plasma, produced by electric corona or dielectric barrier discharges in a gas at atmospheric pressure, is particularly attractive for the low costs and ease of operation and maintenance involved. The high concentrations of energetic and chemically active species (e.g...

  19. Sawtooth oscillations as MHD relaxation process in a plasma

    International Nuclear Information System (INIS)

    Yoshida, Zensho; Inoue, Nobuyuki; Ogawa, Yuichi

    1992-01-01

    The sawtooth oscillation in a tokamak plasma is a spontaneous relaxation process accompanying global instabilities which behave to reduce the internal magnetic energy. This phenomenon has a similarity to the MHD relaxation processes in Reversed Field Pinch (RFP) and Ultra Low Q (ULQ) plasmas. The self-stabilizing effect of instabilities with m (poloidal mode number) = 1 results in an increase in the central safety factor q(0). Nonlinear dynamics of m = 1 instabilities has been discussed both for global and local modes. The latter appears when a pitch minimum exists in the plasma, and is relevant to the compound sawtooth oscillation. The MHD relaxation is a restructuring process of the plasma current profile that is competitive with the resistive diffusion. (author)

  20. Rock fracture processes in chemically reactive environments

    Science.gov (United States)

    Eichhubl, P.

    2015-12-01

    Rock fracture is traditionally viewed as a brittle process involving damage nucleation and growth in a zone ahead of a larger fracture, resulting in fracture propagation once a threshold loading stress is exceeded. It is now increasingly recognized that coupled chemical-mechanical processes influence fracture growth in wide range of subsurface conditions that include igneous, metamorphic, and geothermal systems, and diagenetically reactive sedimentary systems with possible applications to hydrocarbon extraction and CO2 sequestration. Fracture processes aided or driven by chemical change can affect the onset of fracture, fracture shape and branching characteristics, and fracture network geometry, thus influencing mechanical strength and flow properties of rock systems. We are investigating two fundamental modes of chemical-mechanical interactions associated with fracture growth: 1. Fracture propagation may be aided by chemical dissolution or hydration reactions at the fracture tip allowing fracture propagation under subcritical stress loading conditions. We are evaluating effects of environmental conditions on critical (fracture toughness KIc) and subcritical (subcritical index) fracture properties using double torsion fracture mechanics tests on shale and sandstone. Depending on rock composition, the presence of reactive aqueous fluids can increase or decrease KIc and/or subcritical index. 2. Fracture may be concurrent with distributed dissolution-precipitation reactions in the hostrock beyond the immediate vicinity of the fracture tip. Reconstructing the fracture opening history recorded in crack-seal fracture cement of deeply buried sandstone we find that fracture length growth and fracture opening can be decoupled, with a phase of initial length growth followed by a phase of dominant fracture opening. This suggests that mechanical crack-tip failure processes, possibly aided by chemical crack-tip weakening, and distributed solution-precipitation creep in the

  1. Development of plasma cutting process at observation of environmental requirements

    International Nuclear Information System (INIS)

    Czech, J.; Matusiak, J.; Pasek-Siurek, H.

    1997-01-01

    Plasma cutting is one of the basic methods for thermal cutting of metals. It is characterized by high productivity and quality of the cut surface. However, the plasma cutting process is one of the most harmful processes for environment and human health. It results from many agents being a potential environmental risk The large amount of dust and gases emitted during the process as well as an intensive radiation of electric arc and excessive noise are considered as the most harmful hazards. The existing ventilation and filtration systems are not able to solve all problems resulting from the process. Plasma cutting under water is worthy of notice, especially during an advancement of plasma cutting process, because of human safety and environment protection. Such a solution allows to reduce considerably the emission of dust and gases, as well as to decrease the noise level and ultraviolet radiation. An additional advantage of underwater plasma cutting is a reduction in the width of material heating zone and a decrease in strains of elements being cut. However, the productivity of this process is a little lower what results in an increase in cutting cost. In the paper, it has been presented the results of the investigations made at the Institute of Welding in Gliwice on the area of plasma cutting equipment with energy-saving inverter power supplies used in automated processes of underwater plasma cutting as well as the results of testing of welding environment contamination and safety hazards. (author)

  2. Room temperature plasma oxidation: A new process for preparation of ultrathin layers of silicon oxide, and high dielectric constant materials

    International Nuclear Information System (INIS)

    Tinoco, J.C.; Estrada, M.; Baez, H.; Cerdeira, A.

    2006-01-01

    In this paper we present basic features and oxidation law of the room temperature plasma oxidation (RTPO), as a new process for preparation of less than 2 nm thick layers of SiO 2 , and high-k layers of TiO 2 . We show that oxidation rate follows a potential law dependence on oxidation time. The proportionality constant is function of pressure, plasma power, reagent gas and plasma density, while the exponent depends only on the reactive gas. These parameters are related to the physical phenomena occurring inside the plasma, during oxidation. Metal-Oxide-Semiconductor (MOS) capacitors fabricated with these layers are characterized by capacitance-voltage, current-voltage and current-voltage-temperature measurements. Less than 2.5 nm SiO 2 layers with surface roughness similar to thermal oxide films, surface state density below 3 x 10 11 cm -2 and current density in the expected range for each corresponding thickness, were obtained by RTPO in a parallel-plate reactor, at 180 mW/cm 2 and pressure range between 9.33 and 66.5 Pa (0.07 and 0.5 Torr) using O 2 and N 2 O as reactive gases. MOS capacitors with TiO 2 layers formed by RTPO of sputtered Ti layers are also characterized. Finally, MOS capacitors with stacked layers of TiO 2 over SiO 2 , both layers obtained by RTPO, were prepared and evaluated to determine the feasibility of the use of TiO 2 as a candidate for next technology nodes

  3. Application of plasma technology to nuclear engineering fields

    International Nuclear Information System (INIS)

    Suzuki, Masaaki; Akatsuka, Hiroshi

    1996-01-01

    In order to discuss about the application of the plasma technology to nuclear engineering fields, we mention two subjects, the oxygenation of metal chloride waste by oxygen plasma and the characterization of fine particles generated in the plasma process. Through the experimental results of two subjects, both of the advantage and the disadvantage of the plasma technology and their characteristics are shown and discussed. The following conclusions are obtained. The reactive plasma is effective to oxygenate the chloride wastes. The particle generation which is one of the disadvantages must not be specialized and its characteristics can be estimated. Consequently, the plasma technology should be applicable to nuclear engineering fields adopting its advantage and overcoming its disadvantage. (author)

  4. Plasma Processes of Cutting and Welding

    Science.gov (United States)

    1976-02-01

    TIG process. 2.2.2 Keyhole Welding In plasma arc welding , the term...Cutting 3 3 4 4 4 2.2 Plasma Arc Welding 5 2.2.1 Needle Arc Welding 2.2.2 Keyhole Welding 5 6 3. Applications 8 93.1 Economics 4. Environmental Aspects of...Arc Lengths III. Needle Arc Welding Conditions IV. Keyhole Welding Conditions v. Chemical Analyses of Plates Used - vii - 1. 2. 3. 4. 5. 6. 7. 8.

  5. Plasma processes and film growth of expanding thermal plasma deposited textured zinc oxide

    NARCIS (Netherlands)

    Groenen, R.; Linden, J.L.; Sanden, van de M.C.M.

    2005-01-01

    Plasma processes and film growth of textured zinc oxide deposited from oxygen and diethyl zinc utilizing expanding thermal argon plasma created by a cascaded arc is discussed. In all conditions explored, an excess of argon ions and low temperature electrons is available, which represent the

  6. Cardiorespiratory fitness does not alter plasma pentraxin 3 and cortisol reactivity to acute psychological stress and exercise.

    Science.gov (United States)

    Huang, Chun-Jung; Webb, Heather E; Beasley, Kathleen N; McAlpine, David A; Tangsilsat, Supatchara E; Acevedo, Edmund O

    2014-03-01

    Pentraxin 3 (PTX3) has been recently identified as a biomarker of vascular inflammation in predicting cardiovascular events. The purpose of this study was to examine the effect of cardiorespiratory fitness on plasma PTX3 and cortisol responses to stress, utilizing a dual-stress model. Fourteen male subjects were classified into high-fit (HF) and low-fit (LF) groups and completed 2 counterbalanced experimental conditions. The exercise-alone condition (EAC) consisted of cycling at 60% maximal oxygen uptake for 37 min, while the dual-stress condition (DSC) included 20 min of a mental stress while cycling for 37 min. Plasma PTX3 revealed significant increases over time with a significant elevation at 37 min in both HF and LF groups in response to EAC and DSC. No difference in plasma PTX3 levels was observed between EAC and DSC. In addition, plasma cortisol revealed a significant condition by time interaction with greater levels during DSC at 37 min, whereas cardiorespiratory fitness level did not reveal different plasma cortisol responses in either the EAC or DSC. Aerobic exercise induces plasma PTX3 release, while additional acute mental stress, in a dual-stress condition, does not exacerbate or further modulate the PTX3 response. Furthermore, cardiorespiratory fitness may not affect the stress reactivity of plasma PTX3 to physical and combined physical and psychological stressors. Finally, the exacerbated cortisol responses to combined stress may provide the potential link to biological pathways that explain changes in physiological homeostasis that may be associated with an increase in the risk of cardiovascular disease.

  7. Microsecond-pulsed dielectric barrier discharge plasma stimulation of tissue macrophages for treatment of peripheral vascular disease

    Energy Technology Data Exchange (ETDEWEB)

    Miller, V., E-mail: vmiller@coe.drexel.edu; Lin, A.; Brettschneider, J.; Fridman, G.; Fridman, A. [AJ Drexel Plasma Institute, Drexel University, Camden, New Jersey 08103 (United States); Kako, F.; Gabunia, K.; Kelemen, S.; Autieri, M. [Department of Physiology, Independence Blue Cross Cardiovascular Research Center, Temple University School of Medicine, Philadelphia, Pennsylvania 19140 (United States)

    2015-12-15

    Angiogenesis is the formation of new blood vessels from pre-existing vessels and normally occurs during the process of inflammatory reactions, wound healing, tissue repair, and restoration of blood flow after injury or insult. Stimulation of angiogenesis is a promising and an important step in the treatment of peripheral artery disease. Reactive oxygen species have been shown to be involved in stimulation of this process. For this reason, we have developed and validated a non-equilibrium atmospheric temperature and pressure short-pulsed dielectric barrier discharge plasma system, which can non-destructively generate reactive oxygen species and other active species at the surface of the tissue being treated. We show that this plasma treatment stimulates the production of vascular endothelial growth factor, matrix metalloproteinase-9, and CXCL 1 that in turn induces angiogenesis in mouse aortic rings in vitro. This effect may be mediated by the direct effect of plasma generated reactive oxygen species on tissue.

  8. Measurement of OH, NO, O and N atoms in helium plasma jet for ROS/RNS controlled biomedical processes

    Science.gov (United States)

    Yonemori, Seiya; Kamakura, Taku; Ono, Ryo

    2014-10-01

    Atmospheric-pressure plasmas are of emerging interest for new plasma applications such as cancer treatment, cell activation and sterilization. In those biomedical processes, reactive oxygen/nitrogen species (ROS/RNS) are said that they play significant role. It is though that active species give oxidative stress and induce biomedical reactions. In this study, we measured OH, NO, O and N atoms using laser induced fluorescence (LIF) measurement and found that voltage polarity affect particular ROS. When negative high voltage was applied to the plasma jet, O atom density was tripled compared to the case of positive applied voltage. In that case, O atom density was around 3 × 1015 [cm-3] at maximum. In contrast, OH and NO density did not change their density depending on the polarity of applied voltage, measured as in order of 1013 and 1014 [cm-3] at maximum, respectively. From ICCD imaging measurement, it could be seen that negative high voltage enhanced secondary emission in plasma bullet propagation and it can affect the effective production of particular ROS. Since ROS/RNS dose can be a quantitative criterion to control plasma biomedical application, those measurement results is able to be applied for in vivo and in vitro plasma biomedical experiments. This study is supported by the Grant-in-Aid for Science Research by the Ministry of Education, Culture, Sport, Science and Technology.

  9. The action of red wine and purple grape juice on vascular reactivity is independent of plasma lipids in hypercholesterolemic patients.

    Science.gov (United States)

    Coimbra, S R; Lage, S H; Brandizzi, L; Yoshida, V; da Luz, P L

    2005-09-01

    Although red wine (RW) reduces cardiovascular risk, the mechanisms underlying the effect have not been identified. Correction of endothelial dysfunction by RW flavonoids could be one mechanism. We measured brachial artery reactivity by high-resolution ultrasonography, plasma lipids, glucose, adhesion molecules (ICAM-1 and VCAM), and platelet function in 16 hypercholesterolemic individuals (8 men and 8 women; mean age 51.6 +/- 8.1 years) without other risk factors. Twenty-four normal subjects were used as controls for vascular reactivity. Subjects randomly received RW, 250 ml/day, or purple grape juice (GJ), 500 ml/day, for 14 days with an equal wash-out period. At baseline, all 16 subjects were hypercholesterolemic (mean LDL = 181.0 +/- 28.7 mg/dl) but HDL, triglycerides, glucose, adhesion molecules, and platelet function were within normal limits. Brachial artery flow-mediated dilation was significantly decreased compared to controls (9.0 +/- 7.1 vs 12.1 +/- 4.5%; P effect on either molecule. No significant alterations were observed in plasma lipids, glucose or platelet aggregability with RW or GJ. Both RW and GJ similarly improved flow-mediated dilation, but RW also enhanced endothelium-independent vasodilation in hypercholesterolemic patients despite the increased plasma cholesterol. Thus, we conclude that GJ may protect against coronary artery disease without the additional negative effects of alcohol despite the gender.

  10. Radiative processes in a laser-fusion plasma

    International Nuclear Information System (INIS)

    Campbell, P.M.; Kubis, J.J.; Mitrovich, D.

    1976-01-01

    Plasmas compressed and heated by an intense laser pulse offer promise for the ignition of propagating thermonuclear burn and, ultimately, for use in fusion reactors. It is evident theoretically that the emission and absorption of x-rays by the plasma has a significant effect on the dynamics of the laser compression process. In order to achieve densities high enough for efficient thermonuclear burn, the fusion pellet must be compressed along a low adiabat. This will not be possible if the compressed region of the pellet is significantly preheated by x-rays originating in the hot outer regions. A satisfactory model of compression hydrodynamics must, therefore, include a comprehensive treatment of radiation transport based on a non-LTE model of the plasma. The model must be valid for Fermi-Dirac statistics, since high compression along a low adiabat will, in general, produce degenerate electron distributions. This report is concerned with the plasma model and the corresponding radiation emission and absorption coefficients, including nonthermal processes which occur in the laser deposition region

  11. Plasma monitoring of the RLVIP-process with a Langmuir probe

    Science.gov (United States)

    Huber, D.; Hallbauer, A.; Pulker, H. K.

    2005-09-01

    The aim of this investigation was to study the characteristics of a reactive-low-voltage-high-current-ion-plating plasma and to correlate the observed plasma data with the properties of films deposited under such conditions. A Langmuir probe system (Smart Probe - Scientific Systems) was inserted into a Balzers BAP 800 ion plating plant above the e-gun evaporation source close to the insulated substrate holder. In this position during RLVIP deposition, plasma potential, floating potential, self-bias voltage, electron temperature, ion current density, and particle number density were measured and calculated, respectively. All measurements were performed in dependence of arc current (20-80A) and oxygen partial pressure (1 - 36 x 10-4mbar). With rising arc current the number of charged particles, the self-bias voltage between plasma and substrates as well as the energy of the condensing and bombarding species were increased. These data explain the increase of density, refractive index and mechanical stress of RLVIP-metal-oxide-layers, like Ta2O5 and Nb2O5, deposited with higher arc currents. An increase of gas pressure decreased the energy of the particles and therefore reduced slightly film density and refractive index. However, it improved chemistry and eliminated unwanted residual optical absorption and also decreased compressive mechanical film stress.

  12. An experimental facility for microwave induced plasma processing of materials

    International Nuclear Information System (INIS)

    Patil, D.S.; Ramachandran, K.; Bhide, A.L.; Venkatramani, N.

    1997-01-01

    Microwave induced plasma processing offers many advantages over conventional processes. However this technology is in the development stage. This report gives a detailed information about a microwave plasma processing facility (2.45 GHz, 700 W) set up in the Laser and Plasma Technology Division. The equipment details and the results obtained on deposition of diamond like carbon (DLC) thin films and surface modification of polymer PET (polyethylene terephthalate) using this facility are given in this report. (author)

  13. Erosion behavior of composite Al-Cr cathodes in cathodic arc plasmas in inert and reactive atmospheres

    Energy Technology Data Exchange (ETDEWEB)

    Franz, Robert, E-mail: robert.franz@unileoben.ac.at; Mendez Martin, Francisca; Hawranek, Gerhard [Montanuniversität Leoben, Franz-Josef-Strasse 18, 8700 Leoben (Austria); Polcik, Peter [Plansee Composite Materials GmbH, Siebenbürgerstrasse 23, 86983 Lechbruck am See (Germany)

    2016-03-15

    Al{sub x}Cr{sub 1−x} composite cathodes with Al contents of x = 0.75, 0.5, and 0.25 were exposed to cathodic arc plasmas in Ar, N{sub 2}, and O{sub 2} atmospheres and their erosion behavior was studied. Cross-sectional analysis of the elemental distribution of the near-surface zone in the cathodes by scanning electron microscopy revealed the formation of a modified layer for all cathodes and atmospheres. Due to intermixing of Al and Cr in the heat-affected zone, intermetallic Al-Cr phases formed as evidenced by x-ray diffraction analysis. Cathode poisoning effects in the reactive N{sub 2} and O{sub 2} atmospheres were nonuniform as a result of the applied magnetic field configuration. With the exception of oxide islands on Al-rich cathodes, reactive layers were absent in the circular erosion zone, while nitrides and oxides formed in the less eroded center region of the cathodes.

  14. Plasma treatment of polymers for improved adhesion

    International Nuclear Information System (INIS)

    Kelber, J.A.

    1988-01-01

    A variety of plasma treatments of polymer sufaces for improved adhesion are reviewed: noble and reactive has treatment of fluoropolymers; noble and reactive treatment of polyolefins, and plasma-induced amination of polymer fibers. The plasma induced surface chemical and morphological changer are discussed, as are the mechanisms of adhersion to polymeric adhesives, particularly epoxy. Noble has plasma eching of fluoropolymers produces a partially defluorinated, textured surface. The mechanical interlocking of this textured surface is the primary cause of improved adhsion to epoxy. Reactive has plasma also induce defluorination, but oxygen containing gases cause continual ablation of the fluoropolymer surface. Noble and reactive gas (except for hydrogen) etching of polyolefins results in surface oxidation and imrprove adhesion via hydrogen bonding of these exygen containing groups across the interface. The introduction of amine groups to a polymer surface by ammonia or amine plasma treatment generally results in improved adhesion to epoxy. However, amine-epoxy ring interactions can be severely effected by steric factors due to chemical group surrounding the amine

  15. Analysis of processes in DC arc plasma torches for spraying that use air as plasma forming gas

    International Nuclear Information System (INIS)

    Frolov, V; Ivanov, D; Toropchin, A

    2014-01-01

    Developed in Saint Petersburg State Polytechnical University technological processes of air-plasma spraying of wear-resistant, regenerating, hardening and decorative coatings used in number of industrial areas are described. The article contains examples of applications of air plasma spraying of coatings as well as results of mathematical modelling of processes in air plasma torches for spraying

  16. Maladaptive social information processing in childhood predicts young men's atypical amygdala reactivity to threat.

    Science.gov (United States)

    Choe, Daniel Ewon; Shaw, Daniel S; Forbes, Erika E

    2015-05-01

    Maladaptive social information processing, such as hostile attributional bias and aggressive response generation, is associated with childhood maladjustment. Although social information processing problems are correlated with heightened physiological responses to social threat, few studies have examined their associations with neural threat circuitry, specifically amygdala activation to social threat. A cohort of 310 boys participated in an ongoing longitudinal study and completed questionnaires and laboratory tasks assessing their social and cognitive characteristics the boys were between 10 and 12 years of age. At age 20, 178 of these young men underwent functional magnetic resonance imaging and a social threat task. At age 22, adult criminal arrest records and self-reports of impulsiveness were obtained. Path models indicated that maladaptive social information-processing at ages 10 and 11 predicted increased left amygdala reactivity to fear faces, an ambiguous threat, at age 20 while accounting for childhood antisocial behavior, empathy, IQ, and socioeconomic status. Exploratory analyses indicated that aggressive response generation - the tendency to respond to threat with reactive aggression - predicted left amygdala reactivity to fear faces and was concurrently associated with empathy, antisocial behavior, and hostile attributional bias, whereas hostile attributional bias correlated with IQ. Although unrelated to social information-processing problems, bilateral amygdala reactivity to anger faces at age 20 was unexpectedly predicted by low IQ at age 11. Amygdala activation did not mediate associations between social information processing and number of criminal arrests, but both impulsiveness at age 22 and arrests were correlated with right amygdala reactivity to anger facial expressions at age 20. Childhood social information processing and IQ predicted young men's amygdala response to threat a decade later, which suggests that childhood social

  17. Status and potential of atmospheric plasma processing of materials

    Energy Technology Data Exchange (ETDEWEB)

    Pappas, Daphne [United States Army Research Laboratory, Aberdeen Proving Ground, Maryland 21005 (United States)

    2011-03-15

    This paper is a review of the current status and potential of atmospheric plasma technology for materials processing. The main focus is the recent developments in the area of dielectric barrier discharges with emphasis in the functionalization of polymers, deposition of organic and inorganic coatings, and plasma processing of biomaterials. A brief overview of both the equipment being used and the physicochemical reactions occurring in the gas phase is also presented. Atmospheric plasma technology offers major industrial, economic, and environmental advantages over other conventional processing methods. At the same time there is also tremendous potential for future research and applications involving both the industrial and academic world.

  18. Status and potential of atmospheric plasma processing of materials

    International Nuclear Information System (INIS)

    Pappas, Daphne

    2011-01-01

    This paper is a review of the current status and potential of atmospheric plasma technology for materials processing. The main focus is the recent developments in the area of dielectric barrier discharges with emphasis in the functionalization of polymers, deposition of organic and inorganic coatings, and plasma processing of biomaterials. A brief overview of both the equipment being used and the physicochemical reactions occurring in the gas phase is also presented. Atmospheric plasma technology offers major industrial, economic, and environmental advantages over other conventional processing methods. At the same time there is also tremendous potential for future research and applications involving both the industrial and academic world.

  19. The plasma hearth process: Process residuals characterization

    International Nuclear Information System (INIS)

    Leatherman, G.L.; Geimer, R.; Batdorf, J.; Hassel, G.; Wolfe, P.; Carney, K.P.

    1994-01-01

    The Plasma Hearth Process (PHP) is a high-temperature waste treatment process being developed by Science Applications International Corporation (SAIC) for the Department of Energy (DOE) that destroys hazardous organics while stabilizing radionuclides and hazardous metals in a vitreous slag waste form. The PHP has potential application for the treatment of a wide range of mixed waste types in both the low-level and transuranic (TRU) mixed waste categories. DOE, through the Office of Technology Development's Mixed Waste Integrated Program (MWIP) is conducting a three phase development project to ready the PHP for implementation in the DOE complex

  20. EDITORIAL: Plasma jets and plasma bullets Plasma jets and plasma bullets

    Science.gov (United States)

    Kong, M. G.; Ganguly, B. N.; Hicks, R. F.

    2012-06-01

    technological solution in the early to late 1990s of confining atmospheric plasmas in a small volume of plasma generation (i.e. with a small volume-to-surface ratio) and then extending it towards a downstream sample [7]-[9]. These are among the first low-temperature atmospheric plasmas aimed particularly at the exploitation of their ability to invoke the active and rich reactive chemistry close to ambient temperature. The main applications of these early devices are precision surface modification of low-temperature dielectric materials, for example thin film deposition and etching [7]-[9]. Variations of the early plasma jets include atmospheric plasma sheet jets [10] for the treatment of largely planar objects (e.g. polymeric sheets) as well as large arrays of many plasma jets for the treatment of complex-structured objects (e.g. surgical tools and open human wounds) [11]. As a material processing technology, the sub-100oC atmospheric-pressure plasma jet has benefited over the years from many innovations. Whilst a detailed account and analysis of these is clearly outside the scope of this Editorial, it is worth stating that there are different avenues with which to maintain a moderate electron density at the plasma core so as to keep the gas temperature at the sample point below a ceiling level. Most of the early studies employed excitation at radio frequencies above 10 MHz, at which electrons are largely confined in the plasma generation region, and this limits the current flow to and gas heating in the plume region of the plasma jet. Other techniques of current limitation have since been shown to be effective, including the use of dielectric barriers across a very large frequency range of 1 kHz--50 MHz, sub-microsecond pulses sustained at kHz frequencies, pulse-modulated radio frequencies and dual-frequency excitation [12]-[15]. These and other techniques have considerably advanced the atmospheric-pressure plasma jet technology. The period of some 15 years since the above

  1. Synthesis and processing of composites by reactive metal penetration

    Energy Technology Data Exchange (ETDEWEB)

    Loehman, R.E.; Ewsuk, K.G. [Sandia National Labs., Albuquerque, NM (United States); Tomsia, A.P. [Pask Research and Engineering, Berkeley, CA (United States)] [and others

    1997-04-01

    Achieving better performance in commercial products and processes often is dependent on availability of new and improved materials. Ceramic-metal composites have advantages over more conventional materials because of their high stiffness-to-weight ratios, good fracture toughness, and because their electrical and thermal properties can be varied through control of their compositions and microstructures. However, ceramic composites will be more widely used only when their costs are competitive with other materials and when designers have more confidence in their reliability. Over the past four years reactive metal penetration has been shown to be a promising technique for making ceramic and metal-matrix composites to near-net-shape with control of both composition and microstructure. It appears that, with sufficient development, reactive metal penetration could be an economical process for manufacturing many of the advanced ceramic composites that are needed for light-weight structural and wear applications for transportation and energy conversion devices. Near-net-shape fabrication of parts is a significant advantage because costly and energy intensive grinding and machining operations are substantially reduced, and the waste generated from such finishing operations is minimized. The most promising compositions to date consist of Al and Al{sub 2}O{sub 3}; thus, these composites should be of particular interest to the aluminum industry. The goals of this ceramic-metal composite research and development program are: (1) to identify compositions favorable for making composites by reactive metal penetration; (2) to understand the mechanism(s) by which these composites are formed; (3) to control and optimize the process so that composites and composite coatings can be made economically; and (4) to apply R&D results to problems of interest to the aluminum industry.

  2. Air plasma processing of poly(methyl methacrylate) micro-beads: Surface characterisations

    International Nuclear Information System (INIS)

    Liu Chaozong; Cui Naiyi; Osbeck, Susan; Liang He

    2012-01-01

    Highlights: ► PMMA micro-beads were processed using a rotary air plasma reactor. ► Surface chemistry and surface texture of PMMA micro-beads were characterised. ► Surface wettability was evaluated using “floating” water contact angle method. ► Surface oxidation and texture changes induced by air plasma attributed to the improvement of surface wettability. - Abstract: This paper reports the surface processing of poly(methyl methacrylate) (PMMA) micro-beads by using a rotary air plasma reactor, and its effects on surface properties. The surface properties, including surface wettability, surface chemistry and textures of the PMMA beads, were characterised. It was observed that the air plasma processing can improve the surface wettability of the PMMA microbeads significantly. A 15 min plasma processing can reduce the surface water contact angle of PMMA beads to about 50° from its original value of 80.3°. This was accompanied by about 8% increase in surface oxygen concentration as confirmed by XPS analysis. The optical profilometry examination revealed the air plasma processing resulted in a rougher surface that has a “delicate” surface texture. It is concluded that the surface chemistry and texture, induced by air plasma processing, co-contributed to the surface wettability improvement of PMMA micro-beads.

  3. Processing of volatile organic compounds by microwave plasmas

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2011-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the nonthermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguidebased surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguidebased nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzletype MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented. (author)

  4. Processing of volatile organic compounds by microwave plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Mizeraczyk, J. [Centre for Plasma and Laser Engineering, Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Gdansk (Poland); Department of Marine Electronics, Gdynia Martime University, Gdynia (Poland); Jasinski, M.; Dors, M.; Zakrzewski, Z. [Centre for Plasma and Laser Engineering, Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Gdansk (Poland)

    2011-07-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the nonthermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguidebased surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguidebased nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzletype MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented. (author)

  5. Challenges and Opportunities in Reactive Processing and Applications of Advanced Ceramic Materials

    Science.gov (United States)

    Singh, Mrityunjay

    2003-01-01

    Recently, there has been a great deal of interest in the research, development, and commercialization of innovative synthesis and processing technologies for advanced ceramics and composite materials. Reactive processing approaches have been actively considered due to their robustness, flexibility, and affordability. A wide variety of silicon carbide-based advanced ceramics and composites are currently being fabricated using the processing approaches involving reactive infiltration of liquid and gaseous species into engineered fibrous or microporous carbon performs. The microporous carbon performs have been fabricated using the temperature induced phase separation and pyrolysis of two phase organic (resin-pore former) mixtures and fiber reinforcement of carbon and ceramic particulate bodies. In addition, pyrolyzed native plant cellulose tissues also provide unique carbon templates for manufacturing of non-oxide and oxide ceramics. In spite of great interest in this technology due to their affordability and robustness, there is a lack of scientific basis for process understanding and many technical challenges still remain. The influence of perform properties and other parameters on the resulting microstructure and properties of final material is not well understood. In this presentation, mechanism of silicon-carbon reaction in various systems and the effect of perform microstructure on the mechanical properties of advanced silicon carbide based materials will be discussed. Various examples of applications of reactively processed advanced silicon carbide ceramics and composite materials will be presented.

  6. Atomic Processes in Plasmas: Tenth Topical Conference. Proceedings

    International Nuclear Information System (INIS)

    Osterheld, A.L.; Goldstein, W.H.

    1997-01-01

    These proceedings contain the papers presented at the 10th topical conference on atomic processes in plasmas held in San Francisco, California. This conference series provides a forum for those whose research overlaps atomic and plasma physics. The topics discussed included tokamak plasmas, x-ray sources and x-ray lasers, dense plasmas, laser plasmas, radiative opacity and atomic databases. Among the sponsors of this conference were the Office of Fusion Energy and the Office of Energy Research of the U.S. department of Energy and Lawrence Livermore National Laboratory. There were 30 papers presented and 28 have been abstracted for the Energy Science and Technology database

  7. Air plasma treatment of liquid covered tissue: long timescale chemistry

    Science.gov (United States)

    Lietz, Amanda M.; Kushner, Mark J.

    2016-10-01

    Atmospheric pressure plasmas have shown great promise for the treatment of wounds and cancerous tumors. In these applications, the sample is usually covered by a thin layer of a biological liquid. The reactive oxygen and nitrogen species (RONS) generated by the plasma activate and are processed by the liquid before the plasma produced activation reaches the tissue. The synergy between the plasma and the liquid, including evaporation and the solvation of ions and neutrals, is critical to understanding the outcome of plasma treatment. The atmospheric pressure plasma sources used in these procedures are typically repetitively pulsed. The processes activated by the plasma sources have multiple timescales—from a few ns during the discharge pulse to many minutes for reactions in the liquid. In this paper we discuss results from a computational investigation of plasma-liquid interactions and liquid phase chemistry using a global model with the goal of addressing this large dynamic range in timescales. In modeling air plasmas produced by a dielectric barrier discharge over liquid covered tissue, 5000 voltage pulses were simulated, followed by 5 min of afterglow. Due to the accumulation of long-lived species such as ozone and N x O y , the gas phase dynamics of the 5000th discharge pulse are different from those of the first pulse, particularly with regards to the negative ions. The consequences of applied voltage, gas flow, pulse repetition frequency, and the presence of organic molecules in the liquid on the gas and liquid reactive species are discussed.

  8. Sterilization by oxygen plasma

    Energy Technology Data Exchange (ETDEWEB)

    Moreira, Adir Jose; Mansano, Ronaldo Domingues; Andreoli Pinto, Terezinha de Jesus; Ruas, Ronaldo; Silva Zambon, Luis da; Silva, Monica Valero da; Verdonck, Patrick Bernard

    2004-07-31

    The use of polymeric medical devices has stimulated the development of new sterilization methods. The traditional techniques rely on ethylene oxide, but there are many questions concerning the carcinogenic properties of the ethylene oxide residues adsorbed on the materials after processing. Another common technique is the gamma irradiation process, but it is costly, its safe operation requires an isolated site and it also affects the bulk properties of the polymers. The use of a gas plasma is an elegant alternative sterilization technique. The plasma promotes an efficient inactivation of the micro-organisms, minimises the damage to the materials and presents very little danger for personnel and the environment. Pure oxygen reactive ion etching type of plasmas were applied to inactivate a biologic indicator, the Bacillus stearothermophilus, to confirm the efficiency of this process. The sterilization processes took a short time, in a few minutes the mortality was complete. In situ analysis of the micro-organisms' inactivating time was possible using emission spectrophotometry. The increase in the intensity of the 777.5 nm oxygen line shows the end of the oxidation of the biologic materials. The results were also observed and corroborated by scanning electron microscopy.

  9. Process maps for plasma spray: Part 1: Plasma-particle interactions

    International Nuclear Information System (INIS)

    Gilmore, Delwyn L.; Neiser, Richard A. Jr.; Wan, Yuepeng; Sampath, Sanjay

    2000-01-01

    This is the first paper of a two part series based on an integrated study carried out at Sandia National Laboratories and the State University of New York at Stony Brook. The aim of the study is to develop a more fundamental understanding of plasma-particle interactions, droplet-substrate interactions, deposit formation dynamics and microstructural development as well as final deposit properties. The purpose is to create models that can be used to link processing to performance. Process maps have been developed for air plasma spray of molybdenum. Experimental work was done to investigate the importance of such spray parameters as gun current, auxiliary gas flow, and powder carrier gas flow. In-flight particle diameters, temperatures, and velocities were measured in various areas of the spray plume. Samples were produced for analysis of microstructures and properties. An empirical model was developed, relating the input parameters to the in-flight particle characteristics. Multi-dimensional numerical simulations of the plasma gas flow field and in-flight particles under different operating conditions were also performed. In addition to the parameters which were experimentally investigated, the effect of particle injection velocity was also considered. The simulation results were found to be in good general agreement with the experimental data

  10. Surface chemical changes of atmospheric pressure plasma treated rabbit fibres important for felting process

    Energy Technology Data Exchange (ETDEWEB)

    Štěpánová, Vlasta, E-mail: vstepanova@mail.muni.cz [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Slavíček, Pavel; Stupavská, Monika; Jurmanová, Jana [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Černák, Mirko [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Department of Experimental Physics, Faculty of Mathematics, Physics and Informatics, Comenius University, Mlynská dolina F2, 842 48 Bratislava (Slovakia)

    2015-11-15

    Graphical abstract: - Highlights: • Rabbit fibres plasma treatment is an effective method for fibres modification. • Atmospheric pressure plasma treatment is able to affect fibres properties. • Surface changes on fibres after plasma treatment were analysed via SEM, ATR-FTIR, XPS. • Significant increase of fibres wettability after plasma treatment was observed. • Plasma treatment at atmospheric pressure can replace the chemical treatment of fibres. - Abstract: We introduce the atmospheric pressure plasma treatment as a suitable procedure for in-line industrial application of rabbit fibres pre-treatment. Changes of rabbit fibre properties due to the plasma treatment were studied in order to develop new technology of plasma-based treatment before felting. Diffuse Coplanar Surface Barrier Discharge (DCSBD) in ambient air at atmospheric pressure was used for plasma treatment. Scanning electron microscopy was used for determination of the fibres morphology before and after plasma treatment. X-ray photoelectron spectroscopy and attenuated total reflectance-Fourier transform infrared spectroscopy were used for evaluation of reactive groups. The concentration of carbon decreased and conversely the concentration of nitrogen and oxygen increased after plasma treatment. Aging effect of plasma treated fibres was also investigated. Using Washburn method the significant increase of fibres wettability was observed after plasma treatment. New approach of pre-treatment of fibres before felting using plasma was developed. Plasma treatment of fibres at atmospheric pressure can replace the chemical method which consists of application of strong acids on fibres.

  11. A novel non-sequential hydrogen-pulsed deep reactive ion etching of silicon

    International Nuclear Information System (INIS)

    Gharooni, M; Mohajerzadeh, A; Sandoughsaz, A; Khanof, S; Mohajerzadeh, S; Asl-Soleimani, E

    2013-01-01

    A non-sequential pulsed-mode deep reactive ion etching of silicon is reported that employs continuous etching and passivation based on SF 6 and H 2 gases. The passivation layer, as an important step for deep vertical etching of silicon, is feasible by hydrogen pulses in proper time-slots. By adjusting the etching parameters such as plasma power, H 2 and SF 6 flows and hydrogen pulse timing, the process can be controlled for minimum underetch and high etch-rate at the same time. High-aspect-ratio features can be realized with low-density plasma power and by controlling the reaction chemistry. The so-called reactive ion etching lag has been minimized by operating the reactor at higher pressures. X-ray photoelectron spectroscopy and scanning electron microscopy have been used to study the formation of the passivation layer and the passivation mechanism. (paper)

  12. Generation of reactive species in atmospheric pressure dielectric barrier discharge with liquid water

    Science.gov (United States)

    Zelong, ZHANG; Jie, SHEN; Cheng, CHENG; Zimu, XU; Weidong, XIA

    2018-04-01

    Atmospheric pressure helium/water dielectric barrier discharge (DBD) plasma is used to investigate the generation of reactive species in a gas-liquid interface and in a liquid. The emission intensity of the reactive species is measured by optical emission spectroscopy (OES) with different discharge powers at the gas-liquid interface. Spectrophotometry is used to analyze the reactive species induced by the plasma in the liquid. The concentration of OH radicals reaches 2.2 μm after 3 min of discharge treatment. In addition, the concentration of primary long-lived reactive species such as H2O2, {{{{NO}}}3}- and O3 are measured based on plasma treatment time. After 5 min of discharge treatment, the concentration of H2O2, {{{{NO}}}3}-, and O3 increased from 0 mg · L-1 to 96 mg · L-1, 19.5 mg · L-1, and 3.5 mg · L-1, respectively. The water treated by plasma still contained a considerable concentration of reactive species after 6 h of storage. The results will contribute to optimizing the DBD plasma system for biological decontamination.

  13. Proceedings of the international seminar on atomic processes in plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Kato, Takako; Murakami, Izumi [eds.

    2000-01-01

    The International Seminar on Atomic Processes in Plasmas (ISAPP), a satellite meeting to the ICPEAC was held July 28-29 at the National Institute for Fusion Science in Toki, Gifu, Japan. About 110 scientists attended the ISAPP meeting and discussed atomic processes and atomic data required for fusion research. This Proceedings book includes the papers of the talks, posters and panel discussion given at the meeting. The invited talks described the super configuration array method for complex spectra, near-LTE atomic kinetics, R-matrix calculations, the binary-encounter dipole model for electron-impact ionization of molecules, other calculations of molecular processes, the ADAS project and the NIFS atomic data-base, and a survey of the role of molecular processes in divertor plasmas. On the experimental side crossed-beam ion-ion collision-experiments for charge transfer, and storage-ring and EBIT measurements of ionization, excitation and dielectronic recombination cross-sections were presented, and atomic processes important for x-ray laser experiments and x-ray spectroscopy of astrophysical plasmas were described. The new method of plasma polarization spectroscopy was outlined. There was also a spectroscopic study of particle transport in JT-60U, new results for detached plasmas, and a sketch of the first hot plasma experiments with the Large Helical Device recently completed at NIFS. The 63 of the presented papers are indexed individually. (J.P.N.)

  14. Spectroscopic diagnostics of plasma during laser processing of aluminium

    International Nuclear Information System (INIS)

    Lober, R; Mazumder, J

    2007-01-01

    The role of the plasma in laser-metal interaction is of considerable interest due to its influence in the energy transfer mechanism in industrial laser materials processing. A 10 kW CO 2 laser was used to study its interaction with aluminium under an argon environment. The objective was to determine the absorption and refraction of the laser beam through the plasma during the processing of aluminium. Laser processing of aluminium is becoming an important topic for many industries, including the automobile industry. The spectroscopic relative line to continuum method was used to determine the electron temperature distribution within the plasma by investigating the 4158 A Ar I line emission and the continuum adjacent to it. The plasmas are induced in 1.0 atm pure Ar environment over a translating Al target, using f/7 and 10 kW CO 2 laser. Spectroscopic data indicated that the plasma composition and behaviour were Ar-dominated. Experimental results indicated the plasma core temperature to be 14 000-15 300 K over the incident range of laser powers investigated from 5 to 7 kW. It was found that 7.5-29% of the incident laser power was absorbed by the plasma. Cross-section analysis of the melt pools from the Al samples revealed the absence of any key-hole formation and confirmed that the energy transfer mechanism in the targets was conduction dominated for the reported range of experimental data

  15. Spectroscopic diagnostics of plasma during laser processing of aluminium

    Science.gov (United States)

    Lober, R.; Mazumder, J.

    2007-10-01

    The role of the plasma in laser-metal interaction is of considerable interest due to its influence in the energy transfer mechanism in industrial laser materials processing. A 10 kW CO2 laser was used to study its interaction with aluminium under an argon environment. The objective was to determine the absorption and refraction of the laser beam through the plasma during the processing of aluminium. Laser processing of aluminium is becoming an important topic for many industries, including the automobile industry. The spectroscopic relative line to continuum method was used to determine the electron temperature distribution within the plasma by investigating the 4158 Å Ar I line emission and the continuum adjacent to it. The plasmas are induced in 1.0 atm pure Ar environment over a translating Al target, using f/7 and 10 kW CO2 laser. Spectroscopic data indicated that the plasma composition and behaviour were Ar-dominated. Experimental results indicated the plasma core temperature to be 14 000-15 300 K over the incident range of laser powers investigated from 5 to 7 kW. It was found that 7.5-29% of the incident laser power was absorbed by the plasma. Cross-section analysis of the melt pools from the Al samples revealed the absence of any key-hole formation and confirmed that the energy transfer mechanism in the targets was conduction dominated for the reported range of experimental data.

  16. Designing plasmas for chronic wound disinfection

    International Nuclear Information System (INIS)

    Nosenko, T; Shimizu, T; Morfill, G E

    2009-01-01

    Irradiation with low-temperature atmospheric-pressure plasma provides a promising method for chronic wound disinfection. To be efficient for this purpose, plasma should meet the following criteria: it should significantly reduce bacterial density in the wounded area, cause a long-term post-irradiation inhibition of bacterial growth, yet without causing any negative effect on human cells. In order to design plasmas that would satisfy these requirements, we assessed the relative contribution of different components with respect to bactericidal properties due to irradiation with argon plasma. We demonstrate that plasma-generated UV radiation is the main short-term sterilizing factor of argon plasma. On the other hand, plasma-generated reactive nitrogen species (RNS) and reactive oxygen species (ROS) cause a long-term 'after-irradiation' inhibition of bacterial growth and, therefore, are important for preventing wound recolonization with bacteria between two treatments. We also demonstrate that at certain concentrations plasma-generated RNS and ROS cause significant reduction of bacterial density, but have no adverse effect on human skin cells. Possible mechanisms of the different effects of plasma-generated reactive species on bacteria and human cells are discussed. The results of this study suggest that argon plasma for therapeutic purposes should be optimized in the direction of reducing the intensity of plasma-generated UV radiation and increasing the density of non-UV plasma products.

  17. Designing plasmas for chronic wound disinfection

    Energy Technology Data Exchange (ETDEWEB)

    Nosenko, T; Shimizu, T; Morfill, G E [Max-Planck Institute for Extraterrestrial Physics, Garching (Germany)], E-mail: tnosenko@mpe.mpg.de

    2009-11-15

    Irradiation with low-temperature atmospheric-pressure plasma provides a promising method for chronic wound disinfection. To be efficient for this purpose, plasma should meet the following criteria: it should significantly reduce bacterial density in the wounded area, cause a long-term post-irradiation inhibition of bacterial growth, yet without causing any negative effect on human cells. In order to design plasmas that would satisfy these requirements, we assessed the relative contribution of different components with respect to bactericidal properties due to irradiation with argon plasma. We demonstrate that plasma-generated UV radiation is the main short-term sterilizing factor of argon plasma. On the other hand, plasma-generated reactive nitrogen species (RNS) and reactive oxygen species (ROS) cause a long-term 'after-irradiation' inhibition of bacterial growth and, therefore, are important for preventing wound recolonization with bacteria between two treatments. We also demonstrate that at certain concentrations plasma-generated RNS and ROS cause significant reduction of bacterial density, but have no adverse effect on human skin cells. Possible mechanisms of the different effects of plasma-generated reactive species on bacteria and human cells are discussed. The results of this study suggest that argon plasma for therapeutic purposes should be optimized in the direction of reducing the intensity of plasma-generated UV radiation and increasing the density of non-UV plasma products.

  18. Synthesis and characterization of in situ TiC–TiB2 composite coatings by reactive plasma spraying on a magnesium alloy

    International Nuclear Information System (INIS)

    Zou Binglin; Tao Shunyan; Huang Wenzhi; Khan, Zuhair S.; Fan Xizhi; Gu Lijian; Wang Ying; Xu Jiaying; Cai Xiaolong; Ma Hongmei; Cao Xueqiang

    2013-01-01

    Highlights: ► TiC–TiB 2 composites coatings were produced on Mg alloy by reactive plasma spraying. ► Phase composition, microstructure and wear resistance of the coatings were studied. ► The resultant product in the coatings was composed of TiC and TiB 2 . ► The produced coatings displayed porous and dense microstructures. ► The synthesized coatings exhibited good wear resistance for Mg alloy substrate. - Abstract: TiC–TiB 2 composite coatings were successfully synthesized using the technique of reactive plasma spraying (RPS) on a magnesium alloy. Phase composition, microstructure and wear resistance of the coatings were characterized by using X-ray diffraction, scanning electron microscopy and pin-on-disk wear test, respectively. The results showed that the resultant product in the RPS coatings was composed of TiC and TiB 2 . Depending on the ignition of self-propagating high-temperature synthesis reaction in the agglomerate particles, the RPS coatings displayed porous and dense microstructures. The porosity of the RPS coatings, to some extent, decreased when the feed powders were plasma sprayed with Ni powders. The RPS coatings provided good wear resistance for the substrate under various loads. For high loads (e.g., ≥15 N), the wear resistance could be significantly improved by the proper addition of Ni into the RPS coatings.

  19. Mechanisms of Plasma Therapeutics

    Science.gov (United States)

    Graves, David

    2015-09-01

    In this talk, I address research directed towards biomedical applications of atmospheric pressure plasma such as sterilization, surgery, wound healing and anti-cancer therapy. The field has seen remarkable growth in the last 3-5 years, but the mechanisms responsible for the biomedical effects have remained mysterious. It is known that plasmas readily create reactive oxygen species (ROS) and reactive nitrogen species (RNS). ROS and RNS (or RONS), in addition to a suite of other radical and non-radical reactive species, are essential actors in an important sub-field of aerobic biology termed ``redox'' (or oxidation-reduction) biology. It is postulated that cold atmospheric plasma (CAP) can trigger a therapeutic shielding response in tissue in part by creating a time- and space-localized, burst-like form of oxy-nitrosative stress on near-surface exposed cells through the flux of plasma-generated RONS. RONS-exposed surface layers of cells communicate to the deeper levels of tissue via a form of the ``bystander effect,'' similar to responses to other forms of cell stress. In this proposed model of CAP therapeutics, the plasma stimulates a cellular survival mechanism through which aerobic organisms shield themselves from infection and other challenges.

  20. PREFACE: 12th High-Tech Plasma Processes Conference (HTPP-12)

    Science.gov (United States)

    Gleizes, Alain; Ghedini, Emanuele; Gherardi, Matteo; Sanibondi, Paolo; Dilecce, Giorgio

    2012-12-01

    The High-Tech Plasma Processes - 12th European Plasma Conference (HTPP-12) was held in Bologna (Italy) on 24-29 June 2012. The conference series started in 1990 as a thermal plasma conference and gradually expanded to include other topic fields as well. Now the High-Tech Plasma Processes - European Plasma Conference (HTPP) is a bi-annual international conference based in Europe with topics encompassing the whole area of plasma processing science. The aim of the conference is to bring different scientific communities together, facilitate the contacts between science, technology and industry and provide a platform for the exploration of both fundamental topics and new applications of plasmas. Thanks to the efforts of the conference chairman, Professor Vittorio Colombo and of the co-chair, Professor Piero Favia, a well balanced participation from both the communities of thermal and nonthermal plasma researchers was achieved; this resulted in just about 196 attendees from 39 countries, with 8 plenary and 15 invited talks, plus 50 oral and 140 poster contributions. This volume of Journal of Physics: Conference Series gathers papers from regular contributions of HTPP-12; each contribution submitted for publication has been peer reviewed and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In the end, 39 manuscripts were accepted for publication, covering different topics of plasma processing science: from plasma fundamentals and modelling to source design and process diagnostics, from nanomaterial synthesis to surface modification, from waste treatment to plasma applications in a liquid environment. It is an honour to present this volume of Journal of Physics: Conference Series and we deeply thank the authors for their enthusiastic and high-grade contribution. Finally, we would like to thank the conference chairmen, the members of the steering committee, the international scientific committee, the local

  1. Air plasma treatment of liquid covered tissue: long timescale chemistry

    International Nuclear Information System (INIS)

    Lietz, Amanda M; Kushner, Mark J

    2016-01-01

    Atmospheric pressure plasmas have shown great promise for the treatment of wounds and cancerous tumors. In these applications, the sample is usually covered by a thin layer of a biological liquid. The reactive oxygen and nitrogen species (RONS) generated by the plasma activate and are processed by the liquid before the plasma produced activation reaches the tissue. The synergy between the plasma and the liquid, including evaporation and the solvation of ions and neutrals, is critical to understanding the outcome of plasma treatment. The atmospheric pressure plasma sources used in these procedures are typically repetitively pulsed. The processes activated by the plasma sources have multiple timescales—from a few ns during the discharge pulse to many minutes for reactions in the liquid. In this paper we discuss results from a computational investigation of plasma–liquid interactions and liquid phase chemistry using a global model with the goal of addressing this large dynamic range in timescales. In modeling air plasmas produced by a dielectric barrier discharge over liquid covered tissue, 5000 voltage pulses were simulated, followed by 5 min of afterglow. Due to the accumulation of long-lived species such as ozone and N x O y , the gas phase dynamics of the 5000th discharge pulse are different from those of the first pulse, particularly with regards to the negative ions. The consequences of applied voltage, gas flow, pulse repetition frequency, and the presence of organic molecules in the liquid on the gas and liquid reactive species are discussed. (paper)

  2. Quasi-molecular processes in dense plasmas

    International Nuclear Information System (INIS)

    Younger, S.M.

    1991-01-01

    Quasi-molecular phenomena occur in dense plasmas when the interatomic spacing is comparable to the characteristic wavelength of the electrons. If the electronic states are bound, covalent orbitals arise with different excitation energies, radiative rates, and collisional rates than for isolated ions. For continuum electrons, charge localization near transient clusters of nuclei can influence many scattering and transport processes. We identify several novel consequences of quasi-molecular phenomena in plasmas and give a possible explanation of high energy features associated with helium-like emissions lines observed in recent inertial fusion experiments. 7 refs

  3. Atomic and molecular processes with lithium in peripheral plasmas

    International Nuclear Information System (INIS)

    Murakami, I.; Kato, D.; Hirooka, Y.; Sawada, K.

    2010-01-01

    Atomic and molecular processes for Li chemistry are examined for low temperature plasma such as peripheral plasmas in fusion research laboratory devices. Particle abundances of Li, Li ions, LiH and LiH ion are calculated by solving rate equations in which all reactions of the Li chemistry are considered for low temperature plasma.

  4. Study of plasma charging-induced white pixel defect increase in CMOS active pixel sensor

    International Nuclear Information System (INIS)

    Tokashiki, Ken; Bai, KeunHee; Baek, KyeHyun; Kim, Yongjin; Min, Gyungjin; Kang, Changjin; Cho, Hanku; Moon, Jootae

    2007-01-01

    Plasma process-induced 'white pixel defect' (WPD) of CMOS active pixel sensor (APS) is studied for Si3N4 spacer etch back process by using a magnetically enhanced reactive ion etching (MERIE) system. WPD preferably takes place at the wafer edge region when the magnetized plasma is applied to Si3N4 etch. Plasma charging analysis reveals that the plasma charge-up characteristic is well matching the edge-intensive WPD generation, rather than the UV radiation. Plasma charging on APS transfer gate might lead to a gate leakage, which could play a role in generation of signal noise or WPD. In this article the WPD generation mechanism will be discussed from plasma charging point of view

  5. Longitudinal changes in C-reactive protein, proform of eosinophil major basic protein, and pregnancy-associated plasma protein-A during weight changes in obese children

    DEFF Research Database (Denmark)

    Lausten-Thomsen, Ulrik; Gamborg, Michael; Bøjsøe, Christine

    2015-01-01

    BACKGROUND: Childhood obesity is associated with several complications, including cardiovascular comorbidity. Several biomarkers, such as high-sensitive C-reactive protein (hs-CRP), proform of eosinophil major basic protein (Pro-MBP) and pregnancy associated plasma protein-A (PAPP-A), have equally...

  6. Hybrid processing of Ti-6Al-4V using plasma immersion ion implantation combined with plasma nitriding

    Directory of Open Access Journals (Sweden)

    Silva Maria Margareth da

    2006-01-01

    Full Text Available Based on the fact that the Ti-6Al-4V alloy has good mechanical properties, excellent resistance to corrosion and also excellent biocompatibility, however with low wear resistance, this work aims to test plasma processes or combination of plasma and ion implantation processes to improve these characteristics. Two types of processing were used: two steps PIII (Plasma Immersion Ion Implantation combined with PN (Plasma Nitriding and single step PIII treatment. According to Auger Electron Spectroscopy (AES results, the best solution was obtained by PIII for 150 minutes resulting in ~ 65 nm of nitrogen implanted layer, while the sample treated with PIII (75 minutes and PN (75 minutes reached ~ 35 nm implanted layer. The improvement of surface properties could also be confirmed by the nanoindentation technique, with values of hardness increasing for both processes. AFM (Atomic Force Microscopy characterization showed that the single step PIII process presented greater efficiency than the duplex process (PIII + PN, probably due to the sputtering occurring during the second step (PN removing partially the implanted layer of first step (PIII.

  7. Plasma spraying of refractory metals and refractory hard materials. State of the art

    International Nuclear Information System (INIS)

    Eschnauer, H.; Lugscheider, E.; Jaeger, D.

    1989-01-01

    Suitable spraying processes for manufacturing refractory metals, refractory hard materials as well as spray materials with refractory components are the VPS- and IPS-spraying techniques. The advantages of these special spraying process variations are described. The reactive spraying materials are systematically organized. The characteristical properties used in purpose of improving the substrate surfaces are explained. Finally some examples of the latest results of research concerning plasma spraying of reactive materials are shown. 16 refs., 10 figs. (Author)

  8. Assessment of Plasma C-Reactive Protein as a Biomarker of PTSD Risk

    Science.gov (United States)

    Eraly, Satish A.; Nievergelt, Caroline M.; Maihofer, Adam X.; Barkauskas, Donald A.; Biswas, Nilima; Agorastos, Agorastos; O’Connor, Daniel T.; Baker, Dewleen G.; Team, MRS

    2014-01-01

    Importance Post-traumatic stress disorder (PTSD) has been associated in cross-sectional studies with peripheral inflammation. It is not known whether this observed association is due to PTSD predisposing to inflammation (as sometimes postulated) or to inflammation predisposing to PTSD. Objective To determine whether plasma concentration of the inflammatory marker, C-reactive protein (CRP), helps predict future PTSD symptoms. Design and Setting The Marine Resiliency Study (MRS), a prospective study of ~2,600 war zone-deployed Marines, during which PTSD symptomatology and various physiological and psychological parameters were determined pre-deployment and at approximately three and six months following a seven month deployment. Participants Subjects were recruited from four all-male infantry battalions imminently deploying to a war zone. Participation was requested of 2,978 subjects, of whom 2,610 (87.6%) consented and 2,555 (85.8%) were included in the current analysis. Post-deployment data on combat exposure were included from 2,215 subjects (86.7% of the 2,555 included subjects), and on PTSD symptomatology from 1,861 (72.8%) and 1,609 subjects (63.0%) at three and six months following deployment, respectively. Main Outcome Measure(s) PTSD symptoms three months after deployment, assessed by the Clinician Administered PTSD Scale (CAPS). Results We determined the effects of baseline plasma CRP concentration on post-deployment CAPS using Zero-inflated negative binomial regression (ZINBR), a procedure designed for distributions, such as CAPS in this study, which have an excess of zeros in addition to being positively skewed. Adjusting for baseline CAPS, trauma exposure, and other relevant covariates, we found baseline plasma CRP concentration to be a highly significant overall predictor of post-deployment CAPS scores (p=0.002): each 10-fold increment in CRP concentration was associated with an odds ratio of non-zero outcome (presence vs. absence of any PTSD symptoms

  9. PREFACE: 13th High-Tech Plasma Processes Conference (HTPP-2014)

    Science.gov (United States)

    2014-11-01

    The High-Tech Plasma Processes - 13th European Plasma Conference (HTPP-2014) was held in Toulouse (France) on 22-27 June 2014. The conference series started in 1990 as a thermal plasma conference and has gradually expanded to include other related topics. Now the High-Tech Plasma Processes - European Plasma Conference (HTPP) is an international conference organised in Europe every two years with topics encompassing the whole field of plasma processing science. The aim of the conference is to bring different scientific communities together, to facilitate contacts between science, technology and industry and to provide a platform for the exploration of both the fundamental topics and new applications of plasmas. For this edition of HTPP, as was the case for the last, we have acheived a well balanced participation from the communities of both thermal and non-thermal plasma researchers. 142 people from 17 countries attended the conference with the total number of contributions being 155, consisting of 8 plenary and 8 invited talks plus 51 oral and 88 poster contributions. We have received numerous papers corresponding to the contributions of HTPP-2014 that have been submitted for publication in this volume of Journal of Physics: Conference Series. Each submitted contribution has been peer reviewed (60 referees with at least two reviewing each paper) and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In total, 52 manuscripts have been accepted for publication covering a range of topics of plasma processing science from plasma fundamentals to process applications through to experiments, diagnostics and modelling. We have grouped the papers into the following 5 topics: - Arc-Materials Interaction and Metallurgy - Plasma Torches and Spraying - Synthesis of Powders and Nanomaterials - Deposition and Surface Treatment - Non-Equilibrium Plasmas We deeply thank the authors for their enthusiastic and high

  10. Physical processes in relativistic plasmas

    International Nuclear Information System (INIS)

    Svensson, R.

    1984-01-01

    The continuum emission in many active galactic nuclei (AGNs) extend to 100 keV and beyond (e.g. Rothschild et al. 1983). In thermal models of the continuum emission this implies temperatures above 10 9 K or kT of order mc 2 . In such a plasma the electrons are at least mildly relativistic and furthermore the particles and the photons are energetic enough to produce electron-positron pairs. The physics of such hot plasmas has only recently been studied in any detail and here we review the results of those studies. Significant electron-positron pair production may also occur in non-thermal models of the continuum emission if the optical depth to photon-photon pair production is greater than unity. We review the few results obtained regarding this interesting but not very well studied possibility. First, however, we briefly discuss the processes taking place in relativistic plasmas and the standard models for the continuum emission from AGNs. We then summarize the effects pair production have on these models and the observational implications of the presence of electron-positron pairs. (orig./WL)

  11. Atmospheric-pressure plasma jet

    Science.gov (United States)

    Selwyn, Gary S.

    1999-01-01

    Atmospheric-pressure plasma jet. A .gamma.-mode, resonant-cavity plasma discharge that can be operated at atmospheric pressure and near room temperature using 13.56 MHz rf power is described. Unlike plasma torches, the discharge produces a gas-phase effluent no hotter than 250.degree. C. at an applied power of about 300 W, and shows distinct non-thermal characteristics. In the simplest design, two concentric cylindrical electrodes are employed to generate a plasma in the annular region therebetween. A "jet" of long-lived metastable and reactive species that are capable of rapidly cleaning or etching metals and other materials is generated which extends up to 8 in. beyond the open end of the electrodes. Films and coatings may also be removed by these species. Arcing is prevented in the apparatus by using gas mixtures containing He, which limits ionization, by using high flow velocities, and by properly shaping the rf-powered electrode. Because of the atmospheric pressure operation, no ions survive for a sufficiently long distance beyond the active plasma discharge to bombard a workpiece, unlike low-pressure plasma sources and conventional plasma processing methods.

  12. Powder processing and spheroidizing with thermal inductively coupled plasma

    International Nuclear Information System (INIS)

    Nutsch, G.; Linke, P.; Zakharian, S.; Dzur, B.; Weiss, K.-H.

    2001-01-01

    Processing of advanced powder materials for the spraying industry is one of the most promising applications of the thermal RF inductively coupled plasma. By selecting the feedstock carefully and adjusting the RF plasma parameters, unique materials with high quality can be achieved. Powders injected in the hot plasma core emerge with modified shapes, morphology, crystal structure and chemical composition. Ceramic oxide powders such as Al 2 O 3 , ZrO 2 , SiO 2 are spheroidized with a high spheroidization rate. By using the RF induction plasma spheroidizing process tungsten melt carbide powders are obtained with a high spheroidization rate at high feeding rates by densification of agglomerated powders consisting of di-tungsten carbide and monocarbide with a definite composition. This kind of ball-like powders is particularly suited for wear resistant applications. (author)

  13. Process stabilization by peak current regulation in reactive high-power impulse magnetron sputtering of hafnium nitride

    International Nuclear Information System (INIS)

    Shimizu, T; Villamayor, M; Helmersson, U; Lundin, D

    2016-01-01

    A simple and cost effective approach to stabilize the sputtering process in the transition zone during reactive high-power impulse magnetron sputtering (HiPIMS) is proposed. The method is based on real-time monitoring and control of the discharge current waveforms. To stabilize the process conditions at a given set point, a feedback control system was implemented that automatically regulates the pulse frequency, and thereby the average sputtering power, to maintain a constant maximum discharge current. In the present study, the variation of the pulse current waveforms over a wide range of reactive gas flows and pulse frequencies during a reactive HiPIMS process of Hf-N in an Ar–N 2 atmosphere illustrates that the discharge current waveform is a an excellent indicator of the process conditions. Activating the reactive HiPIMS peak current regulation, stable process conditions were maintained when varying the N 2 flow from 2.1 to 3.5 sccm by an automatic adjustment of the pulse frequency from 600 Hz to 1150 Hz and consequently an increase of the average power from 110 to 270 W. Hf–N films deposited using peak current regulation exhibited a stable stoichiometry, a nearly constant power-normalized deposition rate, and a polycrystalline cubic phase Hf-N with (1 1 1)-preferred orientation over the entire reactive gas flow range investigated. The physical reasons for the change in the current pulse waveform for different process conditions are discussed in some detail. (paper)

  14. Metal droplet erosion and shielding plasma layer under plasma flows typical of transient processes in tokamaks

    Energy Technology Data Exchange (ETDEWEB)

    Martynenko, Yu. V., E-mail: Martynenko-YV@nrcki.ru [National Research Nuclear University “MEPhI” (Russian Federation)

    2017-03-15

    It is shown that the shielding plasma layer and metal droplet erosion in tokamaks are closely interrelated, because shielding plasma forms from the evaporated metal droplets, while droplet erosion is caused by the shielding plasma flow over the melted metal surface. Analysis of experimental data and theoretical models of these processes is presented.

  15. The emerging role of reactive oxygen and nitrogen species in redox biology and some implications for plasma applications to medicine and biology

    Science.gov (United States)

    Graves, David B.

    2012-07-01

    Reactive oxygen species (ROS) and the closely related reactive nitrogen species (RNS) are often generated in applications of atmospheric pressure plasmas intended for biomedical purposes. These species are also central players in what is sometimes referred to as ‘redox’ or oxidation-reduction biology. Oxidation-reduction biochemistry is fundamental to all of aerobic biology. ROS and RNS are perhaps best known as disease-associated agents, implicated in diabetes, cancer, heart and lung disease, autoimmune disease and a host of other maladies including ageing and various infectious diseases. These species are also known to play active roles in the immune systems of both animals and plants and are key signalling molecules, among many other important roles. Indeed, the latest research has shown that ROS/RNS play a much more complex and nuanced role in health and ageing than previously thought. Some of the most potentially profound therapeutic roles played by ROS and RNS in various medical interventions have emerged only in the last several years. Recent research suggests that ROS/RNS are significant and perhaps even central actors in the actions of antimicrobial and anti-parasite drugs, cancer therapies, wound healing therapies and therapies involving the cardiovascular system. Understanding the ways ROS/RNS act in established therapies may help guide future efforts in exploiting novel plasma medical therapies. The importance of ROS and RNS to plant biology has been relatively little appreciated in the plasma biomedicine community, but these species are just as important in plants. It appears that there are opportunities for useful applications of plasmas in this area as well.

  16. The emerging role of reactive oxygen and nitrogen species in redox biology and some implications for plasma applications to medicine and biology

    International Nuclear Information System (INIS)

    Graves, David B

    2012-01-01

    Reactive oxygen species (ROS) and the closely related reactive nitrogen species (RNS) are often generated in applications of atmospheric pressure plasmas intended for biomedical purposes. These species are also central players in what is sometimes referred to as ‘redox’ or oxidation-reduction biology. Oxidation-reduction biochemistry is fundamental to all of aerobic biology. ROS and RNS are perhaps best known as disease-associated agents, implicated in diabetes, cancer, heart and lung disease, autoimmune disease and a host of other maladies including ageing and various infectious diseases. These species are also known to play active roles in the immune systems of both animals and plants and are key signalling molecules, among many other important roles. Indeed, the latest research has shown that ROS/RNS play a much more complex and nuanced role in health and ageing than previously thought. Some of the most potentially profound therapeutic roles played by ROS and RNS in various medical interventions have emerged only in the last several years. Recent research suggests that ROS/RNS are significant and perhaps even central actors in the actions of antimicrobial and anti-parasite drugs, cancer therapies, wound healing therapies and therapies involving the cardiovascular system. Understanding the ways ROS/RNS act in established therapies may help guide future efforts in exploiting novel plasma medical therapies. The importance of ROS and RNS to plant biology has been relatively little appreciated in the plasma biomedicine community, but these species are just as important in plants. It appears that there are opportunities for useful applications of plasmas in this area as well. (topical review)

  17. Treatment of reactive process wastewater with high-level ammonia by blow-off method

    International Nuclear Information System (INIS)

    Chen Xiaotong; Quan Ying; Wang Yang; Fu Genna; Liu Bing; Tang Yaping

    2012-01-01

    The ceramic UO 2 kernels for nuclear fuel elements of high temperature gas cooled reactors were prepared through sol-gel process with uranyl nitrate, which produces process wastewater containing high-level ammonia and uranium. The blow-off method on a bench scale was investigated to remove ammonia from reactive wastewater. Under the optimized operating conditions, the ammonia can be removed by more than 95%, with little reactive uranium distilled. The effects of pH, heating temperature and stripping time were studied. Static tests with ion-exchange resin indicate that ammonia removal treatment increases uranium accumulation in anion exchange resin. (authors)

  18. Analysis of benzoquinone decomposition in solution plasma process

    International Nuclear Information System (INIS)

    Bratescu, M.A.; Saito, N.

    2016-01-01

    The decomposition of p-benzoquinone (p-BQ) in Solution Plasma Processing (SPP) was analyzed by Coherent Anti-Stokes Raman Spectroscopy (CARS) by monitoring the change of the anti-Stokes signal intensity of the vibrational transitions of the molecule, during and after SPP. Just in the beginning of the SPP treatment, the CARS signal intensities of the ring vibrational molecular transitions increased under the influence of the electric field of plasma. The results show that plasma influences the p-BQ molecules in two ways: (i) plasma produces a polarization and an orientation of the molecules in the local electric field of plasma and (ii) the gas phase plasma supplies, in the liquid phase, hydrogen and hydroxyl radicals, which reduce or oxidize the molecules, respectively, generating different carboxylic acids. The decomposition of p-BQ after SPP was confirmed by UV-visible absorption spectroscopy and liquid chromatography

  19. Analysis of benzoquinone decomposition in solution plasma process

    Science.gov (United States)

    Bratescu, M. A.; Saito, N.

    2016-01-01

    The decomposition of p-benzoquinone (p-BQ) in Solution Plasma Processing (SPP) was analyzed by Coherent Anti-Stokes Raman Spectroscopy (CARS) by monitoring the change of the anti-Stokes signal intensity of the vibrational transitions of the molecule, during and after SPP. Just in the beginning of the SPP treatment, the CARS signal intensities of the ring vibrational molecular transitions increased under the influence of the electric field of plasma. The results show that plasma influences the p-BQ molecules in two ways: (i) plasma produces a polarization and an orientation of the molecules in the local electric field of plasma and (ii) the gas phase plasma supplies, in the liquid phase, hydrogen and hydroxyl radicals, which reduce or oxidize the molecules, respectively, generating different carboxylic acids. The decomposition of p-BQ after SPP was confirmed by UV-visible absorption spectroscopy and liquid chromatography.

  20. Evaluation of plasma C-reactive protein levels in pregnant women with and without periodontal disease: A comparative study.

    Science.gov (United States)

    Sharma, Anupriya; Ramesh, Amitha; Thomas, Biju

    2009-09-01

    Circulating C-reactive protein (CRP) levels are a marker of systemic inflammation and are associated with periodontal disease, a chronic bacterial infection associated with elevation of proinflammatory cytokines and prostaglandins. CRP has been associated with adverse pregnancy outcomes, including preterm delivery, preeclampsia, and intrauterine growth restriction. Furthermore, periodontal disease has been associated with increased risk of preterm low birth weight, low birth weight, and preterm birth. The present study was conducted to assess plasma CRP levels in pregnant women with and without periodontal disease; to evaluate the effect of periodontal therapy on the incidence of preterm delivery; and to compare the incidence of preterm delivery in pregnant women with and without periodontal disease. A total of 90 pregnant women aged between 18-35 years with gestational age between 12-28 weeks were recruited and divided into three equal groups (control group, study group, treatment group) of 30 each. Blood samples were taken for estimation of C-reactive protein levels from all groups at 12-20 weeks of gestation, determined using ultrasensitive turbidimetric immunoassay (QUANTIA-CRP US). The treatment group comprised plaque control, scaling, and root planning and daily rinsing with 0.2% chlorhexidine mouth before 28 weeks of gestation. The mean value of C-reactive protein levels in subjects with periodontal disease was higher compared to control group i.e., 1.20 +/- 0.247 mg/dl and 1.22 +/- 0.250 mg/dl, respectively, compared to 0.713 +/- 0.139 mg/ dl (P = 0.001). The mean value of CRP levels before treatment was greater than the mean value after treatment i.e., 1.22 +/- 0.25 compared to 0.84 +/- 0.189 (P periodontal disease group (study group) compared to 8.3% in the control group (P = 0.001). The incidence of preterm delivery in the treatment group was 15.0% compared to 31.7% in the nontreatment group (study group). The findings from the study suggest that

  1. Influence of substrate pre-treatments by Xe{sup +} ion bombardment and plasma nitriding on the behavior of TiN coatings deposited by plasma reactive sputtering on 100Cr6 steel

    Energy Technology Data Exchange (ETDEWEB)

    Vales, S., E-mail: sandra.vales@usp.br [Universidade de São Paulo (USP), Escola de Engenharia de São Carlos, Av. Trabalhador São Carlense 400, São Carlos, SP CEP 13566-590 (Brazil); Brito, P., E-mail: ppbrito@gmail.com [Pontifícia Universidade Católica de Minas Gerais (PUC-MG), Av. Dom José Gaspar 500, 30535-901 Belo Horizonte, MG (Brazil); Pineda, F.A.G., E-mail: pipe8219@gmail.com [Universidade de São Paulo (USP), Escola de Engenharia de São Carlos, Av. Trabalhador São Carlense 400, São Carlos, SP CEP 13566-590 (Brazil); Ochoa, E.A., E-mail: abigail_ochoa@hotmail.com [Universidade Estadual de Campinas (UNICAMP), Campus Universitário Zeferino Vaz, Barão Geraldo, Campinas, SP CEP 13083-970 (Brazil); Droppa, R., E-mail: roosevelt.droppa@ufabc.edu.br [Universidade Federal do ABC (UFABC), Av. dos Estados, 5001, Santo André, SP CEP 09210-580 (Brazil); Garcia, J., E-mail: jose.garcia@sandvik.com [Sandvik Coromant R& D, Lerkrogsvägen 19, SE-12680, Stockholm (Sweden); Morales, M., E-mail: monieriz@gmail.com [Universidade Estadual de Campinas (UNICAMP), Campus Universitário Zeferino Vaz, Barão Geraldo, Campinas, SP CEP 13083-970 (Brazil); Alvarez, F., E-mail: alvarez@ifi.unicamp.br [Universidade Estadual de Campinas (UNICAMP), Campus Universitário Zeferino Vaz, Barão Geraldo, Campinas, SP CEP 13083-970 (Brazil); and others

    2016-07-01

    In this paper the influence of pre-treating a 100Cr6 steel surface by Xe{sup +} ion bombardment and plasma nitriding at low temperature (380 °C) on the roughness, wear resistance and residual stresses of thin TiN coatings deposited by reactive IBAD was investigated. The Xe{sup +} ion bombardment was carried out using a 1.0 keV kinetic energy by a broad ion beam assistance deposition (IBAD, Kaufman cell). The results showed that in the studied experimental conditions the ion bombardment intensifies nitrogen diffusion by creating lattice imperfections, stress, and increasing roughness. In case of the combined pre-treatment with Xe{sup +} ion bombardment and subsequent plasma nitriding, the samples evolved relatively high average roughness and the wear volume increased in comparison to the substrates exposed to only nitriding or ion bombardment. - Highlights: • Effect of Xe ion bombardment and plasma nitriding on TiN coatings was investigated. • Xe ion bombardment with 1.0 KeV increases nitrogen retention in plasma nitriding. • 1.0 KeV ion impact energy causes sputtering, thus increasing surface roughness. • TiN coating wear is minimum after plasma nitriding due to lowest roughness.

  2. Plasma synthesis of titanium nitride, carbide and carbonitride nanoparticles by means of reactive anodic arc evaporation from solid titanium

    International Nuclear Information System (INIS)

    Kiesler, D.; Bastuck, T.; Theissmann, R.; Kruis, F. E.

    2015-01-01

    Plasma methods using the direct evaporation of a transition metal are well suited for the cost-efficient production of ceramic nanoparticles. In this paper, we report on the development of a simple setup for the production of titanium-ceramics by reactive anodic arc evaporation and the characterization of the aerosol as well as the nanopowder. It is the first report on TiC X N 1 − X synthesis in a simple anodic arc plasma. By means of extensive variations of the gas composition, it is shown that the composition of the particles can be tuned from titanium nitride over a titanium carbonitride phase (TiC X N 1 − X ) to titanium carbide as proven by XRD data. The composition of the plasma gas especially a very low concentration of hydrocarbons around 0.2 % of the total plasma gas is crucial to tune the composition and to avoid the formation of free carbon. Examination of the particles by HR-TEM shows that the material consists mostly of cubic single crystalline particles with mean sizes between 8 and 27 nm

  3. Research on killing Escherichia Coli by reactive oxygen species based on strong ionization discharging plasma

    International Nuclear Information System (INIS)

    Li, Y J; Tian, Y P; Zhang, Z T; Li, R H; Cai, L J; Gao, J Y

    2013-01-01

    Reactive oxygen species solution produced by strong ionization discharging plasma was used to kill Escherichia coli by spraying. Several effect factors such as pH value, solution temperature, spraying time and exposure time were observed in this study, and their effects on killing rate of Escherichia coli were discussed and analysed. Results show that the treating efficiency of ROS solution for Escherichia coli is higher in alkaline solution than that in acid solution. The killing rate of Escherichia coli increases while the spraying time and exposure time are longer and the temperature is lower. The effects of different factors on killing rate of Escherichia coli are as follows: spraying time > pH value > exposure time > solution temperature.

  4. Statistical dynamics of transient processes in a gas discharge plasma

    International Nuclear Information System (INIS)

    Smirnov, G.I.; Telegin, G.G.

    1991-01-01

    The properties of a gas discharge plasma to a great extent depend on random processes whose study has recently become particularly important. The present work is concerned with analyzing the statistical phenomena that occur during the prebreakdown stage in a gas discharge. Unlike other studies of breakdown in the discharge gap, in which secondary electron effects and photon processes at the electrodes must be considered, here the authors treat the case of an electrodeless rf discharge or a laser photoresonant plasma. The analysis is based on the balance between the rates of electron generation and recombination in the plasma. The fluctuation kinetics for ionization of atoms in the hot plasma may also play an important role when the electron temperature changes abruptly, as occurs during adiabatic pinching of the plasma or during electron cyclotron heating

  5. Apparatus and method for plasma processing of SRF cavities

    Science.gov (United States)

    Upadhyay, J.; Im, Do; Peshl, J.; Bašović, M.; Popović, S.; Valente-Feliciano, A.-M.; Phillips, L.; Vušković, L.

    2016-05-01

    An apparatus and a method are described for plasma etching of the inner surface of superconducting radio frequency (SRF) cavities. Accelerator SRF cavities are formed into a variable-diameter cylindrical structure made of bulk niobium, for resonant generation of the particle accelerating field. The etch rate non-uniformity due to depletion of the radicals has been overcome by the simultaneous movement of the gas flow inlet and the inner electrode. An effective shape of the inner electrode to reduce the plasma asymmetry for the coaxial cylindrical rf plasma reactor is determined and implemented in the cavity processing method. The processing was accomplished by moving axially the inner electrode and the gas flow inlet in a step-wise way to establish segmented plasma columns. The test structure was a pillbox cavity made of steel of similar dimension to the standard SRF cavity. This was adopted to experimentally verify the plasma surface reaction on cylindrical structures with variable diameter using the segmented plasma generation approach. The pill box cavity is filled with niobium ring- and disk-type samples and the etch rate of these samples was measured.

  6. Novel process integration for biodiesel blend in membrane reactive divided wall (MRDW column

    Directory of Open Access Journals (Sweden)

    Sakhre Vandana

    2016-03-01

    Full Text Available The paper proposes a novel process integration for biodiesel blend in the Membrane assisted Reactive Divided Wall Distillation (MRDW column. Biodiesel is a green fuel and grade of biodiesel blend is B20 (% which consist of 20% biodiesel and rest 80% commercial diesel. Instead of commercial diesel, Tertiary Amyl Ethyl Ether (TAEE was used as an environment friendly fuel for blending biodiesel. Biodiesel and TAEE were synthesized in a pilot scale reactive distillation column. Dual reactive distillation and MRDW were simulated using aspen plus. B20 (% limit calculation was performed using feed flow rates of both TAEE and biodiesel. MRDW was compared with dual reactive distillation column and it was observed that MRDW is comparatively cost effective and suitable in terms of improved heat integration and flow pattern.

  7. Nonthermal Radiation Processes in Interplanetary Plasmas

    Science.gov (United States)

    Chian, A. C. L.

    1990-11-01

    RESUMEN. En la interacci6n de haces de electrones energeticos con plasmas interplanetarios, se excitan ondas intensas de Langmuir debido a inestabilidad del haz de plasma. Las ondas Langmuir a su vez interaccio nan con fluctuaciones de densidad de baja frecuencia para producir radiaciones. Si la longitud de las ondas de Langmujr exceden las condicio nes del umbral, se puede efectuar la conversi5n de modo no lineal a on- das electromagneticas a traves de inestabilidades parametricas. As se puede excitar en un plasma inestabilidades parametricas electromagneticas impulsadas por ondas intensas de Langmuir: (1) inestabilidades de decaimiento/fusi5n electromagnetica impulsadas por una bomba de Lang- muir que viaja; (2) inestabilidades dobles electromagneticas de decai- miento/fusi5n impulsadas por dos bombas de Langrnuir directamente opues- tas; y (3) inestabilidades de dos corrientes oscilatorias electromagne- ticas impulsadas por dos bombas de Langmuir de corrientes contrarias. Se concluye que las inestabilidades parametricas electromagneticas in- ducidas por las ondas de Langmuir son las fuentes posibles de radiacio- nes no termicas en plasmas interplanetarios. ABSTRACT: Nonthermal radio emissions near the local electron plasma frequency have been detected in various regions of interplanetary plasmas: solar wind, upstream of planetary bow shock, and heliopause. Energetic electron beams accelerated by solar flares, planetary bow shocks, and the terminal shock of heliosphere provide the energy source for these radio emissions. Thus, it is expected that similar nonthermal radiation processes may be responsible for the generation of these radio emissions. As energetic electron beams interact with interplanetary plasmas, intense Langmuir waves are excited due to a beam-plasma instability. The Langmuir waves then interact with low-frequency density fluctuations to produce radiations near the local electron plasma frequency. If Langmuir waves are of sufficiently large

  8. Plasma-enhanced atomic layer deposition of silicon dioxide films using plasma-activated triisopropylsilane as a precursor

    International Nuclear Information System (INIS)

    Jeon, Ki-Moon; Shin, Jae-Su; Yun, Ju-Young; Jun Lee, Sang; Kang, Sang-Woo

    2014-01-01

    The plasma-enhanced atomic layer deposition (PEALD) process was developed as a growth technique of SiO 2 thin films using a plasma-activated triisopropylsilane [TIPS, ((iPr) 3 SiH)] precursor. TIPS was activated by an argon plasma at the precursor injection stage of the process. Using the activated TIPS, it was possible to control the growth rate per cycle of the deposited films by adjusting the plasma ignition time. The PEALD technique allowed deposition of SiO 2 films at temperatures as low as 50 °C without carbon impurities. In addition, films obtained with plasma ignition times of 3 s and 10 s had similar values of root-mean-square surface roughness. In order to evaluate the suitability of TIPS as a precursor for low-temperature deposition of SiO 2 films, the vapor pressure of TIPS was measured. The thermal stability and the reactivity of the gas-phase TIPS with respect to water vapor were also investigated by analyzing the intensity changes of the C–H and Si–H peaks in the Fourier-transform infrared spectrum of TIPS

  9. Process for titanium powders spheroidization by RF induction plasma

    International Nuclear Information System (INIS)

    Gu Zhongtao; Ye Gaoying; Liu Chuandong; Tong Honghui

    2010-01-01

    Spherical titanium (Ti) particles were obtained by the process of heating irregularly shaped Ti powders under the radio frequency induction plasma (RF induction plasma) condition. The effect of feed rate, various dispersion methods and Ti particle size on the spheroidization efficiency was studied. The efficiency of the spheroidization is evaluated through the measurements of the percentage of powder spheroidized based on the electron microscopic observations and the tap density measurement of the processed powder. During the short flight of the particles in the plasma flow, of the order of a few milliseconds, the individual titanium particles of the powder are heated and melt, forming a spherical liquid droplet which upon freezing gives rise to the formation of a perfectly dense spherical solid particle. So RF induction plasma is a promising method for the preparation of spherical titanium powders with high flow ability. (authors)

  10. Characterization of Ni ferrites powders prepared by plasma arc discharge process

    Science.gov (United States)

    Safari, A.; Gheisari, Kh.; Farbod, M.

    2017-01-01

    The aim of this work was to synthesize a single-phase spinel structure from a mixture of zinc, iron and nickel powders by plasma arc discharge method. A mixture of zinc, iron and nickel powders with the appropriate molar ratio was prepared and formed into a cylindrical shape. The synthesis process was performed in air, oxygen and argon atmospheres with the applied arc current of 400 A and pressure of 1 atm. After establishing an arc between the electrodes, the produced powders were collected and their structure and magnetic properties were examined by XRD and VSM, respectively. ZnO as an impurity was appeared in the as-produced powders owing to the high reactivity of zinc atoms, preventing the formation of Ni-Zn ferrite. A pure spinel structure with the highest saturation magnetization (43.8 emu/g) was observed as zinc powders removed completely from the initial mixture. Morphological evaluations using field emission scanning electron microscopy showed that the mean size of fabricated nanoparticles was in the range 100-200 nm and was dependent on the production conditions.

  11. Determination of the electron energy distribution function of a low temperature plasma from optical emission spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Dodt, Dirk Hilar

    2009-01-05

    The experimental determination of the electron energy distribution of a low pressure glow discharge in neon from emission spectroscopic data has been demonstrated. The spectral data were obtained with a simple overview spectrometer and analyzed using a strict probabilistic, Bayesian data analysis. It is this Integrated Data Analysis (IDA) approach, which allows the significant extraction of non-thermal properties of the electron energy distribution function (EEDF). The results bear potential as a non-invasive alternative to probe measurements. This allows the investigation of spatially inhomogeneous plasmas (gradient length smaller than typical probe sheath dimensions) and plasmas with reactive constituents. The diagnostic of reactive plasmas is an important practical application, needed e.g. for the monitoring and control of process plasmas. Moreover, the experimental validation of probe theories for magnetized plasmas as a long-standing topic in plasma diagnostics could be addressed by the spectroscopic method. (orig.)

  12. Determination of the electron energy distribution function of a low temperature plasma from optical emission spectroscopy

    International Nuclear Information System (INIS)

    Dodt, Dirk Hilar

    2009-01-01

    The experimental determination of the electron energy distribution of a low pressure glow discharge in neon from emission spectroscopic data has been demonstrated. The spectral data were obtained with a simple overview spectrometer and analyzed using a strict probabilistic, Bayesian data analysis. It is this Integrated Data Analysis (IDA) approach, which allows the significant extraction of non-thermal properties of the electron energy distribution function (EEDF). The results bear potential as a non-invasive alternative to probe measurements. This allows the investigation of spatially inhomogeneous plasmas (gradient length smaller than typical probe sheath dimensions) and plasmas with reactive constituents. The diagnostic of reactive plasmas is an important practical application, needed e.g. for the monitoring and control of process plasmas. Moreover, the experimental validation of probe theories for magnetized plasmas as a long-standing topic in plasma diagnostics could be addressed by the spectroscopic method. (orig.)

  13. Signal processing methods for MFE plasma diagnostics

    International Nuclear Information System (INIS)

    Candy, J.V.; Casper, T.; Kane, R.

    1985-02-01

    The application of various signal processing methods to extract energy storage information from plasma diamagnetism sensors occurring during physics experiments on the Tandom Mirror Experiment-Upgrade (TMX-U) is discussed. We show how these processing techniques can be used to decrease the uncertainty in the corresponding sensor measurements. The algorithms suggested are implemented using SIG, an interactive signal processing package developed at LLNL

  14. A study of the potential of plasma processing in the chemical industry

    International Nuclear Information System (INIS)

    Estey, P.N.; Connolly, T.J.

    1984-01-01

    This work describes a systematic approach to determine the potential for plasma processing in the United States chemical industry. A model was developed that describes the physical inputs and outputs from a plasma based processing system. Based on these mass flows and the energy flows to the processor an economic assessment of the plasma processing system is made. This economic assessment which also includes the capital costs of the processor, can be used to determine if the plasma system is competitive with the conventional system

  15. Magnetic filter apparatus and method for generating cold plasma in semicoductor processing

    Science.gov (United States)

    Vella, Michael C.

    1996-01-01

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a "cold plasma" which is diffused in the region of the process surface while the ion implantation process takes place.

  16. Magnetic filter apparatus and method for generating cold plasma in semiconductor processing

    Science.gov (United States)

    Vella, M.C.

    1996-08-13

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a ``cold plasma`` which is diffused in the region of the process surface while the ion implantation process takes place. 15 figs.

  17. Atmospheric Pressure Plasma Processing for Polymer Adhesion: A Review

    DEFF Research Database (Denmark)

    Kusano, Yukihiro

    2014-01-01

    Atmospheric pressure plasma processing has attracted significant interests over decades due to its usefulness and a variety of applications. Adhesion improvement of polymer surfaces is among the most important applications of atmospheric pressure plasma treatment. Reflecting recent significant de...

  18. Collisional and radiative processes in high-pressure discharge plasmas

    Science.gov (United States)

    Becker, Kurt H.; Kurunczi, Peter F.; Schoenbach, Karl H.

    2002-05-01

    Discharge plasmas at high pressures (up to and exceeding atmospheric pressure), where single collision conditions no longer prevail, provide a fertile environment for the experimental study of collisions and radiative processes dominated by (i) step-wise processes, i.e., the excitation of an already excited atomic/molecular state and by (ii) three-body collisions leading, for instance, to the formation of excimers. The dominance of collisional and radiative processes beyond binary collisions involving ground-state atoms and molecules in such environments allows for many interesting applications of high-pressure plasmas such as high power lasers, opening switches, novel plasma processing applications and sputtering, absorbers and reflectors for electromagnetic waves, remediation of pollutants and waste streams, and excimer lamps and other noncoherent vacuum-ultraviolet light sources. Here recent progress is summarized in the use of hollow cathode discharge devices with hole dimensions in the range 0.1-0.5 mm for the generation of vacuum-ultraviolet light.

  19. Plasma and ion beam processing at Los Alamos

    International Nuclear Information System (INIS)

    Rej, D.J.; Davis, H.A.; Henins, I.

    1994-01-01

    Efforts are underway at Los Alamos National Laboratory to utilize plasma and intense ion beam science and technology of the processing of advanced materials. A major theme involves surface modification of materials, e.g., etching, deposition, alloying, and implantation. In this paper, we concentrate on two programs, plasma source ion implantation and high-intensity pulsed ion beam deposition

  20. SeSBench - An initiative to benchmark reactive transport models for environmental subsurface processes

    Science.gov (United States)

    Jacques, Diederik

    2017-04-01

    As soil functions are governed by a multitude of interacting hydrological, geochemical and biological processes, simulation tools coupling mathematical models for interacting processes are needed. Coupled reactive transport models are a typical example of such coupled tools mainly focusing on hydrological and geochemical coupling (see e.g. Steefel et al., 2015). Mathematical and numerical complexity for both the tool itself or of the specific conceptual model can increase rapidly. Therefore, numerical verification of such type of models is a prerequisite for guaranteeing reliability and confidence and qualifying simulation tools and approaches for any further model application. In 2011, a first SeSBench -Subsurface Environmental Simulation Benchmarking- workshop was held in Berkeley (USA) followed by four other ones. The objective is to benchmark subsurface environmental simulation models and methods with a current focus on reactive transport processes. The final outcome was a special issue in Computational Geosciences (2015, issue 3 - Reactive transport benchmarks for subsurface environmental simulation) with a collection of 11 benchmarks. Benchmarks, proposed by the participants of the workshops, should be relevant for environmental or geo-engineering applications; the latter were mostly related to radioactive waste disposal issues - excluding benchmarks defined for pure mathematical reasons. Another important feature is the tiered approach within a benchmark with the definition of a single principle problem and different sub problems. The latter typically benchmarked individual or simplified processes (e.g. inert solute transport, simplified geochemical conceptual model) or geometries (e.g. batch or one-dimensional, homogeneous). Finally, three codes should be involved into a benchmark. The SeSBench initiative contributes to confidence building for applying reactive transport codes. Furthermore, it illustrates the use of those type of models for different

  1. Plasma control using neural network and optical emission spectroscopy

    International Nuclear Information System (INIS)

    Kim, Byungwhan; Bae, Jung Ki; Hong, Wan-Shick

    2005-01-01

    Due to high sensitivity to process parameters, plasma processes should be tightly controlled. For plasma control, a predictive model was constructed using a neural network and optical emission spectroscopy (OES). Principal component analysis (PCA) was used to reduce OES dimensionality. This approach was applied to an oxide plasma etching conducted in a CHF 3 /CF 4 magnetically enhanced reactive ion plasma. The etch process was systematically characterized by means of a statistical experimental design. Three etch outputs (etch rate, profile angle, and etch rate nonuniformity) were modeled using three different approaches, including conventional, OES, and PCA-OES models. For all etch outputs, OES models demonstrated improved predictions over the conventional or PCA-OES models. Compared to conventional models, OES models yielded an improvement of more than 25% in modeling profile angle and etch rate nonuniformtiy. More than 40% improvement over PCA-OES model was achieved in modeling etch rate and profile angle. These results demonstrate that nonreduced in situ data are more beneficial than reduced one in constructing plasma control model

  2. Controlled fluoridation of amorphous carbon films deposited at reactive plasma conditions

    Directory of Open Access Journals (Sweden)

    Yoffe Alexander

    2015-09-01

    Full Text Available A study of the correlations between plasma parameters, gas ratios, and deposited amorphous carbon film properties is presented. The injection of a C4F8/Ar/N2 mixture of gases was successfully used in an inductively coupled plasma system for the preparation of amorphous carbon films with different fluoride doping at room-temperature, using silicon as a substrate. This coating was formed at low-pressure and low-energy using an inductively coupled plasma process. A strong dependence between the ratios of gases during deposition and the composition of the substrate compounds was shown. The values of ratios between Ar (or Ar+N2 and C4F8 - 1:1 and between N2 and Ar - 1:2 in the N2/Ar/C4F8 mixture were found as the best for low fluoridated coatings. In addition, an example of improving the etch-passivation in the Bosch procedure was described. Scanning electron microscopy with energy dispersive spectroscopy options, X-ray diffraction, and X-ray reflectivity were used for quantitative analysis of the deposited films.

  3. Solution processed organic light-emitting diodes using the plasma cross-linking technology

    Energy Technology Data Exchange (ETDEWEB)

    He, Kongduo [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Liu, Yang [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China); Gong, Junyi; Zeng, Pan; Kong, Xun; Yang, Xilu; Yang, Cheng; Yu, Yan [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Liang, Rongqing [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China); Ou, Qiongrong, E-mail: qrou@fudan.edu.cn [Department of Light Sources and Illuminating Engineering, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Shanghai 200433 (China)

    2016-09-30

    Highlights: • Mixed acetylene and Ar plasma treatment makes the organic film surface cross-linked. • The plasma treatment for 30 s does not affect the performance of OLEDs. • Cross-linking surface can resist rinsing and corrosion of organic solvent. • The surface morphology is nearly unchanged after plasma treatment. • The plasma cross-linking method can realize solution processed multilayer OLEDs. - Abstract: Solution processed multilayer organic light-emitting diodes (OLEDs) present challenges, especially regarding dissolution of the first layer during deposition of a second layer. In this work, we first demonstrated a plasma cross-linking technology to produce a solution processed OLED. The surfaces of organic films can be cross-linked after mixed acetylene and Ar plasma treatment for several tens of seconds and resist corrosion of organic solvent. The film thickness and surface morphology of emissive layers (EMLs) with plasma treatment and subsequently spin-rinsed with chlorobenzene are nearly unchanged. The solution processed triple-layer OLED is successfully fabricated and the current efficiency increases 50% than that of the double-layer OLED. Fluorescent characteristics of EMLs are also observed to investigate factors influencing the efficiency of the triple-layer OLED. Plasma cross-linking technology may open up a new pathway towards fabrication of all-solution processed multilayer OLEDs and other soft electronic devices.

  4. Modeling and simulation of plasma materials processing devices

    International Nuclear Information System (INIS)

    Graves, D.B.

    1996-01-01

    Plasma processing has emerged as a central technology in the manufacture of integrated circuits (ICs) and related industries. These plasmas are weakly to partially ionized gases, typically operated at a few to several hundred mTorr gas pressure, with neutral temperatures ranging from room temperature to 500 degrees K. Electron mean energies are typically a few eV and ion energies in the bulk plasma are about 0.05-0.5 eV. Positive ions axe accelerated in the sheaths to impact surfaces with energies ranging from about 10 eV to hundreds of eV. These energetic ions profoundly affect rates of surface chemical reactions. One of the consequences of the recent rapid growth in the IC industry has been a greater focus on manufacturing productivity. The capital costs of equipment that is used in manufacturing IC's has become a large fraction of the ∼ $1 billion cost of building a wafer fab. There is now a strong economic incentive to develop workstation-based simulations of plasma chemical reactors in order to design, optimize and control plasma reactors. I will summarize efforts to develop such models, including electromagnetic coupling, and transport and kinetics of charged and neutral species. Length and time scale disparities in the plasma tool challenge current simulation approaches, and I will address strategies to attack aspects of this problem. In addition, I will present some of our recent efforts to exploit molecular dynamics simulations employing empirical potentials to get hints about qualitative mechanisms and ideas on how to formulate rate expressions for plasma-surface chemical processes. Video illustrations of selected sets of ion trajectories impacting near-surface regions of the substrate will be presented

  5. Comparison between the water activation effects by pulsed and sinusoidal helium plasma jets

    Science.gov (United States)

    Xu, Han; Liu, Dingxin; Xia, Wenjie; Chen, Chen; Wang, Weitao; Liu, Zhijie; Wang, Xiaohua; Kong, Michael G.

    2018-01-01

    Comparisons between pulsed and sinusoidal plasma jets have been extensively reported for the discharge characteristics and gaseous reactive species, but rarely for the aqueous reactive species in water solutions treated by the two types of plasma jets. This motivates us to compare the concentrations of aqueous reactive species induced by a pulsed and a sinusoidal plasma jet, since it is widely reported that these aqueous reactive species play a crucial role in various plasma biomedical applications. Experimental results show that the aqueous H2O2, OH/O2-, and O2-/ONOO- induced by the pulsed plasma jet have higher concentrations, and the proportional difference increases with the discharge power. However, the emission intensities of OH(A) and O(3p5P) are higher for the sinusoidal plasma jet, which may be attributed to its higher gas temperature since more water vapor could participate in the plasma. In addition, the efficiency of bacterial inactivation induced by the pulsed plasma jet is higher than that for the sinusoidal plasma jet, in accordance with the concentration relation of aqueous reactive species for the two types of plasma jets.

  6. Optimization of time on CF_4/O_2 etchant for inductive couple plasma reactive ion etching of TiO_2 thin film

    International Nuclear Information System (INIS)

    Adzhri, R.; Fathil, M. F. M.; Ruslinda, A. R.; Gopinath, Subash C. B.; Voon, C. H.; Foo, K. L.; Nuzaihan, M. N. M.; Azman, A. H.; Zaki, M.; Arshad, M. K. Md.; Hashim, U.; Ayub, R. M.

    2016-01-01

    In this work, we investigate the optimum etching of titanium dioxide (TiO_2) using inductive couple plasma reactive ion etching (ICP-RIE) on our fabricated devices. By using a combination of CF_4/O_2 gases as plasma etchant with ratio of 3:1, three samples of TiO_2 thin film were etched with different time duration of 10 s, 15 s and 20 s. The ion bombardment of CF_4 gases with plasma enhancement by O_2 gas able to break the oxide bond of TiO_2 and allow anisotropic etch profile with maximum etch rate of 18.6 nm/s. The sample was characterized by using optical profilometer to determine the depth of etched area and scanning electron microscopy (SEM) for etch profile characterization.

  7. 14th High-Tech Plasma Processes Conference (HTPP 14)

    Science.gov (United States)

    2017-04-01

    Preface The High-Tech Plasma Processes Conference (HTPP) is a bi-annual international conference based in Europe with topics encompassing the whole area of plasma processing science. This conference is open to all the international community in the world involved in plasma science and plasma technology. The aim of the conference is to bring different scientific communities together, facilitate the contacts between science, technology and industry and provide a platform for the exploration of both fundamental topics and new applications of plasmas. For this edition of HTPP, as was the case for the last, we have achieved a well balanced participation from the communities of both thermal and non-thermal plasma researchers. 75 people from 17 countries attended the conference with the total number of contributions being 74, consisting of 19 invited talks and 55 poster contributions. As a HTPP tradition a poster competition has been carried out during the conference. The winner of the poster competition was Fabrice Mavier from Université de Limoges, France with his paper “Pulsed arc plasma jet synchronized with drop-on-demand dispenser” All the participants also ejoyed the social program including an “unconventional” tour of the city, the visit to the famous Hofbräuhaus and the dinner at the Blutenburg, a beautiful inner-city castle. We have received papers corresponding to the contributions of HTPP-2014 that have been submitted for publication in this volume of Journal of Physics: Conference Series. Each submitted contribution has been peer reviewed and the Editors are very grateful to the referees for their careful support in improving the original manuscripts. In total, 18 manuscripts have been accepted for publication covering a range of topics of plasma processing science from plasma fundamentals to process applications through to experiments, diagnostics and modelling. We deeply thank the authors for their enthusiastic and high-grade contributions and we

  8. Electron-beam generated plasmas for processing applications

    Science.gov (United States)

    Meger, Robert; Leonhardt, Darrin; Murphy, Donald; Walton, Scott; Blackwell, David; Fernsler, Richard; Lampe, Martin; Manheimer, Wallace

    2001-10-01

    NRL's Large Area Plasma Processing System (LAPPS) utilizes a 5-10 mA/cm^2, 2-4 kV, 1 cm x 30-60 cm cross section beam of electrons guided by a magnetic field to ionize a low density (10-100 mTorr) gas.[1] Beam ionization allows large area, high density, low temperature plasmas to be generated in an arbitrary gas mixture at a well defined location. Energy and composition of particle fluxes to surfaces on both sides of the plasma can be controlled by gas mixture, location, rf bias, and other factors. Experiments have been performed using both pulsed and cw beams. Extensive diagnostics (Langmuir probes, mass and ion energy analyzers, optical emissions, microwave interferometry, etc.) have been fielded to measure the plasma properties and neutral particle fluxes (ions, neutrals, free radicals) with and without rf bias on nearby surfaces both with the beam on and off. Uniform, cold (Te < 1eV), dense (ne 10^13 cm-3) plasmas in molecular and atomic gases and mixtures thereof have been produced in agreement with theoretical expectations. Initial tests of LAPPS application such as ashing, etching, sputtering, and diamond growth have been performed. Program status will be presented. [1]R.A. Meger, et al, Phys. of Plasmas 8(5), p. 2558 (2001)

  9. Reactive transport modeling of coupled inorganic and organic processes in groundwater

    Energy Technology Data Exchange (ETDEWEB)

    Brun, Adam

    1997-12-31

    The main goals of this project are to develop and apply a reactive transport code for simulation of coupled organic and inorganic processes in the pollution plume in the ground water down-gradient from the Vejen landfill, Denmark. The detailed field investigations in this aquifer have previously revealed a complex pattern of strongly interdependent organic and inorganic processes. These processes occur simultaneously in a flow and transport system where the mixing of reactive species is influenced by the rather complex geology in the vicinity of the landfill. The removal of organic matter is influenced by the presence of various electron acceptors that also are involved in various inorganic geochemical reactions. It was concluded from the investigations that degradation of organic matter, complexation, mineral precipitation and dissolution, ion-exchange and inorganic redox reactions, as a minimum, should be included in the formulation of the model. The coupling of the organic and inorganic processes is developed based on a literature study. All inorganic processes are as an approximation described as equilibriumm processes. The organic processes are described by a maximum degradation rate that is decreased according to the availability of the participants in the processes, the actual pH, and the presence of inhibiting species. The reactive transport code consists of three separate codes, a flow and transport code, a geochemical code, and a biodegradation code. An iterative solution scheme couples the three codes. The coupled code was successfully verified for simple problems for which analytical solutions exist. For more complex problems the code was tested on synthetic cases and expected plume behavior was successfully simulated. Application of the code to the Vejen landfill aquifer was successful to the degree that the redox zonation down-gradient from the landfill was simulated correctly and that several of the simulated plumes showed a reasonable agreement with

  10. In situ Raman spectroscopy for growth monitoring of vertically aligned multiwall carbon nanotubes in plasma reactor

    Energy Technology Data Exchange (ETDEWEB)

    Labbaye, T.; Gaillard, M.; Lecas, T.; Kovacevic, E.; Boulmer-Leborgne, Ch.; Guimbretière, G. [GREMI, Université-CNRS, BP6744, 45067 Orléans Cedex 2 (France); Canizarès, A.; Raimboux, N.; Simon, P.; Ammar, M. R., E-mail: mohamed-ramzi.ammar@cnrs-orleans.fr [CNRS, CEMHTI UPR3079, Univ. Orléans, F-45071 Orléans Cedex 2 (France); Strunskus, T. [Institute of Material Science, Chritian-Albrechts-University of Kiel, D-24143 Kiel (Germany)

    2014-11-24

    Portable and highly sensitive Raman setup was associated with a plasma-enhanced chemical vapor deposition reactor enabling in situ growth monitoring of multi-wall carbon nanotubes despite the combination of huge working distance, high growth speed and process temperature and reactive plasma condition. Near Edge X-ray absorption fine structure spectroscopy was used for ex situ sample analysis as a complementary method to in situ Raman spectroscopy. The results confirmed the fact that the “alternating” method developed here can accurately be used for in situ Raman monitoring under reactive plasma condition. The original analytic tool can be of great importance to monitor the characteristics of these nanostructured materials and readily define the ultimate conditions for targeted results.

  11. PLASMA EMISSION BY WEAK TURBULENCE PROCESSES

    Energy Technology Data Exchange (ETDEWEB)

    Ziebell, L. F.; Gaelzer, R. [Instituto de Física, UFRGS, Porto Alegre, RS (Brazil); Yoon, P. H. [Institute for Physical Science and Technology, University of Maryland, College Park, MD (United States); Pavan, J., E-mail: luiz.ziebell@ufrgs.br, E-mail: rudi.gaelzer@ufrgs.br, E-mail: yoonp@umd.edu, E-mail: joel.pavan@ufpel.edu.br [Instituto de Física e Matemática, UFPel, Pelotas, RS (Brazil)

    2014-11-10

    The plasma emission is the radiation mechanism responsible for solar type II and type III radio bursts. The first theory of plasma emission was put forth in the 1950s, but the rigorous demonstration of the process based upon first principles had been lacking. The present Letter reports the first complete numerical solution of electromagnetic weak turbulence equations. It is shown that the fundamental emission is dominant and unless the beam speed is substantially higher than the electron thermal speed, the harmonic emission is not likely to be generated. The present findings may be useful for validating reduced models and for interpreting particle-in-cell simulations.

  12. Treatment of methyl orange by nitrogen non-thermal plasma in a corona reactor: The role of reactive nitrogen species

    Energy Technology Data Exchange (ETDEWEB)

    Cadorin, Bruno Mena, E-mail: brunomenacadorin@gmail.com [Department of Chemistry, Universidade Federal de Santa Catarina (Brazil); Tralli, Vitor Douglas [Department of Chemistry, Universidade Federal de Santa Catarina (Brazil); Ceriani, Elisa [Department of Chemical Sciences, Università di Padova (Italy); Benetoli, Luís Otávio de Brito [Department of Chemistry, Universidade Federal de Santa Catarina (Brazil); Marotta, Ester, E-mail: ester.marotta@unipd.it [Department of Chemical Sciences, Università di Padova (Italy); Ceretta, Claudio [Department of Industrial Engineering, Università di Padova (Italy); Debacher, Nito Angelo [Department of Chemistry, Universidade Federal de Santa Catarina (Brazil); Paradisi, Cristina [Department of Chemical Sciences, Università di Padova (Italy)

    2015-12-30

    Highlights: • Nitration of methyl orange is one of the main processes in treatment with N{sub 2}-plasma. • MS/MS analysis shows preferred nitration of methyl orange in ortho position. • N{sub 2} plasma, N{sub 2}-PAW, reaction with NO{sub 2}{sup −} or NO{sub 2}{sup −}/H{sub 2}O{sub 2} at pH 2 give the same products. - Abstract: Methyl orange (MO) azo dye served as model organic pollutant to investigate the role of reactive nitrogen species (RNS) in non-thermal plasma (NTP) induced water treatments. The results of experiments in which MO aqueous solutions were directly exposed to N{sub 2}-NTP are compared with those of control experiments in which MO was allowed to react with nitrite, nitrate and hydrogen peroxide, which are species formed in water exposed to N{sub 2}-NTP. Treatment of MO was also performed in PAW, Plasma Activated Water, that is water previously exposed to N{sub 2}-NTP. Both direct N{sub 2}-NTP and N{sub 2}-PAW treatments induced the rapid decay of MO. No appreciable reaction was instead observed when MO was treated with NO{sub 3}{sup −} and H{sub 2}O{sub 2} either under acidic or neutral pH. In contrast, in acidic solutions MO decayed rapidly when treated with NO{sub 2}{sup −} and with a combination of NO{sub 2}{sup −} and H{sub 2}O{sub 2}. Thorough product analysis was carried out by HPLC coupled with UV–vis and ESI–MS/MS detectors. In all experiments in which MO reaction was observed, the major primary product was a derivative nitro-substituted at the ortho position with respect to the N,N-dimethylamino group of MO. The reactions of RNS are discussed and a mechanism for the observed nitration products is proposed.

  13. Application of laser fluorescence spectroscopy by two-photon excitation into atomic hydrogen density measurement in reactive plasmas

    International Nuclear Information System (INIS)

    Kajiwara, Toshinori; Takeda, Kazuyuki; Kim, Hee Je; Park, Won Zoo; Muraoka, Katsunori; Akazaki, Masanori; Okada, Tatsuo; Maeda, Mitsuo.

    1990-01-01

    Density profiles of hydrogen atoms in reactive plasmas of hydrogen and methane gases were measured, for the first time, using the laser fluorescence spectroscopy by two-photon excitation of Lyman beta transition and observation at the Balmer alpha radiation. Absolute density determinations showed atomic densities of around 3 x 10 17 m -3 , or the degree of dissociation to be 10 -4 . Densities along the axis perpendicular to the RF electrode showed peaked profiles, which were due to the balance of atomic hydrogen production by electron impact on molecules against diffusion loss to the walls. (author)

  14. Temporal development of the composition of Zr and Cr cathodic arc plasma streams in a N2 environment

    International Nuclear Information System (INIS)

    Rosen, Johanna; Anders, Andre; Hultman, Lars; Schneider, Jochen M.

    2003-01-01

    We describe the temporal development of the plasma composition in a pulsed plasma stream generated by cathodic arc. Cathodes of Zr and Cr were operated at various nitrogen pressures. The time-resolved plasma composition for the cathode materials was analyzed with time-of-flight charge-to-mass spectrometry, and was found to be a strong function of the nitrogen pressure. Large plasma composition gradients were detected within the first 60 μs of the pulse, the nitrogen ion concentration increasing with increasing pressure. The results are explained by the formation and erosion of a compound layer formed at the cathode surface in the presence of a reactive gas. The average charge state was also found to be affected by the reactive gas pressure as well as by the time after ignition. The charge states were highest in the beginning of the pulse at low nitrogen pressure, decreasing to a steady-state value at higher pressure. These results are of importance for reactive plasma processing and for controlling the evolution of thin film composition and microstructure

  15. The trade-offs of emotional reactivity for youths’ social information processing in the context of maternal depression

    Directory of Open Access Journals (Sweden)

    Megan eFlynn

    2012-07-01

    Full Text Available Although research demonstrates that emotional experiences can influence cognitive processing, little is known about individual differences in this association, particularly in youth. The present study examined how the emotional backdrop of the caregiving environment, as reflected in exposure to maternal depression and anxiety, was linked to biases in youths’ cognitive processing of mother-referent information. Further, we investigated whether this association differed according to variation in youths’ emotional reactivity to stress. Youth (50 boys, 46 girls; M age = 12.36, SD = 1.05 completed a behavioral task assessing cognitive bias. Semi-structured interviews were administered to assess (a youths’ emotional reactivity to naturally occurring stressors, and (b maternal depression and anxiety. Hierarchical multiple regression analyses revealed that emotional reactivity to interpersonal stressors moderated the linkage between maternal depression and cognitive bias such that maternal depression predicted a greater negative bias in youth exhibiting high and average, but not low, levels of emotional reactivity. At low levels of maternal depression, youth with heightened interpersonal emotional reactivity showed a greater positive cognitive bias. This pattern of effects was specific to interpersonal (but not noninterpersonal emotional reactivity and to maternal depression (but not anxiety. These findings illuminate one personal characteristic of youth that moderates emotion-cognition linkages, and reveal that emotional reactivity both enhances and impairs youths’ cognitive processing as a function of socialization context.

  16. In situ resistance measurements of bronze process Nb-Sn-Cu-Ta multifilamentary composite conductors during reactive diffusion

    International Nuclear Information System (INIS)

    Tan, K S; Hopkins, S C; Glowacki, B A; Majoros, M; Astill, D

    2004-01-01

    The conditions under which the Nb 3 Sn intermetallic layer is formed by solid-state reactive diffusion processes in bronze process multifilamentary conductors greatly influence the performance of the conductors. By convention, isothermal heat treatment is used and often causes non-uniformity of A15 layers formed across the wire. Therefore, characterization and optimization of the conductor during the reactive diffusion processes is crucial in order to improve the overall conductor's performance. In this paper, a different characterization approach and perhaps an optimization technique is presented, namely in situ resistance measurement by an alternating current (AC) method. By treating the components of such multifilamentary wires as a set of parallel resistors, the resistances of the components may be combined using the usual rules for resistors in parallel. The results show that the resistivity of the entire wire changes significantly during the reactive diffusion processes. The development of the Nb 3 Sn layer in bronze process Nb-Sn-Cu-Ta multifilamentary wires at different stages of the reactive diffusion processes has been monitored using measured resistivity changes, and correlated with results from DTA, ACS, SEM and EDS

  17. Interactions between plasma-treated carbon nanotubes and electrically neutral materials

    Science.gov (United States)

    Ogawa, Daisuke; Nakamura, Keiji

    2014-10-01

    A plasma treatment can create dangling bonds on the surface of carbon nanotubes (CNTs). The dangling bonds are so reactive that the bonds possibly interact with other neutral species even out of the plasma if the lifetime of the bonds is effectively long. In order to have good understandings with the interactions, we placed multi-wall CNTs (MWCNTs) in atmospheric dielectric barrier discharge that was created in a closed environment with the voltage at 5 kV. We set 50 W for the operating power and 15 minutes for the process time for this plasma treatment. Our preliminary results showed that the reaction between dangling bonds and neutrals likely occurred in the situation when CNTs were treated with argon plasma, and then exposed in a nitrogen-rich dry box. We did Fourier transform infrared (FTIR) spectroscopy after the treatments. The measurement showed that the spectrum with plasma-treated CNTs was different from pristine CNTs. This is an indication that the plasma-treated CNTs have reactive cites on the surface even after the discharge (~ minutes), and then the CNTs likely reacted with the neutral species that causes the different spectrum. In this poster, we will show more details from our results and further progresses from this research.

  18. Solar terrestrial coupling through space plasma processes

    International Nuclear Information System (INIS)

    Birn, J.

    2000-01-01

    This is the final report of a three-year, Laboratory-Directed Research and Development (LDRD) project at the Los Alamos National Laboratory (LANL). The project investigates plasma processes that govern the interaction between the solar wind, charged particles ejected from the sun, and the earth's magnetosphere, the region above the ionosphere governed by the terrestrial magnetic field. Primary regions of interest are the regions where different plasma populations interact with each other. These are regions of particularly dynamic plasma behavior, associated with magnetic flux and energy transfer and dynamic energy release. The investigations concerned charged particle transport and energization, and microscopic and macroscopic instabilities in the magnetosphere and adjacent regions. The approaches combined space data analysis with theory and computer simulations

  19. Plasma spray technology process parameters and applications

    International Nuclear Information System (INIS)

    Sreekumar, K.P.; Karthikeyan, J.; Ananthapadmanabhan, P.V.; Venkatramani, N.; Chatterjee, U.K.

    1991-01-01

    The current trend in the structural design philosophy is based on the use of substrate with the necessary mechanical properties and a thin coating to exhibit surface properties. Plasma spray process is a versatile surface coating technique which finds extensive application in meeting advance technologies. This report describes the plasma spray technique and its use in developing coatings for various applications. The spray system is desribed in detail including the different variables such as power input to the torch, gas flow rate, powder properties, powder injection, etc. and their interrelation in deciding the quality of the coating. A brief write-up on the various plasma spray coatings developed for different applications is also included. (author). 15 refs., 15 figs., 2 tabs

  20. The action of red wine and purple grape juice on vascular reactivity is independent of plasma lipids in hypercholesterolemic patients

    OpenAIRE

    Coimbra, S.R.; Lage, S.H.; Brandizzi, L.; Yoshida, V.; da Luz, P.L.

    2005-01-01

    Although red wine (RW) reduces cardiovascular risk, the mechanisms underlying the effect have not been identified. Correction of endothelial dysfunction by RW flavonoids could be one mechanism. We measured brachial artery reactivity by high-resolution ultrasonography, plasma lipids, glucose, adhesion molecules (ICAM-1 and VCAM), and platelet function in 16 hypercholesterolemic individuals (8 men and 8 women; mean age 51.6 ± 8.1 years) without other risk factors. Twenty-four normal subjects we...

  1. Production method of hydrogen jet plasma process in hydro machinery

    International Nuclear Information System (INIS)

    Amini, F.

    2007-01-01

    The purpose of present paper is to the process of plasma formation in hydro machinery when a hydro turbine operates at various conditions and load rejection. By investigation the power, shock pressure , and impact effects of hydro machinery, it is revealed that energy and hydrogen are generated by the plasma process. The investigation on several turbines of various hydro power plants reveals that cold fusion process in hydro machinery generates hydrogen. The hypothesis concerning the participation of alkaline metals in river water and the atomic nuclei of the runner blade material in the formation of hydrogen are considered. It is possible to assume hydrogen, deuterium, helium, and tritium atoms (based on Dr. Mizuno and Dr. Kanarev theories) that are formed, diffuse into cavitation bubbles. The plasma is generated during the collapse of the bubble; thus, the quantity of burnt hydrogen determine the volume of generating hydrogen and the impact force caused by hydrogen explosion (noise).There are five main notions, which can determine hydrogen and plasma process: (1) turbine power effect, (2) high shock pressure, (3) crack on turbine parts, (4) impacts effects and (4) the lift of rotating parts. The frequency of the excitation lies in a range from 0.786 to 1.095 Hz.In future, it may be possible to design hydro turbines based on the plasma process that generates hydrogen; or there may exist turbines that rotate with a mixture of hydrogen explosion and water energies

  2. Preoperative plasma plasminogen activator inhibitor type-1 and serum C-reactive protein levels in patients with colorectal cancer. The RANX05 Colorectal Cancer Study Group

    DEFF Research Database (Denmark)

    Nielsen, Hans Jørgen; Christensen, Ib Jarle; Sørensen, Steen

    2000-01-01

    study we analyzed the association between plasma PAI-1 and serum CRP in patients scheduled for elective resection of colorectal cancer. In addition, the prognostic value of PAI-1 and CRP was studied in this patient cohort. METHODS: PAI-1 and CRP were analyzed in citrated plasma and serum, respectively......, excluding patients with Dukes' D disease showed serum CRP to be an independent prognostic variable (P study did not show a strong correlation between plasma PAI-1 and serum CRP in patients with colorectal cancer. Serum CRP was found to be a Dukes......BACKGROUND: Preoperative plasma plasminogen activator inhibitor-1 (PAI-1) is a prognostic variable in patients with colorectal cancer. It has been suggested, however, that plasma PAI-1 is a nonspecific prognostic parameter similar to the acute-phase reactant C-reactive protein (CRP). In the present...

  3. Study of Pulsed vs. RF Plasma Properties for Surface Processing Applications

    Science.gov (United States)

    Tang, Ricky; Hopkins, Matthew; Barnat, Edward; Miller, Paul

    2015-09-01

    The ability to manipulate the plasma parameters (density, E/N) was previously demonstrated using a double-pulsed column discharge. Experiments extending this to large-surface plasmas of interest to the plasma processing community were conducted. Differences between an audio-frequency pulsed plasma and a radio-frequency (rf) discharge, both prevalent in plasma processing applications, were studied. Optical emission spectroscopy shows higher-intensity emission in the UV/visible range for the pulsed plasma comparing to the rf plasma at comparable powers. Data suggest that the electron energy is higher for the pulsed plasma leading to higher ionization, resulting in increased ion density and ion flux. Diode laser absorption measurements of the concentration of the 1S5 metastable and 1S4 resonance states of argon (correlated with the plasma E/N) provide comparisons between the excitation/ionization states of the two plasmas. Preliminary modeling efforts suggest that the low-frequency polarity switch causes a much more abrupt potential variation to support interesting transport phenomena, generating a ``wave'' of higher temperature electrons leading to more ionization, as well as ``sheath capture'' of a higher density bolus of ions that are then accelerated during polarity switch.

  4. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    Science.gov (United States)

    Rawat, R. S.

    2015-03-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 1010 J/m3. The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  5. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    International Nuclear Information System (INIS)

    Rawat, R S

    2015-01-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 10 10 J/m 3 . The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I 4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  6. Dynamic modeling of the isoamyl acetate reactive distillation process

    Directory of Open Access Journals (Sweden)

    Ali Syed Sadiq

    2017-03-01

    Full Text Available The cost-effectiveness of reactive distillation (RD processes makes them highly attractive for industrial applications. However, their preliminary design and subsequent scale-up and operation are challenging. Specifically, the response of RD system during fluctuations in process parameters is of paramount importance to ensure the stability of the whole process. As a result of carrying out simulations using Aspen Plus, it is shown that the RD process for isoamyl acetate production was much more economical than conventional reactor distillation configuration under optimized process conditions due to lower utilities consumption, higher conversion and smaller sizes of condenser and reboiler. Rigorous dynamic modeling of RD system was performed to evaluate its sensitivity to disturbances in critical process parameters; the product flow was quite sensitive to disturbances. Even more sensitive was product composition when the disturbance in heat duties of condenser or reboiler led to a temperature decrease. However, positive disturbance in alcohol feed is of particular concern, which clearly made the system unstable.

  7. Developments in plasma enhanced spatial ALD for high throughput applications [3.04

    NARCIS (Netherlands)

    Creyghton, Y.; Illiberi, A.; Mione, M.; Boekel, W. van; Debernardi, N.; Seitz, M.; Bruele, F. van den; Poodt, P.; Roozeboom,F.

    2016-01-01

    Atomic layer deposition by means of spatial separation of reactive gases is emerging as an industrial manufacturing technology. Integration of non-thermal plasma in spatial ALD machines will further expand the process window towards lower operation temperatures and specific materials requiring

  8. Processes for forming exoergic structures with the use of a plasma and for producing dense refractory bodies of arbitrary shape therefrom

    International Nuclear Information System (INIS)

    Holt, J.B.; Kelly, M.D.

    1990-01-01

    Plasma spraying methods of forming exoergic structures and coatings, as well as exoergic structures produced by such methods, are presented. The methods include the plasma spraying of reactive exoergic materials that are capable of sustaining a combustion synthesis reaction onto a flat substrate or into molds of arbitrary shape and igniting the plasma sprayed materials, either under an inert gas pressure or not, to form refractory materials of varying densities and of varying shapes

  9. A microwave interferometer for density measurement and stabilization in process plasmas

    International Nuclear Information System (INIS)

    Pearson, D.I.C.; Campbell, G.A.; Domier, C.W.

    1988-01-01

    A low-cost heterodyne microwave interferometer system capable of measuring and/or controlling the plasma density over a dynamic range covering two orders of magnitude is demonstrated. The microwave frequency is chosen to match the size and density of plasma to be monitored. Large amplitude, high frequency fluctuations can be quantitatively followed and the longer-time-scale density can be held constant over hours of operation, for example during an inline production process to maintain uniformity and stoichiometry of films. A linear relationship is shown between plasma density and discharge current in a specific plasma device. This simple relationship makes control of the plasma straightforward using the interferometer as a density monitor. Other plasma processes could equally well benefit from such density control capability. By combining the interferometer measurement with diagnostics such as probes or optical spectroscopy, the total density profile and the constituent proportions of the various species in the plasma could be determined

  10. Modeling of subtle kinetic processes in plasma simulation

    International Nuclear Information System (INIS)

    Sydora, R.D.; Decyk, V.K.; Dawson, J.M.

    1988-01-01

    A new diagnostic method for plasma simulation models is presented which enables one to probe the subtle dielectric properties of the plasma medium. The procedure involves the removal of the background plasma response in order to isolate the effects of small perturbing influences which are externally added. We have found the technique accurately describes fundamental kinetic plasma behavior such as the shielding of individual test charges and currents. Wave emission studies and drag of test particles has been carried out in explicit particle algorithms as well as large time step implicit and gyrokinetic models. Accurate plasma behavior is produced and it is possible to investigate in detail, processes which can be compared with plasma kinetic theory. The technique of subtraction is not only limited to particle simulation models but also can be used in MHD or fluid models where resolution is difficult due to the intensity of the background response relative to the phenomena one is interested in measuring, such as a weakly grouwing instability or nonlinear mode coupling effect. (author)

  11. Improvement of a microwave ECR plasma source for the plasma immersion ion implantation and deposition process

    International Nuclear Information System (INIS)

    Wu Hongchen; Zhang Huafang; Peng Liping; Jiang Yanli; Ma Guojia

    2004-01-01

    The Plasma Immersion Ion Implantation and Deposition (PIII and D) process has many advantages over the pure plasma immersion ion implantation or deposition. It can compensate for or eliminate the disadvantages of the shallow modification layer (for PIII) and increase the bond strength of the coating (of deposition). For this purpose, a new type of microwave plasma source used in the PIII and D process was developed, composed of a vacuum bend wave guide and a special magnetic circuit, so that the coupling window was protected from being deposited with a coating and bombarded by high-energy particles. So the life of the window is increased. To enhance the bonding between the coating and substrate a new biasing voltage is applied to the work piece so that the implantation and deposition (or hybrid process) can be completed in one vacuum cycle

  12. Low Temperature Plasma Medicine

    Science.gov (United States)

    Graves, David

    2013-10-01

    Ionized gas plasmas near room temperature are used in a remarkable number of technological applications mainly because they are extraordinarily efficient at exploiting electrical power for useful chemical and material transformations near room temperature. In this tutorial address, I will focus on the newest area of low temperature ionized gas plasmas (LTP), in this case operating under atmospheric pressure conditions, in which the temperature-sensitive material is living tissue. LTP research directed towards biomedical applications such as sterilization, surgery, wound healing and anti-cancer therapy has seen remarkable growth in the last 3-5 years, but the mechanisms responsible for the biomedical effects have remained mysterious. It is known that LTP readily create reactive oxygen species (ROS) and reactive nitrogen species (RNS). ROS and RNS (or RONS), in addition to a suite of other radical and non-radical reactive species, are essential actors in an important sub-field of aerobic biology termed ``redox'' (or oxidation-reduction) biology. I will review the evidence suggesting that RONS generated by plasmas are responsible for their observed therapeutic effects. Other possible bio-active mechanisms include electric fields, charges and photons. It is common in LTP applications that synergies between different mechanisms can play a role and I will review the evidence for synergies in plasma biomedicine. Finally, I will address the challenges and opportunities for plasma physicists to enter this novel, multidisciplinary field.

  13. Assessment of plasma C-reactive protein as a biomarker of posttraumatic stress disorder risk.

    Science.gov (United States)

    Eraly, Satish A; Nievergelt, Caroline M; Maihofer, Adam X; Barkauskas, Donald A; Biswas, Nilima; Agorastos, Agorastos; O'Connor, Daniel T; Baker, Dewleen G

    2014-04-01

    Posttraumatic stress disorder (PTSD) has been associated in cross-sectional studies with peripheral inflammation. It is not known whether this observed association is the result of PTSD predisposing to inflammation (as sometimes postulated) or to inflammation predisposing to PTSD. To determine whether plasma concentration of the inflammatory marker C-reactive protein (CRP) helps predict PTSD symptoms. The Marine Resiliency Study, a prospective study of approximately 2600 war zone-deployed Marines, evaluated PTSD symptoms and various physiological and psychological parameters before deployment and at approximately 3 and 6 months following a 7-month deployment. Participants were recruited from 4 all-male infantry battalions imminently deploying to a war zone. Participation was requested of 2978 individuals; 2610 people (87.6%) consented and 2555 (85.8%) were included in the present analysis. Postdeployment data on combat-related trauma were included for 2208 participants (86.4% of the 2555 included) and on PTSD symptoms at 3 and 6 months after deployment for 1861 (72.8%) and 1617 (63.3%) participants, respectively. Severity of PTSD symptoms 3 months after deployment assessed by the Clinician-Administered PTSD Scale (CAPS). We determined the effects of baseline plasma CRP concentration on postdeployment CAPS using zero-inflated negative binomial regression (ZINBR), a procedure designed for distributions, such as CAPS in this study, that have an excess of zeroes in addition to being positively skewed. Adjusting for the baseline CAPS score, trauma exposure, and other relevant covariates, we found baseline plasma CRP concentration to be a highly significant overall predictor of postdeployment CAPS scores (P = .002): each 10-fold increment in CRP concentration was associated with an odds ratio of nonzero outcome (presence vs absence of any PTSD symptoms) of 1.51 (95% CI, 1.15-1.97; P = .003) and a fold increase in outcome with a nonzero value (extent of symptoms

  14. Formation of iron nanoparticles and increase in iron reactivity in mineral dust during simulated cloud processing.

    Science.gov (United States)

    Shi, Zongbo; Krom, Michael D; Bonneville, Steeve; Baker, Alex R; Jickells, Timothy D; Benning, Liane G

    2009-09-01

    The formation of iron (Fe) nanoperticles and increase in Fe reactivity in mineral dust during simulated cloud processing was investigated using high-resolution microscopy and chemical extraction methods. Cloud processing of dust was experimentally simulated via an alternation of acidic (pH 2) and circumneutral conditions (pH 5-6) over periods of 24 h each on presieved (formation of Fe-rich nanoparticle aggregates, which were not found initially. Similar Fe-rich nanoparticles were also observed in wet-deposited Saharen dusts from the western Mediterranean but not in dry-deposited dust from the eastern Mediterranean. Sequential Fe extraction of the soil samples indicated an increase in the proportion of chemically reactive Fe extractable by an ascorbate solution after simulated cloud processing. In addition, the sequential extractions on the Mediterranean dust samples revealed a higher content of reactive Fe in the wet-deposited dust compared to that of the dry-deposited dust These results suggestthat large variations of pH commonly reported in aerosol and cloud waters can trigger neo-formation of nanosize Fe particles and an increase in Fe reactivity in the dust

  15. Gas Plasma Pre-treatment Increases Antibiotic Sensitivity and Persister Eradication in Methicillin-Resistant Staphylococcus aureus

    Science.gov (United States)

    Guo, Li; Xu, Ruobing; Zhao, Yiming; Liu, Dingxin; Liu, Zhijie; Wang, Xiaohua; Chen, Hailan; Kong, Michael G.

    2018-01-01

    Methicillin-resistant Staphylococcus aureus (MRSA) is a major cause of serious nosocomial infections, and recurrent MRSA infections primarily result from the survival of persister cells after antibiotic treatment. Gas plasma, a novel source of ROS (reactive oxygen species) and RNS (reactive nitrogen species) generation, not only inactivates pathogenic microbes but also restore the sensitivity of MRSA to antibiotics. This study further found that sublethal treatment of MRSA with both plasma and plasma-activated saline increased the antibiotic sensitivity and promoted the eradication of persister cells by tetracycline, gentamycin, clindamycin, chloramphenicol, ciprofloxacin, rifampicin, and vancomycin. The short-lived ROS and RNS generated by plasma played a primary role in the process and induced the increase of many species of ROS and RNS in MRSA cells. Thus, our data indicated that the plasma treatment could promote the effects of many different classes of antibiotics and act as an antibiotic sensitizer for the treatment of antibiotic-resistant bacteria involved in infectious diseases. PMID:29628915

  16. PREFACE: 26th Symposium on Plasma Science for Materials (SPSM-26)

    Science.gov (United States)

    2014-06-01

    26th Symposium on Plasma Science for Materials (SPSM-26) Takayuki Watanabe The 26th Symposium on Plasma Science for Materials (SPSM-26) was held in Fukuoka, Japan on September 23-24, 2013. SPSM has been held annually since 1988 under the sponsorship of The 153rd Committee on Plasma Materials Science, Japan Society for the Promotion of Science (JSPS). This symposium is one of the major activities of the Committee, which is organized by researchers in academia and industry for the purpose of advancing intersectional scientific information exchange and discussion of science and technology of plasma materials processing. Plasma processing have attracted extensive attention due to their unique advantages, and it is expected to be utilized for a number of innovative industrial applications such as synthesis of high-quality and high-performance nanomaterials. The advantages of plasmas including high chemical reactivity in accordance with required chemical reactions are beneficial for innovative processing. In recent years, plasma materials processing with reactive plasmas has been extensively employed in the fields of environmental issues and biotechnology. This conference seeks to bring different scientific communities together to create a forum for discussing the latest developments and issues. The conference provides a platform for the exploration of both fundamental topics and new applications of plasmas by the contacts between science, technology, and industry. The conference was organized in plenary lectures, invited, contributed oral presentations, and poster sessions. At this meeting, we had 142 participants from 10 countries and 104 presentations, including 11 invited presentations. This year, we arranged special topical sessions that cover Plasma Medicine and Biotechnologies, Business and Academia Cooperation, Plasma with Liquids, Plasma Processes for Nanomaterials, together with Basic, Electronics, and Thermal Plasma sessions. This special issue presents 28

  17. Low and intermediate level radioactive waste processing in plasma reactor

    International Nuclear Information System (INIS)

    Sauchyn, V.; Khvedchyn, I.; Van Oost, G.

    2013-01-01

    Methods of low and intermediate level radioactive waste processing comprise: cementation, bituminization, curing in polymer matrices, combustion and pyrolysis. All these methods are limited in their application in the field of chemical, morphological, and aggregate composition of material to be processed. The thermal plasma method is one of the universal methods of RAW processing. The use of electric-arc plasma with mean temperatures 2000 - 8000 K can effectively carry out the destruction of organic compounds into atoms and ions with very high speeds and high degree of conversion. Destruction of complex substances without oxygen leads to a decrease of the volume of exhaust gases and dimension of gas cleaning system. This paper presents the plasma reactor for thermal processing of low and intermediate level radioactive waste of mixed morphology. The equipment realizes plasma-pyrolytic conversion of wastes and results in a conditioned product in a single stage. As a result, the volume of conditioned waste is significantly reduced (more than 10 times). Waste is converted into an environmentally friendly form that suits long-term storage. The leaching rate of macro-components from the vitrified compound is less than 1.10 -7 g/(cm 2 .day). (authors)

  18. Gene Transfection Method Using Atmospheric Pressure Dielectric-Barrier Discharge Plasmas

    Science.gov (United States)

    Sasaki, Shota; Kanzaki, Makoto; Kaneko, Toshiro

    2013-09-01

    Gene transfection which is the process of deliberately introducing nucleic acids into cells is expected to play an important role in medical treatment because the process is necessary for gene therapy and creation of induced pluripotent stem (iPS) cells. However, the conventional transfection methods have some problems, so we focus attention on promising transfection methods by atmospheric pressure dielectric-barrier discharge (AP-DBD) plasmas. AP-DBD He plasmas are irradiated to the living cell covered with genes. Preliminarily, we use fluorescent dye YOYO-1 instead of the genes and use LIVE/DEAD Stain for cell viability test, and we analyze the transfection efficiency and cell viability under the various conditions. It is clarified that the transfection efficiency is strongly dependence on the plasma irradiation time and cell viability rates is high rates (>90%) regardless of long plasma irradiation time. These results suggest that ROS (Reactive Oxygen Species) and electric field generated by the plasma affect the gene transfection. In addition to this (the plasma irradiation time) dependency, we now investigate the effect of the plasma irradiation under the various conditions.

  19. Color removal from acid and reactive dye solutions by electrocoagulation and electrocoagulation/adsorption processes.

    Science.gov (United States)

    Bellebia, S; Kacha, S; Bouberka, Z; Bouyakoub, A Z; Derriche, Z

    2009-04-01

    In this study, electrocoagulation of Marine Blue Erionyl MR (acid dye) and electrocoagulation followed by adsorption of Brilliant Blue Levafix E-BRA (reactive dye) from aqueous solutions were investigated, using aluminum electrodes and granular activated carbon (GAC). In the electrocoagulation and adsorption of dyestuff solutions, the effects of current density, loading charge, pH, conductivity, stirring velocity, contact time, and GAC concentration were examined. The optimum conditions for the electrocoagulation process were identified as loading charges 7.46 and 1.49 F/m3, for a maximum abatement of 200 mg/L reactive and acid dye, respectively. The residual reactive dye concentration was completely removed with 700 mg/L GAC. The results of this investigation provide important data for the development of a combined process to remove significant concentrations of recalcitrant dyes from water, using moderate activated carbon energy and aluminum consumption, and thereby lowering the cost of treatment.

  20. Interindividual variation in the response by fibrinogen, C-reactive protein and interleukin-6 to yellow fever vaccination

    NARCIS (Netherlands)

    Verschuur, M.; Beek, M.T. van der; Tak, H.S.; Visser, L.G.; Maat, M.P.M. de

    2004-01-01

    The acute phase reaction is important in many disease processes. Habitual levels of the acute phase proteins fibrinogen, C-reactive protein (CRP) and interleukin-6 (IL-6) are associated with an increased risk of cardiovascular disease, but the dynamic variation of plasma levels of acute phase

  1. Cold plasmas

    International Nuclear Information System (INIS)

    Franz, G.

    1990-01-01

    This textbook discusses the following topics: Phenomenological description of a direct current glow discharge; the plasma (temperature distribution and measurement, potential variation, electron energy distribution function, charge neutralization, wall potentials, plasma oscillations); Production of charge carriers (ions, electrons, ionization in the cathode zone, negative glowing zone, Faraday dark space, positive column, anode zone, hollow cathode discharges); RF-discharges (charge carrier production, RF-Shields, scattering mechanisms); Sputtering (ion-surface interaction, kinetics, sputtering yield and energy distribution, systems and conditions, film formation and stresses, contamination, bias techniques, multicomponent film deposition, cohesion, magnetrons, triode systems, plasma enhanced chemical vapor deposition); Dry etching (sputter etching, reactive etching, topography, process control, quantitative investigations); Etching mechanisms (etching of Si and SiO 2 with CF 4 , of III/V-compound-semiconductors, combination of isotrope and anisotrope etching methods, surface cleaning); ion beam systems (applications, etching); Dyclotron-resonance-systems (electron cyclotron resonance systems, whistler-sources and 'resonant inductive plasma etching'); Appendix (electron energy distribution functions, Bohm's transition zone, plasma oscillations, scattering cross sections and mean free path, metastable states, Child-Langmuir-Schottky equation, loss mechanisms, charge carrier distribution in the positive column, breakdown at high frequencies, motion in a magnetic field, skin depth of an electric field for a HF-discharge, whistler waves, dispersion relations for plane wave propagation). (orig.) With 138 figs

  2. Immobilization of biomolecules to plasma polymerized pentafluorophenyl methacrylate.

    Science.gov (United States)

    Duque, Luis; Menges, Bernhard; Borros, Salvador; Förch, Renate

    2010-10-11

    Thin films of plasma polymerized pentafluorophenyl methacrylate (pp-PFM) offer highly reactive ester groups throughout the structure of the film that allow for subsequent reactions with different aminated reagents and biological molecules. The present paper follows on from previous work on the plasma deposition of pentafluorophenyl methacrylate (PFM) for optimum functional group retention (Francesch, L.; Borros, S.; Knoll, W.; Foerch, R. Langmuir 2007, 23, 3927) and reactivity in aqueous solution (Duque, L.; Queralto, N.; Francesch, L.; Bumbu, G. G.; Borros, S.; Berger, R.; Förch, R. Plasma Process. Polym. 2010, accepted for publication) to investigate the binding of a biologically active peptide known to induce cellular adhesion (IKVAV) and of biochemically active proteins such as BSA and fibrinogen. Analyses of the films and of the immobilization of the biomolecules were carried out using infrared reflection absorption spectroscopy (IRRAS), X-ray photoelectron spectroscopy (XPS), and atomic force microscopy (AFM). The attachment of the biomolecules on pulsed plasma polymerized pentafluorophenyl methacrylate was monitored using surface plasmon resonance spectroscopy (SPR). SPR analysis confirmed the presence of immobilized biomolecules on the plasma polymer and was used to determine the mass coverage of the peptide and proteins adsorbed onto the films. The combined analysis of the surfaces suggests the covalent binding of the peptide and proteins to the surface of the pp-PFM.

  3. Processing of (in)tractable polymers using reactive solvents, 4: Structure development in the model system poly(ethylene)/styrene

    NARCIS (Netherlands)

    Goossens, J.G.P.; Rastogi, S.; Meijer, H.E.H.; Lemstra, P.J.

    1998-01-01

    The use of reactive solvents provides a unique opportunity to extend the processing characteristics of both intractable and standard (tractable) polymers beyond existing limits. The polymer to be processed is dissolved in the reactive solvent (monomer) and the solution is transferred into a mould.

  4. Radicals and Non-Equilibrium Processes in Low-Temperature Plasmas

    Science.gov (United States)

    Petrović, Zoran; Mason, Nigel; Hamaguchi, Satoshi; Radmilović-Radjenović, Marija

    2007-06-01

    This volume is a selection from papers presented at the 5th EU - Japan Symposium. Unfortunately not all of the authors invited to prepare a review could finalize their papers in time for publication. Thus this book displays only a part of what has been enjoyed by the audience during the conference and what was expected to be in the book. On the other hand it provides the possibility to view some of the issues in greater detail and a chance for those who attended the meeting to revisit some of the presentations and discussion. The particular value of this symposia series is the opportunity for participants to discuss the issues confronting modern plasma physics and evolve a collaborative strategy to address these issues. The resulting synergism from having the leading researchers in this field all in the same room unfortunately could not be captured in this book but will certainly be reflected in the results presented at future symposia. The 29 invited lectures and 4 progress reports (with the addition of 10 posters) presented at the conference came from 12 different countries from 4 continents. A similar distribution is maintained in the 21 articles in this book. All the papers presented here have been refereed according to the standards of the conference and the journal, first by selecting the renowned invited speakers and selecting the topics of their presentations and later on by reviewing the articles. However we still leave the responsibility (and honors) for the contents of the papers to the authors. The papers in this book are review articles giving a summary of the already published work or presenting the work in progress that will be published in full at a later date (or both). The EU - Japan Symposia were initiated in 2003 and have been held in Japan and in Europe (so far only in European countries starting with the letter `S': Sweden, Slovakia, Serbia). The 5th EU - Japan Joint Symposium on Plasma Processing was organized in Belgrade, 6-9 March at the

  5. Evaluation of plasma C-reactive protein levels in pregnant women with and without periodontal disease: A comparative study

    Directory of Open Access Journals (Sweden)

    Sharma Anupriya

    2009-01-01

    Full Text Available Background and Objectives: Circulating C-reactive protein (CRP levels are a marker of systemic inflammation and are associated with periodontal disease, a chronic bacterial infection associated with elevation of proinflammatory cytokines and prostaglandins. CRP has been associated with adverse pregnancy outcomes, including preterm delivery, preeclampsia, and intrauterine growth restriction. Furthermore, periodontal disease has been associated with increased risk of preterm low birth weight, low birth weight, and preterm birth. The present study was conducted to assess plasma CRP levels in pregnant women with and without periodontal disease; to evaluate the effect of periodontal therapy on the incidence of preterm delivery; and to compare the incidence of preterm delivery in pregnant women with and without periodontal disease. Materials and Methods: A total of 90 pregnant women aged between 18-35 years with gestational age between 12-28 weeks were recruited and divided into three equal groups (control group, study group, treatment group of 30 each. Blood samples were taken for estimation of C-reactive protein levels from all groups at 12-20 weeks of gestation, determined using ultrasensitive turbidimetric immunoassay (QUANTIA-CRP US. The treatment group comprised plaque control, scaling, and root planning and daily rinsing with 0.2% chlorhexidine mouth before 28 weeks of gestation. Results: The mean value of C-reactive protein levels in subjects with periodontal disease was higher compared to control group i.e., 1.20 ± 0.247 mg/dl and 1.22 ± 0.250 mg/dl, respectively, compared to 0.713 ± 0.139 mg/ dl ( P = 0.001. The mean value of CRP levels before treatment was greater than the mean value after treatment i.e., 1.22 ± 0.25 compared to 0.84 ± 0.189 ( P < 0.001. The incidence of preterm delivery (< 37 weeks was 31.7% in the periodontal disease group (study group compared to 8.3% in the control group ( P = 0.001. The incidence of preterm

  6. Separated Type Atmospheric Pressure Plasma Microjets Array for Maskless Microscale Etching

    Directory of Open Access Journals (Sweden)

    Yichuan Dai

    2017-06-01

    Full Text Available Maskless etching approaches such as microdischarges and atmospheric pressure plasma jets (APPJs have been studied recently. Nonetheless, a simple, long lifetime, and efficient maskless etching method is still a challenge. In this work, a separated type maskless etching system based on atmospheric pressure He/O2 plasma jet and microfabricated Micro Electro Mechanical Systems (MEMS nozzle have been developed with advantages of simple-structure, flexibility, and parallel processing capacity. The plasma was generated in the glass tube, forming the micron level plasma jet between the nozzle and the surface of polymer. The plasma microjet was capable of removing photoresist without masks since it contains oxygen reactive species verified by spectra measurement. The experimental results illustrated that different features of microholes etched by plasma microjet could be achieved by controlling the distance between the nozzle and the substrate, additive oxygen ratio, and etch time, the result of which is consistent with the analysis result of plasma spectra. In addition, a parallel etching process was also realized by plasma microjets array.

  7. Quantum tunneling resonant electron transfer process in Lorentzian plasmas

    International Nuclear Information System (INIS)

    Hong, Woo-Pyo; Jung, Young-Dae

    2014-01-01

    The quantum tunneling resonant electron transfer process between a positive ion and a neutral atom collision is investigated in nonthermal generalized Lorentzian plasmas. The result shows that the nonthermal effect enhances the resonant electron transfer cross section in Lorentzian plasmas. It is found that the nonthermal effect on the classical resonant electron transfer cross section is more significant than that on the quantum tunneling resonant charge transfer cross section. It is shown that the nonthermal effect on the resonant electron transfer cross section decreases with an increase of the Debye length. In addition, the nonthermal effect on the quantum tunneling resonant electron transfer cross section decreases with increasing collision energy. The variation of nonthermal and plasma shielding effects on the quantum tunneling resonant electron transfer process is also discussed

  8. Atomic and molecular processes in JT-60U divertor plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Takenaga, H.; Shimizu, K.; Itami, K. [Japan Atomic Energy Research Inst., Naka, Ibaraki (Japan). Naka Fusion Research Establishment] [and others

    1997-01-01

    Atomic and molecular data are indispensable for the understanding of the divertor characteristics, because behavior of particles in the divertor plasma is closely related to the atomic and molecular processes. In the divertor configuration, heat and particles escaping from the main plasma flow onto the divertor plate along the magnetic field lines. In the divertor region, helium ash must be effectively exhausted, and radiation must be enhanced for the reduction of the heat load onto the divertor plate. In order to exhaust helium ash effectively, the difference between behavior of neutral hydrogen (including deuterium and tritium) and helium in the divertor plasma should be understood. Radiation from the divertor plasma generally caused by the impurities which produced by the erosion of the divertor plate and/or injected by gas-puffing. Therefore, it is important to understand impurity behavior in the divertor plasma. The ions hitting the divertor plate recycle through the processes of neutralization, reflection, absorption and desorption at the divertor plates and molecular dissociation, charge-exchange reaction and ionization in the divertor plasma. Behavior of hydrogen, helium and impurities in the divertor plasmas can not be understood without the atomic and molecular data. In this report, recent results of the divertor study related to the atomic and molecular processes in JT-60U were summarized. Behavior of neural deuterium and helium was discussed in section 2. In section 3, the comparisons between the modelling of the carbon impurity transport and the measurements of C II and C IV were discussed. In section 4, characteristics of the radiative divertor using Ne puffing were reported. The new diagnostic method for the electron density and temperature in the divertor plasmas using the intensity ratios of He I lines was described in section 5. (author)

  9. Spectral line intensity irreversibility in circulatory plasma magnetization processes

    Science.gov (United States)

    Qu, Z. Q.; Dun, G. T.

    2012-01-01

    Spectral line intensity variation is found to be irreversible in circulatory plasma magnetization process by experiments described in this paper, i.e., the curves illustrating spectral line photon fluxes irradiated from a light source immerged in a magnetic field by increasing the magnetic induction cannot be reproduced by decreasing the magnetic induction within the errors. There are two plasma magnetization patterns found. One shows that the intensities are greater at the same magnetic inductions during the magnetic induction decreasing process after the increasing, and the other gives the opposite effect. This reveals that the magneto-induced excitation and de-excitation process is irreversible like ferromagnetic magnetization. But the two irreversible processes are very different in many aspects stated in the text.

  10. Research progress of laser welding process dynamic monitoring technology based on plasma characteristics signal

    Directory of Open Access Journals (Sweden)

    Teng WANG

    2017-02-01

    Full Text Available During the high-power laser welding process, plasmas are induced by the evaporation of metal under laser radiation, which can affect the coupling of laser energy and the workpiece, and ultimately impact on the reliability of laser welding quality and process directly. The research of laser-induced plasma is a focus in high-power deep penetration welding field, which provides a promising research area for realizing the automation of welding process quality inspection. In recent years, the research of laser welding process dynamic monitoring technology based on plasma characteristics is mainly in two aspects, namely the research of plasma signal detection and the research of laser welding process modeling. The laser-induced plasma in the laser welding is introduced, and the related research of laser welding process dynamic monitoring technology based on plasma characteristics at home and abroad is analyzed. The current problems in the field are summarized, and the future development trend is put forward.

  11. Target surface condition during reactive glow discharge sputtering of copper

    International Nuclear Information System (INIS)

    Depla, D; Haemers, J; Gryse, R De

    2002-01-01

    During reactive glow discharge sputtering of copper in an argon/nitrogen plasma, we noticed an abrupt change of the target voltage and the deposition rate when the nitrogen concentration in the plasma exceeds a critical value. To explain this behaviour, the target surface after reactive glow discharge sputtering was examined by x-ray photoelectron spectroscopy (XPS). An experimental arrangement was constructed that allows direct transfer of the glow discharge cathode to the XPS analysis chamber without air exposure. These XPS measurements revealed that several different chemical states of nitrogen are present in the layer that forms on the target surface. The relative concentration of these different states changes when the critical nitrogen concentration in the plasma is exceeded

  12. DU Processing Efficiency and Reclamation: Plasma Arc Melting

    Energy Technology Data Exchange (ETDEWEB)

    Imhoff, Seth D. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Aikin, Jr., Robert M. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Swenson, Hunter [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Solis, Eunice Martinez [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-09-26

    The work described here corresponds to one piece of a larger effort to increase material usage efficiency during DU processing operations. In order to achieve this goal, multiple technologies and approaches are being tested. These technologies occupy a spectrum of technology readiness levels (TRLs). Plasma arc melting (PAM) is one of the technologies being investigated. PAM utilizes a high temperature plasma to melt materials. Depending on process conditions, there are potential opportunities for recycling and material reclamation. When last routinely operational, the LANL research PAM showed extremely promising results for recycling and reclamation of DU and DU alloys. The current TRL is lower due to machine idleness for nearly two decades, which has proved difficult to restart. This report describes the existing results, promising techniques, and the process of bringing this technology back to readiness at LANL.

  13. Imploding process and x-ray emission of shotgun z-pinch plasma

    International Nuclear Information System (INIS)

    Muto, Ryusuke; Takasugi, Keiichi; Miyamoto, Tetsu

    2001-01-01

    Rayleigh-Taylor instability was observed on the surface of a contracting z-pinch plasma. Wavelength of the instability was analyzed from the envelope of the profile, and it increased with implosion. Analysis with finite Larmor radius effect shows that there is some acceleration of ions during the contraction process. A suggestion to obtain macroscopically uniform plasma is to increase plasma current without heating the plasma. (author)

  14. Imploding process and x-ray emission of shotgun z-pinch plasma

    Energy Technology Data Exchange (ETDEWEB)

    Muto, Ryusuke [Nihon University, College of Science and Technology, Tokyo (Japan); Takasugi, Keiichi; Miyamoto, Tetsu [Nihon University, Atomic Energy Research Institute, Tokyo (Japan)

    2001-09-01

    Rayleigh-Taylor instability was observed on the surface of a contracting z-pinch plasma. Wavelength of the instability was analyzed from the envelope of the profile, and it increased with implosion. Analysis with finite Larmor radius effect shows that there is some acceleration of ions during the contraction process. A suggestion to obtain macroscopically uniform plasma is to increase plasma current without heating the plasma. (author)

  15. On the possibility of the multiple inductively coupled plasma and helicon plasma sources for large-area processes

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jin-Won; Lee, Yun-Seong, E-mail: leeeeys@kaist.ac.kr; Chang, Hong-Young [Low-temperature Plasma Laboratory, Department of Physics, Korea Advanced Institute of Science and Technology, Daejeon 305-701 (Korea, Republic of); An, Sang-Hyuk [Agency of Defense Development, Yuseong-gu, Daejeon 305-151 (Korea, Republic of)

    2014-08-15

    In this study, we attempted to determine the possibility of multiple inductively coupled plasma (ICP) and helicon plasma sources for large-area processes. Experiments were performed with the one and two coils to measure plasma and electrical parameters, and a circuit simulation was performed to measure the current at each coil in the 2-coil experiment. Based on the result, we could determine the possibility of multiple ICP sources due to a direct change of impedance due to current and saturation of impedance due to the skin-depth effect. However, a helicon plasma source is difficult to adapt to the multiple sources due to the consistent change of real impedance due to mode transition and the low uniformity of the B-field confinement. As a result, it is expected that ICP can be adapted to multiple sources for large-area processes.

  16. Initial damage processes for diamond film exposure to hydrogen plasma

    International Nuclear Information System (INIS)

    Deslandes, A.; Guenette, M.C.; Samuell, C.M.; Karatchevtseva, I.; Ionescu, M.; Cohen, D.D.; Blackwell, B.; Corr, C.; Riley, D.P.

    2013-01-01

    Graphical abstract: -- Highlights: • Exposing chemical vapour deposited (CVD) diamond films in a recently constructed device, MAGPIE, specially commissioned to simulate fusion plasma conditions. • Non-diamond material is etched from the diamond. • There is no hydrogen retention observed, which suggests diamond is an excellent candidate for plasma facing materials. • Final structure of the surface is dependent on synergistic effects of etching and ion-induced structural change. -- Abstract: Diamond is considered to be a possible alternative to other carbon based materials as a plasma facing material in nuclear fusion devices due to its high thermal conductivity and resistance to chemical erosion. In this work CVD diamond films were exposed to hydrogen plasma in the MAGnetized Plasma Interaction Experiment (MAGPIE): a linear plasma device at the Australian National University which simulates plasma conditions relevant to nuclear fusion. Various negative sample stage biases of magnitude less than 500 V were applied to control the energies of impinging ions. Characterisation results from SEM, Raman spectroscopy and ERDA are presented. No measureable quantity of hydrogen retention was observed, this is either due to no incorporation of hydrogen into the diamond structure or due to initial incorporation as a hydrocarbon followed by subsequent etching back into the plasma. A model is presented for the initial stages of diamond erosion in fusion relevant hydrogen plasma that involves chemical erosion of non-diamond material from the surface by hydrogen radicals and damage to the subsurface region from energetic hydrogen ions. These results show that the initial damage processes in this plasma regime are comparable to previous studies of the fundamental processes as reported for less extreme plasma such as in the development of diamond films

  17. Suboxide/subnitride formation on Ta masks during magnetic material etching by reactive plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hu; Muraki, Yu; Karahashi, Kazuhiro; Hamaguchi, Satoshi, E-mail: hamaguch@ppl.eng.osaka-u.ac.jp [Center for Atomic and Molecular Technologies, Osaka University, Yamadaoka 2-1, Suita 565-0871 (Japan)

    2015-07-15

    Etching characteristics of tantalum (Ta) masks used in magnetoresistive random-access memory etching processes by carbon monoxide and ammonium (CO/NH{sub 3}) or methanol (CH{sub 3}OH) plasmas have been examined by mass-selected ion beam experiments with in-situ surface analyses. It has been suggested in earlier studies that etching of magnetic materials, i.e., Fe, Ni, Co, and their alloys, by such plasmas is mostly due to physical sputtering and etch selectivity of the process arises from etch resistance (i.e., low-sputtering yield) of the hard mask materials such as Ta. In this study, it is shown that, during Ta etching by energetic CO{sup +} or N{sup +} ions, suboxides or subnitrides are formed on the Ta surface, which reduces the apparent sputtering yield of Ta. It is also shown that the sputtering yield of Ta by energetic CO{sup +} or N{sup +} ions has a strong dependence on the angle of ion incidence, which suggests a correlation between the sputtering yield and the oxidation states of Ta in the suboxide or subnitride; the higher the oxidation state of Ta, the lower is the sputtering yield. These data account for the observed etch selectivity by CO/NH{sub 3} and CH{sub 3}OH plasmas.

  18. Post-discharge evolution of reactive species in the water activated by a surface air plasma: a modeling study

    Science.gov (United States)

    Liu, Z. C.; Liu, D. X.; Chen, C.; Liu, Z. J.; Yang, A. J.; Rong, M. Z.; Chen, H. L.; Kong, M. G.

    2018-05-01

    Plasma-activated water (PAW) has been reported to sustain a bactericidal ability for months. However, many reactive species regarded as the main antibacterial agents in PAW have short lifetimes of less than one second. In order to explain the prolonged antibacterial ability of PAW and predict how to extend its effective time, we studied the post-discharge evolution of reactive species in PAW based on a system-level model reported previously. Three common storage conditions for PAW were considered within the post-discharge time of 14 d: (I) leaving the residual gas and PAW in the sealed reactor; (II) leaving PAW in the open air; (III) sealing the container of PAW. In comparison, storage condition III was the best condition to preserve the long-lived species including H2O2 and HNO2/, whereas storage condition I was the best method to preserve the short-lived species including OH, HO2 and ONOOH/ONOO‑. It suggests that the gas–liquid mass transfer plays an important role in the evolution of reactive species. We also found that O2NOOH/O2NOO‑ had an almost one order of magnitude higher concentration and a longer residue time than those of ONOOH/ONOO‑. This distinction suggests that the biological effect of O2NOOH/O2NOO‑ may be important.

  19. Plasma processes in water under effect of short duration pulse discharges

    Science.gov (United States)

    Gurbanov, Elchin

    2013-09-01

    It is very important to get a clear water without any impurities and bacteria by methods, that don't change the physical and chemical indicators of water now. In this article the plasma processes during the water treatment by strong electric fields and short duration pulse discharges are considered. The crown discharge around an electrode with a small radius of curvature consists of plasma leader channels with a high conductivity, where the thermo ionization processes and UV-radiation are taken place. Simultaneously the partial discharges around potential electrode lead to formation of atomic oxygen and ozone. The spark discharge arises, when plasma leader channels cross the all interelectrode gap, where the temperature and pressure are strongly grown. As a result the shock waves and dispersing liquid streams in all discharge gap are formed. The plasma channels extend, pressure inside it becomes less than hydrostatic one and the collapse and UV-radiation processes are started. The considered physical processes can be successfully used as a basis for development of pilot-industrial installations for conditioning of drinking water and to disinfecting of sewage.

  20. Effect of Si on DC arc plasma generation from Al-Cr and Al-Cr-Si cathodes used in oxygen

    Science.gov (United States)

    Zhirkov, I.; Landälv, L.; Göthelid, E.; Ahlgren, M.; Eklund, P.; Rosen, J.

    2017-02-01

    Al2O3 alloyed with Cr is an important material for the tooling industry. It can be synthesized from an arc discharge using Al-Cr cathodes in an oxygen atmosphere. Due to formation of Al-rich oxide islands on the cathode surface, the arc process stability is highly sensitive to oxygen pressure. For improved stability, the use of Al0.70Cr0.25Si0.05 cathodes has previously been suggested, where Si may reduce island formation. Here, we have investigated the effect of Si by comparing plasma generation and thin film deposition from Al0.7Cr0.3 and Al0.7Cr0.25Si0.05 cathodes. Plasma ion composition, ion energies, ion charge states, neutral species, droplet formation, and film composition have been characterized at different O2 flow rates for arc currents of 60 and 90 A. Si and related compounds are detected in plasma ions and in plasma neutrals. Scanning electron microscopy and energy dispersive X-ray analysis show that the cathode composition and the film composition are the same, with Si present in droplets as well. The effect of Si on the process stability, ion energies, and ion charge states is found to be negligible compared to that of the arc current. The latter is identified as the most relevant parameter for tuning the properties of the reactive discharge. The present work increases the fundamental understanding of plasma generation in a reactive atmosphere, and provides input for the choice of cathode composition and process parameters in reactive DC arc synthesis.

  1. Plasma treatment: A Novel Medical Application

    International Nuclear Information System (INIS)

    Boonyawan, Dheerawan

    2015-01-01

    Cold atmospheric plasma (CAP) for the medical treatment is a new field in plasma application, called plasma medicine. CAP contrains mix of excited atoms and molecules, UV photons, charged particles as well as reactive oxygen species (ROS) and reactive nitrogen species (RNS). Typical species in air CAPs are O 3 , OH, N x , and HNO x . Two cold atomospheric plasma devices were utiized (either in an indirect or a direct way) for the treatment of physiologically healthy volunterrs, The results show that CAP is effective againts chronic wound infections and/ or for skin treatment in clinical trials. The current developments in this field have fuelled the hope that CAP could be, and interesting new therapeutic apptoach in the treatment of cancer.

  2. One possible method of mathematical modeling of turbulent transport processes in plasma

    International Nuclear Information System (INIS)

    Skvortsova, Nina N.; Batanov, German M.; Petrov, Alexander E.; Pshenichnikov, Anton A.; Sarksyan, Karen A.; Kharchev, Nikolay K.; Bening, Vladimir E.; Korolev, Victor Yu.

    2003-01-01

    It is proposed to use the mathematical modeling of the increments of fluctuating plasma variables to analyzing the probability characteristics of turbulent transport processes in plasma. It is shown that, in plasma of the L-2M stellarator and the TAU-1 linear device, the increments of the process of local fluctuating particle flux are stochastic in nature and their distribution is a scale mixture of Gaussians. (author)

  3. Electromagnetic effects in high-frequency capacitive discharges used for plasma processing

    International Nuclear Information System (INIS)

    Chabert, P

    2007-01-01

    In plasma processing, capacitive discharges have classically been operated in the electrostatic regime, for which the excitation wavelength λ is much greater than the electrode radius, and the plasma skin depth δ is much greater than the electrode spacing. However, contemporary reactors are larger and excited at higher frequencies which leads to strong electromagnetic effects. This paper gives a review of the work that has recently been carried out to carefully model and diagnose these effects, which cause major uniformity problems in plasma processing for microelectronics and flat panel displays industries. (topical review)

  4. Characterization of Transition Metal Carbide Layers Synthesized by Thermo-reactive Diffusion Processes

    DEFF Research Database (Denmark)

    Laursen, Mads Brink; Fernandes, Frederico Augusto Pires; Christiansen, Thomas Lundin

    2015-01-01

    . In this study halide-activated pack cementation techniques were used on tool steel Vanadis 6 and martensitic stainless steel AISI 420 in order to produce hard layers of titanium carbide (TiC), vanadium carbide (V8C7) and chromium carbides (Cr23C6 and Cr7C3). Surface layers were characterized by scanning......Hard wear resistant surface layers of transition metal carbides can be produced by thermo-reactive diffusion processes where interstitial elements from a steel substrate together with external sources of transition metals (Ti, V, Cr etc.) form hard carbide and/or nitride layers at the steel surface...... electron microscopy, X-ray diffraction and Vickers hardness testing. The study shows that porosityfree, homogenous and very hard surface layers can be produced by thermo-reactive diffusion processes. The carbon availability of the substrate influences thickness of obtained layers, as Vanadis 6 tool steel...

  5. Large area atmospheric-pressure plasma jet

    Science.gov (United States)

    Selwyn, Gary S.; Henins, Ivars; Babayan, Steve E.; Hicks, Robert F.

    2001-01-01

    Large area atmospheric-pressure plasma jet. A plasma discharge that can be operated at atmospheric pressure and near room temperature using 13.56 MHz rf power is described. Unlike plasma torches, the discharge produces a gas-phase effluent no hotter than 250.degree. C. at an applied power of about 300 W, and shows distinct non-thermal characteristics. In the simplest design, two planar, parallel electrodes are employed to generate a plasma in the volume therebetween. A "jet" of long-lived metastable and reactive species that are capable of rapidly cleaning or etching metals and other materials is generated which extends up to 8 in. beyond the open end of the electrodes. Films and coatings may also be removed by these species. Arcing is prevented in the apparatus by using gas mixtures containing He, which limits ionization, by using high flow velocities, and by properly spacing the rf-powered electrode. Because of the atmospheric pressure operation, there is a negligible density of ions surviving for a sufficiently long distance beyond the active plasma discharge to bombard a workpiece, unlike the situation for low-pressure plasma sources and conventional plasma processing methods.

  6. Research of plasma-electrolyte discharge in the processes of obtaining metallic powders

    Science.gov (United States)

    Kashapov, R. N.; Kashapov, L. N.; Kashapov, N. F.

    2017-11-01

    The use of the plasma electrolyte process has never been considered as a simple, cheap and fast method of obtaining powders used in selective laser melting processes. Therefore, the adaptation of the plasma-electrolyte process to the production of metal powders used in additive production is an urgent task. The paper presents the results of studies of gas discharge parameters between a metal and liquid electrode in the processes of obtaining metallic iron powders. The discharge combustion conditions necessary for the formation of metal powders of micron size are determined. A possible mechanism for the formation of powder particles in a discharge plasma is proposed.

  7. Dynamics and structure of ignition process in plasma. Ignition dynamics and structure of laboratory plasmas

    International Nuclear Information System (INIS)

    Nagasaki, Kazunobu; Takamura, Shuichi; Razzak, Md. Abdur; Uesugi, Yoshihiko; Yoshimura, Yasuo; Cappa, Alvaro

    2008-01-01

    The dynamics and structure of plasma production are stated by the results of two experiments such as the radio frequency thermal plasmas produced by inductively coupled plasma technique at atmospheric pressure and the second harmonic ECH. The first experiment results explained transition from the electrostatic discharge mode of forming streamer to the induced discharge mode after forming the discharge channel that the streamer connected to in the azimuth direction. The other experiment explained the dynamics which the initial plasma produced at the ECH resonance point spread in the direction of radius. The divergence and transition related to the nonlinear process were observed independently existing the magnetic field or incident power. The experiment devices, conditions, results, and modeling are reported. (S.Y.)

  8. The action of red wine and purple grape juice on vascular reactivity is independent of plasma lipids in hypercholesterolemic patients

    Directory of Open Access Journals (Sweden)

    Coimbra S.R.

    2005-01-01

    Full Text Available Although red wine (RW reduces cardiovascular risk, the mechanisms underlying the effect have not been identified. Correction of endothelial dysfunction by RW flavonoids could be one mechanism. We measured brachial artery reactivity by high-resolution ultrasonography, plasma lipids, glucose, adhesion molecules (ICAM-1 and VCAM, and platelet function in 16 hypercholesterolemic individuals (8 men and 8 women; mean age 51.6 ± 8.1 years without other risk factors. Twenty-four normal subjects were used as controls for vascular reactivity. Subjects randomly received RW, 250 ml/day, or purple grape juice (GJ, 500 ml/day, for 14 days with an equal wash-out period. At baseline, all 16 subjects were hypercholesterolemic (mean LDL = 181.0 ± 28.7 mg/dl but HDL, triglycerides, glucose, adhesion molecules, and platelet function were within normal limits. Brachial artery flow-mediated dilation was significantly decreased compared to controls (9.0 ± 7.1 vs 12.1 ± 4.5%; P < 0.05 and increased with both GJ (10.1 ± 7.1 before vs 16.9 ± 6.7% after: P < 0.05 and RW (10.1 ± 6.4 before vs 15.6 ± 4.6% after; P < 0.05. RW, but not GJ, also significantly increased endothelium-independent vasodilation (17.0 ± 8.6 before vs 23.0 ± 12.0% after; P < 0.01. GJ reduced ICAM-1 but not VCAM and RW had no effect on either molecule. No significant alterations were observed in plasma lipids, glucose or platelet aggregability with RW or GJ. Both RW and GJ similarly improved flow-mediated dilation, but RW also enhanced endothelium-independent vasodilation in hypercholesterolemic patients despite the increased plasma cholesterol. Thus, we conclude that GJ may protect against coronary artery disease without the additional negative effects of alcohol despite the gender.

  9. Modeling of low pressure plasma sources for microelectronics fabrication

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Bera, Kallol; Kenney, Jason; Rauf, Shahid; Likhanskii, Alexandre

    2017-01-01

    Chemically reactive plasmas operating in the 1 mTorr–10 Torr pressure range are widely used for thin film processing in the semiconductor industry. Plasma modeling has come to play an important role in the design of these plasma processing systems. A number of 3-dimensional (3D) fluid and hybrid plasma modeling examples are used to illustrate the role of computational investigations in design of plasma processing hardware for applications such as ion implantation, deposition, and etching. A model for a rectangular inductively coupled plasma (ICP) source is described, which is employed as an ion source for ion implantation. It is shown that gas pressure strongly influences ion flux uniformity, which is determined by the balance between the location of plasma production and diffusion. The effect of chamber dimensions on plasma uniformity in a rectangular capacitively coupled plasma (CCP) is examined using an electromagnetic plasma model. Due to high pressure and small gap in this system, plasma uniformity is found to be primarily determined by the electric field profile in the sheath/pre-sheath region. A 3D model is utilized to investigate the confinement properties of a mesh in a cylindrical CCP. Results highlight the role of hole topology and size on the formation of localized hot-spots. A 3D electromagnetic plasma model for a cylindrical ICP is used to study inductive versus capacitive power coupling and how placement of ground return wires influences it. Finally, a 3D hybrid plasma model for an electron beam generated magnetized plasma is used to understand the role of reactor geometry on plasma uniformity in the presence of E  ×  B drift. (paper)

  10. Modeling of low pressure plasma sources for microelectronics fabrication

    Science.gov (United States)

    Agarwal, Ankur; Bera, Kallol; Kenney, Jason; Likhanskii, Alexandre; Rauf, Shahid

    2017-10-01

    Chemically reactive plasmas operating in the 1 mTorr-10 Torr pressure range are widely used for thin film processing in the semiconductor industry. Plasma modeling has come to play an important role in the design of these plasma processing systems. A number of 3-dimensional (3D) fluid and hybrid plasma modeling examples are used to illustrate the role of computational investigations in design of plasma processing hardware for applications such as ion implantation, deposition, and etching. A model for a rectangular inductively coupled plasma (ICP) source is described, which is employed as an ion source for ion implantation. It is shown that gas pressure strongly influences ion flux uniformity, which is determined by the balance between the location of plasma production and diffusion. The effect of chamber dimensions on plasma uniformity in a rectangular capacitively coupled plasma (CCP) is examined using an electromagnetic plasma model. Due to high pressure and small gap in this system, plasma uniformity is found to be primarily determined by the electric field profile in the sheath/pre-sheath region. A 3D model is utilized to investigate the confinement properties of a mesh in a cylindrical CCP. Results highlight the role of hole topology and size on the formation of localized hot-spots. A 3D electromagnetic plasma model for a cylindrical ICP is used to study inductive versus capacitive power coupling and how placement of ground return wires influences it. Finally, a 3D hybrid plasma model for an electron beam generated magnetized plasma is used to understand the role of reactor geometry on plasma uniformity in the presence of E  ×  B drift.

  11. Principles for integrating reactive species into in vivo biological processes: Examples from exercise physiology.

    Science.gov (United States)

    Margaritelis, Nikos V; Cobley, James N; Paschalis, Vassilis; Veskoukis, Aristidis S; Theodorou, Anastasios A; Kyparos, Antonios; Nikolaidis, Michalis G

    2016-04-01

    The equivocal role of reactive species and redox signaling in exercise responses and adaptations is an example clearly showing the inadequacy of current redox biology research to shed light on fundamental biological processes in vivo. Part of the answer probably relies on the extreme complexity of the in vivo redox biology and the limitations of the currently applied methodological and experimental tools. We propose six fundamental principles that should be considered in future studies to mechanistically link reactive species production to exercise responses or adaptations: 1) identify and quantify the reactive species, 2) determine the potential signaling properties of the reactive species, 3) detect the sources of reactive species, 4) locate the domain modified and verify the (ir)reversibility of post-translational modifications, 5) establish causality between redox and physiological measurements, 6) use selective and targeted antioxidants. Fulfilling these principles requires an idealized human experimental setting, which is certainly a utopia. Thus, researchers should choose to satisfy those principles, which, based on scientific evidence, are most critical for their specific research question. Copyright © 2015 Elsevier Inc. All rights reserved.

  12. Characterization of Wet Air Plasma Jet Powered by Sinusoidal High Voltage and Nanosecond Pulses for Plasma Agricultural Application

    Science.gov (United States)

    Takashima, Keisuke; Shimada, Keisuke; Konishi, Hideaki; Kaneko, Toshiro

    2015-09-01

    Not only for the plasma sterilization but also for many of plasma life-science applications, atmospheric pressure plasma devices that allowed us to control its state and reactive species production are deserved to resolve the roles of the chemical species. Influence of the hydroxyl radical and ozone on germination of conidia of a strawberry pathogen is presented. Water addition to air plasma jet significantly improves germination suppression performance, while measured reactive oxygen species (ROS) are reduced. Although the results show a negative correlation between ROS and the germination suppression, this infers the importance of chemical composition generated by plasma. For further control of the plasma product, a plasma jet powered by sinusoidal high voltage and nanosecond pulses is developed and characterized with the voltage-charge Lissajous. Control of breakdown phase and discharge power by pulse-imposed phase is presented. This work is supported by JSPS KAKENHI Grant-in-Aid for Young Scientists (B) Grant Number 15K17480 and Exploratory Research Grant Number 23644199.

  13. The kinetics of nonequilibrium chain plasma-chemical oxidation in heterogeneous media

    International Nuclear Information System (INIS)

    Deminskii, M.A.; Potapkin, B.V.; Rusanov, V.D.

    1994-01-01

    The kinetics of oxidation of low-impurity components in air mixtures under heterogeneous conditions was studied. The principal kinetic features of the process were determined on the basis of theoretical analysis of plasma-chemical oxidation in heterogeneous media. The analysis also showed that low concentrations of impurities in liquid aerosol particles can be efficiently oxidized via a chain process induced by reactive species formed in the gas

  14. Crystallization process of a three-dimensional complex plasma

    Science.gov (United States)

    Steinmüller, Benjamin; Dietz, Christopher; Kretschmer, Michael; Thoma, Markus H.

    2018-05-01

    Characteristic timescales and length scales for phase transitions of real materials are in ranges where a direct visualization is unfeasible. Therefore, model systems can be useful. Here, the crystallization process of a three-dimensional complex plasma under gravity conditions is considered where the system ranges up to a large extent into the bulk plasma. Time-resolved measurements exhibit the process down to a single-particle level. Primary clusters, consisting of particles in the solid state, grow vertically and, secondarily, horizontally. The box-counting method shows a fractal dimension of df≈2.72 for the clusters. This value gives a hint that the formation process is a combination of local epitaxial and diffusion-limited growth. The particle density and the interparticle distance to the nearest neighbor remain constant within the clusters during crystallization. All results are in good agreement with former observations of a single-particle layer.

  15. Plasma processing conditions substantially influence circulating microRNA biomarker levels.

    Science.gov (United States)

    Cheng, Heather H; Yi, Hye Son; Kim, Yeonju; Kroh, Evan M; Chien, Jason W; Eaton, Keith D; Goodman, Marc T; Tait, Jonathan F; Tewari, Muneesh; Pritchard, Colin C

    2013-01-01

    Circulating, cell-free microRNAs (miRNAs) are promising candidate biomarkers, but optimal conditions for processing blood specimens for miRNA measurement remain to be established. Our previous work showed that the majority of plasma miRNAs are likely blood cell-derived. In the course of profiling lung cancer cases versus healthy controls, we observed a broad increase in circulating miRNA levels in cases compared to controls and that higher miRNA expression correlated with higher platelet and particle counts. We therefore hypothesized that the quantity of residual platelets and microparticles remaining after plasma processing might impact miRNA measurements. To systematically investigate this, we subjected matched plasma from healthy individuals to stepwise processing with differential centrifugation and 0.22 µm filtration and performed miRNA profiling. We found a major effect on circulating miRNAs, with the majority (72%) of detectable miRNAs substantially affected by processing alone. Specifically, 10% of miRNAs showed 4-30x variation, 46% showed 30-1,000x variation, and 15% showed >1,000x variation in expression solely from processing. This was predominantly due to platelet contamination, which persisted despite using standard laboratory protocols. Importantly, we show that platelet contamination in archived samples could largely be eliminated by additional centrifugation, even in frozen samples stored for six years. To minimize confounding effects in microRNA biomarker studies, additional steps to limit platelet contamination for circulating miRNA biomarker studies are necessary. We provide specific practical recommendations to help minimize confounding variation attributable to plasma processing and platelet contamination.

  16. Reactive sputter deposition

    CERN Document Server

    Mahieu, Stijn

    2008-01-01

    In this valuable work, all aspects of the reactive magnetron sputtering process, from the discharge up to the resulting thin film growth, are described in detail, allowing the reader to understand the complete process. Hence, this book gives necessary information for those who want to start with reactive magnetron sputtering, understand and investigate the technique, control their sputtering process and tune their existing process, obtaining the desired thin films.

  17. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    Science.gov (United States)

    Hamann, S.; Börner, K.; Burlacov, I.; Spies, H.-J.; Strämke, M.; Strämke, S.; Röpcke, J.

    2015-12-01

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH4, C2H2, HCN, and NH3). With the help of OES, the rotational temperature of the screen plasma could be determined.

  18. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    International Nuclear Information System (INIS)

    Hamann, S.; Röpcke, J.; Börner, K.; Burlacov, I.; Spies, H.-J.; Strämke, M.; Strämke, S.

    2015-01-01

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH 4 , C 2 H 2 , HCN, and NH 3 ). With the help of OES, the rotational temperature of the screen plasma could be determined

  19. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    Energy Technology Data Exchange (ETDEWEB)

    Hamann, S., E-mail: hamann@inp-greifswald.de; Röpcke, J. [INP-Greifswald, Felix-Hausdorff-Str. 2, 17489 Greifswald (Germany); Börner, K.; Burlacov, I.; Spies, H.-J. [TU Bergakademie Freiberg, Institute of Materials Engineering, Gustav-Zeuner-Str. 5, 09599 Freiberg (Germany); Strämke, M.; Strämke, S. [ELTRO GmbH, Arnold-Sommerfeld-Ring 3, 52499 Baesweiler (Germany)

    2015-12-15

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH{sub 4}, C{sub 2}H{sub 2}, HCN, and NH{sub 3}). With the help of OES, the rotational temperature of the screen plasma could be determined.

  20. Plasma processing of compacted drums of simulated radioactive waste

    International Nuclear Information System (INIS)

    Geimer, R.; Batdorf, J.; Larsen, M.M.

    1991-01-01

    The charter of the Department of Energy (DOE) Office of Technology Development (OTD) is to identify and develop technologies that have potential application in the treatment of DOE wastes. One particular waste of concern within the DOE is transuranic (TRU) waste, which is generated and stored at several DOE sites. High temperature DC arc generated plasma technology is an emerging treatment method for TRU waste, and its use has the potential to provide many benefits in the management of TRU. This paper begins by discussing the need for development of a treatment process for TRU waste, and the potential benefits that a plasma waste treatment system can provide in treating TRU waste. This is followed by a discussion of the results of a project conducted for the DOE to demonstrate the effectiveness of a plasma process for treating supercompacted TRU waste. 1 fig., 1 tab

  1. Reactive Kripke semantics

    CERN Document Server

    Gabbay, Dov M

    2013-01-01

    This text offers an extension to the traditional Kripke semantics for non-classical logics by adding the notion of reactivity. Reactive Kripke models change their accessibility relation as we progress in the evaluation process of formulas in the model. This feature makes the reactive Kripke semantics strictly stronger and more applicable than the traditional one. Here we investigate the properties and axiomatisations of this new and most effective semantics, and we offer a wide landscape of applications of the idea of reactivity. Applied topics include reactive automata, reactive grammars, rea

  2. The 82-plex plasma protein signature that predicts increasing inflammation

    DEFF Research Database (Denmark)

    Tepel, Martin; Beck, Hans C; Tan, Qihua

    2015-01-01

    The objective of the study was to define the specific plasma protein signature that predicts the increase of the inflammation marker C-reactive protein from index day to next-day using proteome analysis and novel bioinformatics tools. We performed a prospective study of 91 incident kidney....... The prediction model selected and validated 82 plasma proteins which determined increased next-day C-reactive protein (area under receiver-operator-characteristics curve, 0.772; 95% confidence interval, 0.669 to 0.876; P signature (P ....001) was associated with observed increased next-day C-reactive protein. The 82-plex protein signature outperformed routine clinical procedures. The category-free net reclassification index improved with 82-plex plasma protein signature (total net reclassification index, 88.3%). Using the 82-plex plasma protein...

  3. Recent progress in thin film processing by magnetron sputtering with plasma diagnostics

    International Nuclear Information System (INIS)

    Han, Jeon G

    2009-01-01

    The precise control of the structure and related properties becomes crucial for sophisticated applications of thin films deposited by magnetron sputtering in emerging industries including the flat panel display, digital electronics and nano- and bio-industries. The film structure is closely related to the total energy delivered to the substrate surface for nucleation and growth during all kinds of thin film processes, including magnetron sputtering. Therefore, the energy delivered to the surface for nucleation and growth during magnetron sputtering should be measured and analysed by integrated diagnostics of the plasma parameters which are closely associated with the process parameters and other external process conditions. This paper reviews the background of thin film nucleation and growth, the status of magnetron sputtering technology and the progress of plasma diagnostics for plasma processing. The evolution of the microstructure during magnetron sputtering is then discussed with respect to the change in the process variables in terms of the plasma parameters along with empirical data of the integrated plasma diagnostics for various magnetron sputtering conditions with conventional dc, pulsed dc and high power pulsed dc sputtering modes. Among the major energy terms to be discussed are the temperature change in the top surface region and the energies of ions and neutral species. (topical review)

  4. Adaptive plasma for cancer therapy: physics, mechanism and applications

    Science.gov (United States)

    Keidar, Michael

    2017-10-01

    One of the most promising applications of cold atmospheric plasma (CAP) is the cancer therapy. The uniqueness of plasma is in its ability to change composition in situ. Plasma self-organization could lead to formation of coherent plasma structures. These coherent structures tend to modulate plasma chemistry and composition, including reactive species, the electric field and charged particles. Formation of coherent plasma structures allows the plasma to adapt to external boundary conditions, such as different cells types and their contextual tissues. In this talk we will explore possibilities and opportunities that the adaptive plasma therapeutic system might offer. We shall define such an adaptive system as a plasma device that is able to adjust the plasma composition to obtain optimal desirable outcomes through its interaction with cells and tissues. The efficacy of cold plasma in a pre-clinical model of various cancer types such as lung, bladder, breast, head, neck, brain and skin has been demonstrated. Both in-vitro and in-vivo studies revealed that cold plasmas selectively kill cancer cells. Recently mechanism of plasma selectivity based on aquaporin hypothesis has been proposed. Aquaporins (AQPs) are the confirmed membrane channels of H2O2 and other large molecules. We have demonstrated that the anti-cancer capacity of plasma could be inhibited by silencing the expression of AQPs. Additional possible cell feedback mechanism was recently discovered. It is associated with production of reactive species during direct CAP treatment by cancer cells. Selective production of hydrogen peroxide by different cells can lead to adaptation of chemistry at the plasma-cell interface based on the cellular input. In particular we have found that the discharge voltage is an important factor affecting the ratio of reactive oxygen species to reactive nitrogen species in the gas phase and this correlates well with effect of hydrogen peroxide production by cells. This work was

  5. Baseline Plasma C-Reactive Protein Concentrations and Motor Prognosis in Parkinson Disease.

    Directory of Open Access Journals (Sweden)

    Atsushi Umemura

    Full Text Available C-reactive protein (CRP, a blood inflammatory biomarker, is associated with the development of Alzheimer disease. In animal models of Parkinson disease (PD, systemic inflammatory stimuli can promote neuroinflammation and accelerate dopaminergic neurodegeneration. However, the association between long-term systemic inflammations and neurodegeneration has not been assessed in PD patients.To investigate the longitudinal effects of baseline CRP concentrations on motor prognosis in PD.Retrospective analysis of 375 patients (mean age, 69.3 years; mean PD duration, 6.6 years. Plasma concentrations of high-sensitivity CRP were measured in the absence of infections, and the Unified Parkinson's Disease Rating Scale Part III (UPDRS-III scores were measured at five follow-up intervals (Days 1-90, 91-270, 271-450, 451-630, and 631-900.Change of UPDRS-III scores from baseline to each of the five follow-up periods.Change in UPDRS-III scores was significantly greater in PD patients with CRP concentrations ≥0.7 mg/L than in those with CRP concentrations <0.7 mg/L, as determined by a generalized estimation equation model (P = 0.021 for the entire follow-up period and by a generalized regression model (P = 0.030 for the last follow-up interval (Days 631-900. The regression coefficients of baseline CRP for the two periods were 1.41 (95% confidence interval [CI] 0.21-2.61 and 2.62 (95% CI 0.25-4.98, respectively, after adjusting for sex, age, baseline UPDRS-III score, dementia, and incremental L-dopa equivalent dose.Baseline plasma CRP levels were associated with motor deterioration and predicted motor prognosis in patients with PD. These associations were independent of sex, age, PD severity, dementia, and anti-Parkinsonian agents, suggesting that subclinical systemic inflammations could accelerate neurodegeneration in PD.

  6. Influence of pulsed plasma streams processing on wear behavior of steels in different friction conditions

    International Nuclear Information System (INIS)

    Bandura, A.N.; Byrka, O.V.; Tereshin, V.I.; Bovda, A.M.; Tortika, A.S.

    2000-01-01

    Pulsed plasma streams processing was applied for surface modification of industrial steel samples. Different types of wear tests (pin-on-disk,flat-on-flat, abrasive,cavitation) were carried out for samples irradiated by pulsed nitrogen plasma streams. There was achieved essential decrease of wear and tear of processed surfaces of all kinds of steels including previously thermally quenched ones. Obtained results are of importance for both determination of optimal regimes of plasma streams processing and the most resulting use of pulsed plasma streams for technology purpose, i.e. for identification of wear modes and optimal friction conditions for steels processed by plasma streams

  7. Abnormal proactive and reactive cognitive control during conflict processing in major depression.

    Science.gov (United States)

    Vanderhasselt, Marie-Anne; De Raedt, Rudi; De Paepe, Annick; Aarts, Kristien; Otte, Georges; Van Dorpe, Jan; Pourtois, Gilles

    2014-02-01

    According to the Dual Mechanisms of Control framework, cognitive control consists of two complementary components: proactive control refers to anticipatory maintenance of goal-relevant information, whereas reactive control acts as a correction mechanism that is activated when a conflict occurs. Possibly, the well-known diminished inhibitory control in response to negative stimuli in Major Depressive Disorder (MDD) patients stems from a breakdown in proactive control, and/or anomalies in reactive cognitive control. In our study, MDD patients specifically showed increased response latencies when actively inhibiting a dominant response to a sad compared with a happy face. This condition was associated with a longer duration of a dominant ERP topography (800-900 ms poststimulus onset) and a stronger activity in the bilateral dorsal anterior cingulate cortex, reflecting abnormal reactive control when inhibiting attention to a negative stimulus. Moreover, MDD patients showed abnormalities in proactive cognitive control when preparing for the upcoming imperative stimulus (abnormal modulation of the contingent negative variation component), accompanied by more activity in brain regions belonging to the default mode network. All together, deficits to inhibit attention to negative information in MDD might originate from an abnormal use of both proactive resources and reactive control processes. PsycINFO Database Record (c) 2014 APA, all rights reserved.

  8. Numerical simulation of plasma processes driven by transverse ion heating

    Science.gov (United States)

    Singh, Nagendra; Chan, C. B.

    1993-01-01

    The plasma processes driven by transverse ion heating in a diverging flux tube are investigated with numerical simulation. The heating is found to drive a host of plasma processes, in addition to the well-known phenomenon of ion conics. The downward electric field near the reverse shock generates a doublestreaming situation consisting of two upflowing ion populations with different average flow velocities. The electric field in the reverse shock region is modulated by the ion-ion instability driven by the multistreaming ions. The oscillating fields in this region have the possibility of heating electrons. These results from the simulations are compared with results from a previous study based on a hydrodynamical model. Effects of spatial resolutions provided by simulations on the evolution of the plasma are discussed.

  9. Studies on the cross-magnetron effect in the reactive indium tin oxide deposition. Effects of an inhomogeneous plasma distribution on the coating properties in dynamic and static coating by means of a pulsed dual magnetron

    International Nuclear Information System (INIS)

    Kleinhempel, Ronny

    2008-01-01

    In the present thesis the reactive ITO deposition process under application of metallic In:Sn targets is intensively studied. The coating deposition pursues at a symmetric bipolarly pulsed dual magnetron both on resting and moving substrates. The thesis comprehends two partial fields. On the one hand the dynamic deposition process was comprehensively characterized at an near-industry test facility and successfully transformed by means of its physical parameters to an industrial coating facility. On the other hand static depositions were performed. These allow the analysis of the lateral distribution of the functional coating properties. By this a correlation to the lateral distributions of the measured plasma parameters could be elaborated [de

  10. Examination of the relation between periodontal health status and cardiovascular risk factors: serum total and high density lipoprotein cholesterol, C-reactive protein, and plasma fibrinogen.

    Science.gov (United States)

    Wu, T; Trevisan, M; Genco, R J; Falkner, K L; Dorn, J P; Sempos, C T

    2000-02-01

    Using data from the Third National Health and Nutrition Examination Survey (1988-1994), the authors examined the relation between periodontal health and cardiovascular risk factors: serum total and high density lipoprotein cholesterol, C-reactive protein, and plasma fibrinogen. A total of 10,146 participants were included in the analyses of cholesterol and C-reactive protein and 4,461 in the analyses of fibrinogen. Periodontal health indicators included the gingival bleeding index, calculus index, and periodontal disease status (defined by pocket depth and attachment loss). While cholesterol and fibrinogen were analyzed as continuous variables, C-reactive protein was dichotomized into two levels. The results show a significant relation between indicators of poor periodontal status and increased C-reactive protein and fibrinogen. The association between periodontal status and total cholesterol level is much weaker. No consistent association between periodontal status and high density lipoprotein cholesterol was detectable. Similar patterns of association were observed for participants aged 17-54 years and those 55 years and older. In conclusion, this study suggests that total cholesterol, C-reactive protein, and fibrinogen are possible intermediate factors that may link periodontal disease to elevated cardiovascular risk.

  11. Comparison endpoint study of process plasma and secondary electron beam exciter optical emission spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Stephan Thamban, P. L.; Yun, Stuart; Padron-Wells, Gabriel; Hosch, Jimmy W.; Goeckner, Matthew J. [Department of Mechanical Engineering, University of Texas at Dallas, 800W Campbell Road, Richardson, Texas 75080 (United States); Department of Electrical Engineering, University of Texas at Dallas, 800W Campbell Road, Richardson, Texas 75080 (United States); Verity Instruments, Inc., 2901 Eisenhower Street, Carrollton, Texas 75007 (United States); Department of Mathematical Sciences, University of Texas at Dallas, 800 W Campbell Road, Richardson, Texas 75080 (United States)

    2012-11-15

    Traditionally process plasmas are often studied and monitored by optical emission spectroscopy. Here, the authors compare experimental measurements from a secondary electron beam excitation and direct process plasma excitation to discuss and illustrate its distinctiveness in the study of process plasmas. They present results that show excitations of etch process effluents in a SF{sub 6} discharge and endpoint detection capabilities in dark plasma process conditions. In SF{sub 6} discharges, a band around 300 nm, not visible in process emission, is observed and it can serve as a good indicator of etch product emission during polysilicon etches. Based on prior work reported in literature the authors believe this band is due to SiF{sub 4} gas phase species.

  12. Plasma gasification process: Modeling, simulation and comparison with conventional air gasification

    International Nuclear Information System (INIS)

    Janajreh, Isam; Raza, Syed Shabbar; Valmundsson, Arnar Snaer

    2013-01-01

    Highlights: ► Plasma/conventional gasification are modeled via Gibbs energy minimization. ► The model is applied to wide range of feedstock, tire, biomass, coal, oil shale. ► Plasma gasification show high efficiency for tire waste and coal. ► Efficiency is around 42% for plasma and 72% for conventional gasification. ► Lower plasma gasification efficiency justifies hazardous waste energy recovery. - Abstract: In this study, two methods of gasification are developed for the gasification of various feedstock, these are plasma gasification and conventional air gasification. The two methods are based on non-stoichiometric Gibbs energy minimization approach. The model takes into account the different type of feedstocks, which are analyzed at waste to energy lab at Masdar Institute, oxidizer used along with the plasma energy input and accurately evaluates the syngas composition. The developed model is applied for several types of feedstock, i.e. waste tire material, coal, plywood, pine needles, oil shale, and municipal solid waste (MSW), algae, treated/untreated wood, instigating air/steam as the plasma gas and only air as oxidizer for conventional gasification. The results of plasma gasification and conventional air gasification are calculated on the bases of product gas composition and the process efficiency. Results of plasma gasification shows that high gasification efficiency is achievable using both tire waste material and coal, also, the second law efficiency is calculated for plasma gasification that shows a relative high efficiency for tire and coal as compare to other feedstock. The average process efficiency for plasma gasification is calculated to be around 42%. On other hand the result of conventional gasification shows an average efficiency of 72%. The low efficiency of plasma gasification suggest that if only the disposal of hazard waste material is considered then plasma gasification can be a viable option to recover energy.

  13. Atomic processes relevant to polarization plasma spectroscopy

    International Nuclear Information System (INIS)

    Fujimoto, T.; Koike, F.; Sakimoto, K.; Okasaka, R.; Kawasaki, K.; Takiyama, K.; Oda, T.; Kato, T.

    1992-04-01

    When atoms (ions) are excited anisotropically, polarized excited atoms are produced and the radiation emitted by these atoms is polarized. From the standpoint of plasma spectroscopy research, we review the existing data for various atomic processes that are related to the polarization phenomena. These processes are: electron impact excitation, excitation by atomic and ionic collisions, photoexcitation, radiative recombination and bremsstrahlung. Collisional and radiative relaxation processes of atomic polarization follow. Other topics included are: electric-field measurement, self alignment, Lyman doublet intensity ratio, and magnetic-field measurement of the solar prominence. (author)

  14. Process Diagnostics and Monitoring Using the Multipole Resonance Probe (MRP)

    Science.gov (United States)

    Harhausen, J.; Awakowicz, P.; Brinkmann, R. P.; Foest, R.; Lapke, M.; Musch, T.; Mussenbrock, T.; Oberrath, J.; Ohl, A.; Rolfes, I.; Schulz, Ch.; Storch, R.; Styrnoll, T.

    2011-10-01

    In this contribution we present the application of the MRP in an industrial plasma ion assisted deposition (PIAD) chamber (Leybold optics SYRUS-pro). The MRP is a novel plasma diagnostic which is suitable for an industrial environment - which means that the proposed method is robust, calibration free, and economical, and can be used for ideal and reactive plasmas alike. In order to employ the MRP as process diagnostics we mounted the probe on a manipulator to obtain spatially resolved information on the electron density and temperature. As monitoring tool the MRP is installed at a fixed position. Even during the deposition process it provides stable measurement results while other diagnostic methods, e.g. the Langmuir probe, may suffer from dielectric coatings. In this contribution we present the application of the MRP in an industrial plasma ion assisted deposition (PIAD) chamber (Leybold optics SYRUS-pro). The MRP is a novel plasma diagnostic which is suitable for an industrial environment - which means that the proposed method is robust, calibration free, and economical, and can be used for ideal and reactive plasmas alike. In order to employ the MRP as process diagnostics we mounted the probe on a manipulator to obtain spatially resolved information on the electron density and temperature. As monitoring tool the MRP is installed at a fixed position. Even during the deposition process it provides stable measurement results while other diagnostic methods, e.g. the Langmuir probe, may suffer from dielectric coatings. Funded by the German Ministry for Education and Research (BMBF, Fkz. 13N10462).

  15. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber.

    Science.gov (United States)

    Dechana, A; Thamboon, P; Boonyawan, D

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films-analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques-will be discussed.

  16. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Energy Technology Data Exchange (ETDEWEB)

    Dechana, A. [Program of Physics and General Science, Faculty of Science and Technology, Songkhla Rajabhat University, Songkhla 90000 (Thailand); Thamboon, P. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Boonyawan, D., E-mail: dheerawan.b@cmu.ac.th [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand)

    2014-10-15

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al{sub 2}O{sub 3} layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al{sub 2}O{sub 3} films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  17. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Science.gov (United States)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  18. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    International Nuclear Information System (INIS)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-01-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al 2 O 3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al 2 O 3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed

  19. Plasma processing of soft materials for development of flexible devices

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2011-01-01

    Plasma-polymer interactions have been studied as a basis for development of next-generation processing of flexible devices with soft materials by means of low-damage plasma technologies (soft materials processing technologies). In the present article, interactions between argon plasmas and polyethylene terephthalate (PET) films have been examined for investigations of physical damages induced by plasma exposures to the organic material via chemical bonding-structure analyses using hard X-ray photoelectron spectroscopy (HXPES) together with conventional X-ray photoelectron spectroscopy (XPS). The PET film has been selected as a test material for investigations in the present study not merely because of its specific applications, such as a substrate material, but because PET is one of the well defined organic materials containing major components in a variety of functional soft materials; C-C main chain, CH bond, oxygen functionalities (O=C-O bond and C-O bond) and phenyl group. Especially, variations of the phenyl group due to argon plasma exposures have been investigated in the present article in order to examine plasma interactions with π-conjugated system, which is in charge of electronic functions in many of the π-conjugated electronic organic materials to be utilized as functional layer for advanced flexible device formations. The PET films have been exposed to argon plasmas sustained via inductive coupling of RF power with low-inductance antenna modules. The HXPES analyses exhibited that the degradations of the oxygen functionalities and the phenyl group in the deeper regions up to 50 nm from the surface of the samples were insignificant indicating that the bond scission and/or the degradations of the chemical bonding structures due to photoirradiation from the plasma and/or surface heating via plasma exposure were relatively insignificant as compared with damages in the vicinity of the surface layers.

  20. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching(SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition,etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000?C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  1. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching (SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition, etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000◦C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  2. Endogenous magnetic reconnection and associated high energy plasma processes

    Science.gov (United States)

    Coppi, B.; Basu, B.

    2018-02-01

    An endogenous reconnection process involves a driving factor that lays inside the layer where a drastic change of magnetic field topology occurs. A process of this kind is shown to take place when an electron temperature gradient is present in a magnetically confined plasma and the evolving electron temperature fluctuations are anisotropic. The width of the reconnecting layer remains significant even when large macroscopic distances are considered. In view of the fact that there are plasmas in the Universe with considerable electron thermal energy contents this feature can be relied upon in order to produce generation or conversion of magnetic energy, high energy particle populations and momentum and angular momentum transport.

  3. Precision microwave applicators and systems for plasma and materials processing

    International Nuclear Information System (INIS)

    Asmussen, J.; Garard, R.

    1988-01-01

    Modern applications of microwave energy have imposed new requirements upon microwave processing systems. Interest in energy efficiency, processing uniformity and control of process cycles has placed new design conditions upon microwave power oscillators, microwave systems and microwave applicator design. One approach of meeting new application requirements is the use of single-mode or controlled multimode applicators. The use of a single-mode applicator for plasma generation and materials processing will be presented. Descriptions of actual applicator designs for heating, curing, and processing of solid materials and the generations of high and low pressure discharges will be given. The impact of these applicators on the total microwave system including the microwave power source will be described. Specific examples of applicator and associated microwave systems will be detailed for the applications of (1) plasma thin film deposition and (2) the precision processing and diagnosis of materials. Methods of process control and diagnosis, control of process uniformity and process scale up are discussed

  4. Low temperature synthesis of silicon quantum dots with plasma chemistry control in dual frequency non-thermal plasmas.

    Science.gov (United States)

    Sahu, Bibhuti Bhusan; Yin, Yongyi; Han, Jeon Geon; Shiratani, Masaharu

    2016-06-21

    The advanced materials process by non-thermal plasmas with a high plasma density allows the synthesis of small-to-big sized Si quantum dots by combining low-temperature deposition with superior crystalline quality in the background of an amorphous hydrogenated silicon nitride matrix. Here, we make quantum dot thin films in a reactive mixture of ammonia/silane/hydrogen utilizing dual-frequency capacitively coupled plasmas with high atomic hydrogen and nitrogen radical densities. Systematic data analysis using different film and plasma characterization tools reveals that the quantum dots with different sizes exhibit size dependent film properties, which are sensitively dependent on plasma characteristics. These films exhibit intense photoluminescence in the visible range with violet to orange colors and with narrow to broad widths (∼0.3-0.9 eV). The observed luminescence behavior can come from the quantum confinement effect, quasi-direct band-to-band recombination, and variation of atomic hydrogen and nitrogen radicals in the film growth network. The high luminescence yields in the visible range of the spectrum and size-tunable low-temperature synthesis with plasma and radical control make these quantum dot films good candidates for light emitting applications.

  5. Effects of background neutral particles on a field-reversed configuration plasma in the translation process

    International Nuclear Information System (INIS)

    Matsuzawa, Yoshiki; Asai, Tomohiko; Takahashi, Tsutomu; Takahashi, Toshiki

    2008-01-01

    A field-reversed configuration (FRC) plasma was translated into a weakly ionized plasma and the effects of heating and particle buildup of the FRC plasma due to the background neutral particles and plasma injection in the translation process were investigated. Improvement of the particle and poloidal flux confinements and delay of onset of n=2 rotational instability were observed in the translation process. It was found that the internal structure of the plasma pressure (plasma temperature and density) at the separatrix and field null was deformed by the particle injection. FRC plasma translation through the background particles was equivalent to an end-on particle beam injection to the FRC plasma. Particles and energy were supplied during the translation. The results obtained for the phenomena of particle supply and plasma heating were also supported by the results of two-dimensional particle simulation. The effects of background particle injection appear to be a promising process for the regeneration of translation kinetic energy to plasma internal energy

  6. Determination of the oxidation mechanism to Cd Te obtained by rf reactive magnetron sputtering in a plasma of Ar-N{sub 2}O; Determinacion del mecanismo de oxigenacion del CdTe obtenido por rf sputtering reactivo con magnetron en un plasma de Ar-N{sub 2}O

    Energy Technology Data Exchange (ETDEWEB)

    Caballero B, F.; Zapata N, A.; Bartolo P, P.; Castro R, R.; Zapata T, M.; Cauich, W.; Pena, J.L. [Departamento de Fisica Aplicada, Centro deInvestigacion y de Estudios Avanzados, Instituto Politecnico Nacional, Unidad Merida, Apartado postal 73, Cordemex, 97310 Merida, Yucatan (Mexico)

    1998-12-31

    In this work we did studies to determinate the oxidation site and incorporation mechanism of oxygen to Cd Te, when preparing Cd Te:O thin films by r f reactive magnetron sputtering, using a Cd Te target and a controlled plasma of Ar-N{sub 2}O. We study the influence in the oxygen content in films due to the variation of N{sub 2}O partial pressure, plasma power and substrate position. We monitored the process in situ by mass spectrometry to determinate the variation of present compounds when varying the N{sub 2}O partial pressure and plasma power. Thin films composition was determined by Auger electron spectroscopy and their structure by X-ray diffraction. We demonstrate that oxygen incorporation has place mainly in the substrate, forming an amorphous Cd Te:O film. We found that exists Cd Te oxidation without using nitrous oxide, may be due to residual atmosphere. We demonstrate that Cd Te oxidation depends on nitrous oxide partial pressure and plasma power. We found that deposition rate of Cd Te:O thin films depend on nitrous oxide interaction with Cd Te in the target and on the chamber walls. We propose a reaction mechanism to explain the oxygen incorporation to Cd Te. (Author)

  7. Comparison study of nitrogen and argon processing in a plasma arc centrifugal treatment system

    International Nuclear Information System (INIS)

    Shuey, M.; Tsuji, Y.

    2000-01-01

    Recent testing performed at the plasma research center of Retech Services, Inc. compared nitrogen with argon as plasma gas in the processing of simulated wastes. The testing took place in a full-scale production PACT system under a cooperative research and development study between Retech Services Inc. and Toyo Engineering Corporation. This study shows that simulated waste can be processed by both nitrogen and argon plasmas. Heat losses to the torch nozzle were significantly lower with argon and should be studied further. Both argon and nitrogen plasma were able to process feeds containing both metals and oxides. Some of the drawbacks to using argon plasma are cost, higher volume flow rates, and dual mode torch nozzle erosion. (authors)

  8. Plasma nitrocarburizing process - a solution to improve wear and corrosion resistance

    International Nuclear Information System (INIS)

    Joseph, Alphonsa J.; Ghanshyam, J.; Mukherjee, S.

    2015-01-01

    To prevent wear and corrosion problems in steam turbines, coatings have proved to have an advantage of isolating the component substrate from the corrosive environment with minimal changes in turbine material and design. Diffusion based coatings like plasma nitriding and plasma nitrocarburizing have been used for improving the wear and corrosion resistance of components undergoing wear during their operation. In this study plasma nitrocarburizing process was carried out on ferritic alloys like ASTM A182 Grade F22 and ATM A105 alloy steels and austenitic stainless steels like AISI 304 and AISI 316 which are used to make trim parts of control valves used for high pressure and high temperature steam lines to enhance their wear and corrosion resistance properties. The corrosion rate was measured by a potentiodynamic set up and salt spray unit in two different environments viz., tap water and 5% NaCl solutions. The Tafel plots of ferritic alloys and austenitic stainless steels show that plasma nitrocarburizing process show better corrosion resistance compared to that of the untreated steel. It was found that after plasma nitrocarburizing process the hardness of the alloy steels increased by a factor of two. The corrosion resistance of all the steels mentioned above improved in comparison to the untreated steels. This improvement can be attributed to the nitrogen and carbon incorporation in the surface of the material. This process can be also applied to components used in nuclear industries to cater to the wear and corrosion problems. (author)

  9. Deposição por plasma com arco transferido Hardfacing by plasma transfer arc process

    Directory of Open Access Journals (Sweden)

    Víctor Vergara Díaz

    2010-03-01

    Full Text Available Em virtude do Processo de Soldagem Plasma com Alimentação de Pó ter similaridades com o Processo de Soldagem Plasma com Alimentação de Arame, foi realizado um estudo comparativo entre ambos os processos utilizando-se a liga a base de cobalto comercialmente conhecida como Stellite 6, como material de adição na forma de pó e arame. A pesquisa foi realizada com a expectativa de ser aplicada nas operações de revestimentos de superfícies, em especial em pás de turbinas hidráulicas desgastadas por cavitação. A seleção do material de adição a ser empregado depende da natureza do mecanismo de desgaste encontrado. No Labsolda, a liga Stellite 6 vem sendo uma das mais utilizadas, por apresentar uma excelente resistência ao desgaste erosivo por cavitação. Foi avaliada a influência da vazão de gás de plasma a partir dos valores de diluição, dimensões do cordão, dureza e microestrutura. O Processo de Soldagem Plasma com Alimentação de Pó foi o que produziu o melhor acabamento superficial, menor diluição, melhor molhamento e maior largura. Com isto abre-se uma nova perspectiva para revestimentos metálicos e neste contexto se insere a recuperação por soldagem de partes erodidas de turbinas hidráulicas.The Plasma powder transferred arc welding process, which uses feed stock in the powder form, has similarities with Plasma wire transferred arc welding. This work describes a comparative study of the two processes using a Cobalt-based alloy commercially known as Stellite 6. This Co-based alloy is recognized for its superior cavitation erosion resistance. The aim of this work is to investigate the potential of PTA coatings for the protection and refurbishiment hydraulic turbine blades. Coatings were evaluated for the influence of Plasma gas flow rate on coating dilution, geometry, hardness and microstructure. Coatings processed with the atomized Stellite 6 powder feestock showed a superior surface quality, lower dilution

  10. Reactive low temperature plasma ionization mass spectrometry for the determination of organic UV filters in personal care products.

    Science.gov (United States)

    Ding, Xuelu; Gerbig, Stefanie; Spengler, Bernhard; Schulz, Sabine

    2018-02-01

    Organic UV filters in personal care products (PCPs) have been persistently reported as a potential threat to human health. In order to guarantee consumers ' safety, the dose of these compounds in PCPs needs to be monitored. Here, a methodology based on reactive low temperature plasma ionization (LTP) mass spectrometry (MS) has been developed for the determination of common organic UV filters in PCPs including benzophenone-3, ethylhexyl dimethyl p-aminobenzoic acid, ethylhexyl methoxycinnamate, 4-methylbenzylidene camphor, octocrylene, and ethylhexyl salicylate. The experiments were carried out in transmission geometry where the LTP ion source, samples loaded on a stainless steel mesh, and the MS inlet were aligned coaxially. Four chemicals, ammonia, ammonium formate, aniline, and methylamine were considered as reactive additives allowing reactions with the UV filters through different mechanisms. Methylamine-induced reactive LTP-MS showed the most prominent improvement on the detection of UV filter compounds. Compared to direct LTP-MS, the developed method improved the detection limits of UV filters more than 10 fold. Moreover, the method enabled fast semi-quantitative screening of UV filters in authentic PCPs. Concentrations of active ingredients in eight authentic PCPs as determined with reactive LTP-MS were found comparable to values offered by the cosmetic companies and corresponding HPLC data. The methodology provides high throughput analysis (70s per sample) and sensitive identification of organic UV filters. Lowest detectable concentrations ranged from 0.13µg/g for 4-methylbenzylidene camphor to 7.67µg/g for octocrylene in spiked cream. In addition, it shows the potential to be used as a screening tool for legal authentications of these chemicals in the future due to its semi-quantitative determination of UV filters in PCPs without tedious sample preparation and time-consuming chromatographic separation. Copyright © 2017 Elsevier B.V. All rights reserved.

  11. Generation of Suprathermal Electrons by Collective Processes in Collisional Plasma

    Science.gov (United States)

    Tigik, S. F.; Ziebell, L. F.; Yoon, P. H.

    2017-11-01

    The ubiquity of high-energy tails in the charged particle velocity distribution functions (VDFs) observed in space plasmas suggests the existence of an underlying process responsible for taking a fraction of the charged particle population out of thermal equilibrium and redistributing it to suprathermal velocity and energy ranges. The present Letter focuses on a new and fundamental physical explanation for the origin of suprathermal electron velocity distribution function (EVDF) in a collisional plasma. This process involves a newly discovered electrostatic bremsstrahlung (EB) emission that is effective in a plasma in which binary collisions are present. The steady-state EVDF dictated by such a process corresponds to a Maxwellian core plus a quasi-inverse power-law tail, which is a feature commonly observed in many space plasma environments. In order to demonstrate this, the system of self-consistent particle- and wave-kinetic equations are numerically solved with an initially Maxwellian EVDF and Langmuir wave spectral intensity, which is a state that does not reflect the presence of EB process, and hence not in force balance. The EB term subsequently drives the system to a new force-balanced steady state. After a long integration period it is demonstrated that the initial Langmuir fluctuation spectrum is modified, which in turn distorts the initial Maxwellian EVDF into a VDF that resembles the said core-suprathermal VDF. Such a mechanism may thus be operative at the coronal source region, which is characterized by high collisionality.

  12. Analysis on discharge process of a plasma-jet triggered gas spark switch

    Science.gov (United States)

    Weihao, TIE; Cui, MENG; Yuting, ZHANG; Zirang, YAN; Qiaogen, ZHANG

    2018-01-01

    The plasma-jet triggered gas switch (PJTGS) could operate at a low working coefficient with a low jitter. We observed and analyzed the discharge process of the PJTGS at the lowest working coefficient of 47% with the trigger voltage of 40 kV and the pulse energy of 2 J to evaluate the effect of the plasma jet. The temporal and spatial evolution and the optical emission spectrum of the plasma jet were captured. And the spraying delay time and outlet velocity under different gas pressures were investigated. In addition, the particle in cell with Monte Carlo collision was employed to obtain the particle distribution of the plasma jet varying with time. The results show that, the plasma jet generated by spark discharge is sprayed into a spark gap within tens of nanoseconds, and its outlet velocity could reach 104 m s-1. The plasma jet plays a non-penetrating inducing role in the triggered discharge process of the PJTGS. On the one hand, the plasma jet provides the initial electrons needed by the discharge; on the other hand, a large number of electrons focusing on the head of the plasma jet distort the electric field between the head of the plasma jet and the opposite electrode. Therefore, a fast discharge originated from the plasma jet is induced and quickly bridges two electrodes.

  13. Plasmid DNA damage induced by helium atmospheric pressure plasma jet

    Science.gov (United States)

    Han, Xu; Cantrell, William A.; Escobar, Erika E.; Ptasinska, Sylwia

    2014-03-01

    A helium atmospheric pressure plasma jet (APPJ) is applied to induce damage to aqueous plasmid DNA. The resulting fractions of the DNA conformers, which indicate intact molecules or DNA with single- or double-strand breaks, are determined using agarose gel electrophoresis. The DNA strand breaks increase with a decrease in the distance between the APPJ and DNA samples under two working conditions of the plasma source with different parameters of applied electric pulses. The damage level induced in the plasmid DNA is also enhanced with increased plasma irradiation time. The reactive species generated in the APPJ are characterized by optical emission spectra, and their roles in possible DNA damage processes occurring in an aqueous environment are also discussed.

  14. Production of simplex RNS and ROS by nanosecond pulse N2/O2 plasma jets with homogeneous shielding gas for inducing myeloma cell apoptosis

    Science.gov (United States)

    Liu, Zhijie; Xu, Dehui; Liu, Dingxin; Cui, Qingjie; Cai, Haifeng; Li, Qiaosong; Chen, Hailan; Kong, Michael G.

    2017-05-01

    In this paper, atmospheric pressure N2/O2 plasma jets with homogeneous shielding gas excited by nanosecond pulse are obtained to generate simplex reactive nitrogen species (RNS) and reactive oxygen species (ROS), respectively, for the purpose of studying the simplex RNS and ROS to induce the myeloma cell apoptosis with the same discharge power. The results reveal that the cell death rate by the N2 plasma jet with N2 shielding gas is about two times that of the O2 plasma jet with O2 shielding gas for the equivalent treatment time. By diagnosing the reactive species of ONOO-, H2O2, OH and \\text{O}2- in medium, our findings suggest the cell death rate after plasma jets treatment has a positive correlation with the concentration of ONOO-. Therefore, the ONOO- in medium is thought to play an important role in the process of inducing myeloma cell apoptosis.

  15. Aerospace Applications of Non-Equilibrium Plasma

    Science.gov (United States)

    Blankson, Isaiah M.

    2016-01-01

    Nonequilibrium plasma/non-thermal plasma/cold plasmas are being used in a wide range of new applications in aeronautics, active flow control, heat transfer reduction, plasma-assisted ignition and combustion, noise suppression, and power generation. Industrial applications may be found in pollution control, materials surface treatment, and water purification. In order for these plasma processes to become practical, efficient means of ionization are necessary. A primary challenge for these applications is to create a desired non-equilibrium plasma in air by preventing the discharge from transitioning into an arc. Of particular interest is the impact on simulations and experimental data with and without detailed consideration of non-equilibrium effects, and the consequences of neglecting non-equilibrium. This presentation will provide an assessment of the presence and influence of non-equilibrium phenomena for various aerospace needs and applications. Specific examples to be considered will include the forward energy deposition of laser-induced non-equilibrium plasmoids for sonic boom mitigation, weakly ionized flows obtained from pulsed nanosecond discharges for an annular Hall type MHD generator duct for turbojet energy bypass, and fundamental mechanisms affecting the design and operation of novel plasma-assisted reactive systems in dielectric liquids (water purification, in-pipe modification of fuels, etc.).

  16. Plasma catalytic process for CO2 methanation

    International Nuclear Information System (INIS)

    Nizio, Magdalena

    2016-01-01

    The limited resources of oil and natural gas, together with an increasing energy demand, forces us to seek more and more efficient and cleaner energy production alternatives. Hydrogen has been recently considered as a promising energy carrier. However, there are several inherent problems to the utilization of H 2 , from its transportation to its distribution. Transformation of the H 2 molecule by fixing into a carbon-containing compound, i.e. CH 4 , will offer the possibility of using the conventional transportation network. Indeed, the Sabatier reaction, which is highly exothermic, involves the reaction of carbon dioxide and hydrogen gas in order to produce methane and water. This process, called methanation, represents a feasible approach contributing to the reduction of the CO 2 emissions in our atmosphere, through a closed carbon cycle involving the valorization of CO 2 , i.e. from capture. However, below a temperature of 250 C, the conversion becomes practically close to 0 %, whereas at higher temperatures, i.e., (≥300 C), the co-existence of secondary reactions favours the formation of CO and H 2 . This is the reason why new catalysts and process conditions are continuously being investigated in order to maximize the methane selectivity at low reaction temperatures at atmospheric pressure. Therefore, by using catalysts combined to Dielectric Barrier Discharge plasmas (DBD), the activation of the methanation reaction can be enhanced and overcome the drawbacks of existing conventional processes. Several Ni-containing catalysts were prepared using various ceria-zirconia oxides as supports, with different Ce/Zr ratios. The results obtained in the adiabatic conditions at low temperatures (ranging between 100-150 C), in the presence of catalysts activated by plasma, are promising. Indeed, the conversion of CO 2 to CH 4 is about 85 % with a selectivity close to 100 %. The same conversion in the absence of the plasma activation of the catalyst is observed at 350 C

  17. Atmospheric Pressure Plasma-Electrospin Hybrid Process for Protective Applications

    Science.gov (United States)

    Vitchuli Gangadharan, Narendiran

    2011-12-01

    Chemical and biological (C-B) warfare agents like sarin, sulfur mustard, anthrax are usually dispersed into atmosphere in the form of micro aerosols. They are considered to be dangerous weapon of mass destruction next to nuclear weapons. The airtight protective clothing materials currently available are able to stop the diffusion of threat agents but not good enough to detoxify them, which endangers the wearers. Extensive research efforts are being made to prepare advanced protective clothing materials that not only prevent the diffusion of C-B agents, but also detoxify them into harmless products thus ensuring the safety and comfort of the wearer. Electrospun nanofiber mats are considered to have effective filtration characteristics to stop the diffusion of submicron level particulates without sacrificing air permeability characteristics and could be used in protective application as barrier material. In addition, functional nanofibers could be potentially developed to detoxify the C-B warfare threats into harmless products. In this research, electrospun nanofibers were deposited on fabric surface to improve barrier efficiency without sacrificing comfort-related properties of the fabrics. Multi-functional nanofibers were fabricated through an electrospinning-electrospraying hybrid process and their ability to detoxify simulants of C-B agents was evaluated. Nanofibers were also deposited onto plasma-pretreated woven fabric substrate through a newly developed plasma-electrospinning hybrid process, to improve the adhesive properties of nanofibers on the fabric surface. The nanofiber adhesion and durability properties were evaluated by peel test, flex and abrasion resistance tests. In this research work, following tasks have been carried out: i) Controlled deposition of nanofiber mat onto woven fabric substrate Electrospun Nylon 6 fiber mats were deposited onto woven 50/50 Nylon/Cotton fabric with the motive of making them into protective material against submicron

  18. Cold plasma interactions with plants: Morphing and movements of Venus flytrap and Mimosa pudica induced by argon plasma jet.

    Science.gov (United States)

    Volkov, Alexander G; Xu, Kunning G; Kolobov, Vladimir I

    2017-12-01

    Low temperature (cold) plasma finds an increasing number of applications in biology, medicine and agriculture. In this paper, we report a new effect of plasma induced morphing and movements of Venus flytrap and Mimosa pudica. We have experimentally observed plasma activation of sensitive plant movements and morphing structures in these plants similar to stimulation of their mechanosensors in vivo. Application of an atmospheric pressure argon plasma jet to the inside or outside of a lobe, midrib, or cilia in Dionaea muscipula Ellis induces trap closing. Treatment of Mimosa pudica by plasma induces movements of pinnules and petioles similar to the effects of mechanical stimulation. We have conducted control experiments and simulations to illustrate that gas flow and UV radiation associated with plasma are not the primary reasons for the observed effects. Reactive oxygen and nitrogen species (RONS) produced by cold plasma in atmospheric air appear to be the primary reason of plasma-induced activation of phytoactuators in plants. Some of these RONS are known to be signaling molecules, which control plants' developmental processes. Understanding these mechanisms could promote plasma-based technology for plant developmental control and future use for plant protection from pathogens. Our work offers new insight into mechanisms which trigger plant morphing and movement. Copyright © 2017 Elsevier B.V. All rights reserved.

  19. Decolorization and Mineralization of Reactive Dyes, by the H2O2/UV Process With Electrochemically Produced H2O2

    NARCIS (Netherlands)

    Jeric, T.; Bisselink, R.J.M.; Tongeren, W. van; Marechal. A.M. Le

    2013-01-01

    Decolorization of Reactive Red 238, Reactive Orange 16, Reactive Black 5 and Reactive Blue 4 was studied in the UV/H2O2 process with H2O2 being produced electrochemically. The experimental results show that decolorization increased considerably when switching on the electrochemical production of

  20. Processing considerations with plasma-based ion implantation of polymers: theoretical aspects, limitations, and experimental results

    International Nuclear Information System (INIS)

    Lacoste, A.; Pelletier, J.

    2003-01-01

    Processing of polymers using plasma-based ion implantation techniques (PBII) has general implications in terms of plasma specifications and pulse characteristics. In particular, the different aspects of the processing of polymer layers are discussed as functions of plasma density, pulse duration, and layer characteristics (thickness and permittivity). Clearly, severe limitations (true implantation energy, arcing) may appear for high-density plasmas as well as for long pulse durations, when processing polymer layers with thickness in the mm range. A review of the experimental results of ion implantation in polymeric materials via PBII processing is presented. The experimental results demonstrate the possibility of processing polymer layers with the PBII technique, but with severe limitations resulting from the process itself

  1. Efficient integration of stiff kinetics with phase change detection for reactive reservoir processes

    DEFF Research Database (Denmark)

    Kristensen, Morten Rode; Gerritsen, Margot G.; Thomsen, Per Grove

    2007-01-01

    We propose the use of implicit one-step Explicit Singly Diagonal Implicit Runge-Kutta (ESDIRK) methods for integration of the stiff kinetics in reactive, compositional and thermal processes that are solved using operator-splitting type approaches. To facilitate the algorithmic development we...

  2. Fabrication of amorphous silica nanowires via oxygen plasma treatment of polymers on silicon

    Science.gov (United States)

    Chen, Zhuojie; She, Didi; Chen, Qinghua; Li, Yanmei; Wu, Wengang

    2018-02-01

    We demonstrate a facile non-catalytic method of fabricating silica nanowires at room temperature. Different polymers including photoresists, parylene C and polystyrene are patterned into pedestals on the silicon substrates. The silica nanowires are obtained via the oxygen plasma treatment on those pedestals. Compared to traditional strategies of silica nanowire fabrication, this method is much simpler and low-cost. Through designing the proper initial patterns and plasma process parameters, the method can be used to fabricate various regiment nano-scale silica structure arrays in any laboratory with a regular oxygen-plasma-based cleaner or reactive-ion-etching equipment.

  3. Impacts of Ambient and Ablation Plasmas on Short- and Ultrashort-Pulse Laser Processing of Surfaces

    Directory of Open Access Journals (Sweden)

    Nadezhda M. Bulgakova

    2014-12-01

    Full Text Available In spite of the fact that more than five decades have passed since the invention of laser, some topics of laser-matter interaction still remain incompletely studied. One of such topics is plasma impact on the overall phenomenon of the interaction and its particular features, including influence of the laser-excited plasma re-radiation, back flux of energetic plasma species, and massive material redeposition, on the surface quality and processing efficiency. In this paper, we analyze different plasma aspects, which go beyond a simple consideration of the well-known effect of plasma shielding of laser radiation. The following effects are considered: ambient gas ionization above the target on material processing with formation of a “plasma pipe”; back heating of the target by both laser-driven ambient and ablation plasmas through conductive and radiative heat transfer; plasma chemical effects on surface processing including microstructure growth on liquid metals; complicated dynamics of the ablation plasma flow interacting with an ambient gas that can result in substantial redeposition of material around the ablation spot. Together with a review summarizing our main to-date achievements and outlining research directions, we present new results underlining importance of laser plasma dynamics and photoionization of the gas environment upon laser processing of materials.

  4. Dynamic plasma screening effects on electron capture process in hydrogenic ion fully stripped ion collisions in dense plasmas

    International Nuclear Information System (INIS)

    Jung, Y.

    1997-01-01

    In dense plasmas, dynamic plasma screening effects are investigated on electron capture from hydrogenic ions by past fully stripped ions. The classical Bohr Lindhard model has been applied to obtain the electron capture probability. The interaction potential in dense plasmas is represented in terms of the longitudinal dielectric function. The classical straight-line trajectory approximation is applied to the motion of the projectile ion in order to visualize the electron capture probability as a function of the impact parameter, projectile energy, and plasma parameters. The electron capture probability including the dynamic plasma screening effect is always greater than that including the static plasma screening effect. When the projectile velocity is smaller than the electron thermal velocity, the dynamic polarization screening effect becomes the static plasma screening effect. When the projectile velocity is greater than the plasma electron thermal velocity, the interaction potential is almost unshielded. The difference between the dynamic and static plasma screening effects is more significant for low energy projectiles. It is found that the static screening formula obtained by the Debye Hueckel model overestimates the plasma screening effects on the electron capture processes in dense plasmas. copyright 1997 American Institute of Physics

  5. Storing, Retrieving, and Processing Optical Information by Raman Backscattering in Plasmas

    International Nuclear Information System (INIS)

    Dodin, I.Y.; Fisch, N.J.

    2002-01-01

    By employing stimulated Raman backscattering in a plasma, information carried by a laser pulse can be captured in the form of a very slowly propagating plasma wave that persists for a time large compared with the pulse duration. If the plasma is then probed with a short laser pulse, the information stored in the plasma wave can be retrieved in a second scattered electromagnetic wave. The recording and retrieving processes can conserve robustly the pulse shape, thus enabling the recording and retrieving with fidelity of information stored in optical signals

  6. Application to cleaning of waste plastic surfaces using atmospheric non-thermal plasma jets

    Energy Technology Data Exchange (ETDEWEB)

    Araya, Masayuki [Interdisciplinary Graduate School of Science and Engineering, Tokyo Institute of Technology, 4259 Nagatsuta, Midori-ku, Yokohama 226-8502 (Japan); Yuji, Toshifumi [Graduate School of Science and Engineering, Tokyo Institute of Technology, 2-12-1 O-okayama, Meguro-ku, Tokyo 152-8550 (Japan)]. E-mail: t-yuji@hiroshima-cmt.ac.jp; Watanabe, Takayuki [Interdisciplinary Graduate School of Science and Engineering, Tokyo Institute of Technology, 4259 Nagatsuta, Midori-ku, Yokohama 226-8502 (Japan); Kashihara, Junzou [SHARP corporation, 1-9-2 Nakase, Mihama-Ku, Chiba 261-8520 (Japan); Sumida, Yoshitake [SHARP corporation, 2613-1 Ichinomoto-cho, Tenri 632-8567 (Japan)

    2007-03-12

    The removal of paint on the surface of waste plastics is difficult by the conventional process; in this research, a new cleaning mechanism using atmospheric plasmas was examined through optical emission spectroscopy, electron spectroscopy for chemical analysis, and scanning electron microscopy. Results indicate that an increase of pulse frequency enables for a short processing time for the removal of the paint film, signifying that the production of radicals in plasma, especially oxygen radicals, can be controlled by pulse frequency. Plasma jets were generated under the experimental conditions of an input power of 250 W to 400 W, a pulse frequency of 2 kHz to 12 kHz, and a plasma gas flow rate of 30 L/min. Examination of the intensity ratio of the reactive species, as measured by emission spectroscopy, showed that the O/N value increased with an increase in pulse frequency. Results of analysis with electron spectroscopy for chemical analysis show that nitrogen atoms and molybdenum in only the paint film decreased through plasma processing.

  7. Application to cleaning of waste plastic surfaces using atmospheric non-thermal plasma jets

    International Nuclear Information System (INIS)

    Araya, Masayuki; Yuji, Toshifumi; Watanabe, Takayuki; Kashihara, Junzou; Sumida, Yoshitake

    2007-01-01

    The removal of paint on the surface of waste plastics is difficult by the conventional process; in this research, a new cleaning mechanism using atmospheric plasmas was examined through optical emission spectroscopy, electron spectroscopy for chemical analysis, and scanning electron microscopy. Results indicate that an increase of pulse frequency enables for a short processing time for the removal of the paint film, signifying that the production of radicals in plasma, especially oxygen radicals, can be controlled by pulse frequency. Plasma jets were generated under the experimental conditions of an input power of 250 W to 400 W, a pulse frequency of 2 kHz to 12 kHz, and a plasma gas flow rate of 30 L/min. Examination of the intensity ratio of the reactive species, as measured by emission spectroscopy, showed that the O/N value increased with an increase in pulse frequency. Results of analysis with electron spectroscopy for chemical analysis show that nitrogen atoms and molybdenum in only the paint film decreased through plasma processing

  8. Temperature effect on protection diode for plasma-process induced charging damage

    NARCIS (Netherlands)

    Wang, Zhichun; Scarpa, A.; Smits, Sander M.; Kuper, F.G.; Salm, Cora

    2002-01-01

    In this paper, the leakage current of different drain-well diodes for plasma-charging protection has been simulated at high temperature. The simulation shows that the high ambient temperature, especially during plasma deposition process, enormously enhances the efficacy of the protection diodes in

  9. Study on re-sputtering during CN{sub x} film deposition through spectroscopic diagnostics of plasma

    Energy Technology Data Exchange (ETDEWEB)

    Liang, Peipei; Yang, Xu; Li, Hui; Cai, Hua [Department of Optical Science and Engineering, Fudan University, Shanghai 200433 (China); Sun, Jian; Xu, Ning [Department of Optical Science and Engineering, Fudan University, Shanghai 200433 (China); Shanghai Engineering Research Center of Ultra-Precision Optical Manufacturing, Fudan University, Shanghai 200433 (China); Wu, Jiada, E-mail: jdwu@fudan.edu.cn [Department of Optical Science and Engineering, Fudan University, Shanghai 200433 (China); Shanghai Engineering Research Center of Ultra-Precision Optical Manufacturing, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Fudan University, Shanghai 200433 (China)

    2015-10-15

    A nitrogen-carbon plasma was generated during the deposition of carbon nitride (CN{sub x}) thin films by pulsed laser ablation of a graphite target in a discharge nitrogen plasma, and the optical emission of the generated nitrogen-carbon plasma was measured for the diagnostics of the plasma and the characterization of the process of CN{sub x} film deposition. The nitrogen-carbon plasma was recognized to contain various species including nitrogen molecules and molecular ions excited in the ambient N{sub 2} gas, carbon atoms and atomic ions ablated from the graphite target and CN radicals. The temporal evolution and spatial distribution of the CN emission and their dependence on the substrate bias voltage show two groups of CN radicals flying in opposite directions. One represents the CN radicals formed as the products of the reactions occurring in the nitrogen-carbon plasma, revealing the reactive deposition of CN{sub x} film due to the reactive expansion of the ablation carbon plasma in the discharge nitrogen plasma and the effective formation of gaseous CN radicals as precursors for CN{sub x} film growth. The other one represents the CN radicals re-sputtered from the growing CN{sub x} film by energetic plasma species, evidencing the re-sputtering of the growing film accompanying film growth. And, the re-sputtering presents ion-induced sputtering features.

  10. Controls on the Environmental Fate of Compounds Controlled by Coupled Hydrologic and Reactive Processes

    Science.gov (United States)

    Hixson, J.; Ward, A. S.; McConville, M.; Remucal, C.

    2017-12-01

    Current understanding of how compounds interact with hydrologic processes or reactive processes have been well established. However, the environmental fate for compounds that interact with hydrologic AND reactive processes is not well known, yet critical in evaluating environmental risk. Evaluations of risk are often simplified to homogenize processes in space and time and to assess processes independently of one another. However, we know spatial heterogeneity and time-variable reactivities complicate predictions of environmental transport and fate, and is further complicated by the interaction of these processes, limiting our ability to accurately predict risk. Compounds that interact with both systems, such as photolytic compounds, require that both components are fully understood in order to predict transport and fate. Release of photolytic compounds occurs through both unintentional releases and intentional loadings. Evaluating risks associated with unintentional releases and implementing best management practices for intentional releases requires an in-depth understanding of the sensitivity of photolytic compounds to external controls. Lampricides, such as 3-trifluoromethyl-4-nitrophenol (TFM), are broadly applied in the Great Lakes system to control the population of invasive sea lamprey. Over-dosing can yield fish kills and other detrimental impacts. Still, planning accounts for time of passage and dilution, but not the interaction of the physical and chemical systems (i.e., storage in the hyporheic zone and time-variable decay rates). In this study, we model a series of TFM applications to test the efficacy of dosing as a function of system characteristics. Overall, our results demonstrate the complexity associated with photo-sensitive compounds through stream-hyporheic systems, and highlight the need to better understand how physical and chemical systems interact to control transport and fate in the environment.

  11. Surface roughening of ground fused silica processed by atmospheric inductively coupled plasma

    International Nuclear Information System (INIS)

    Xin, Qiang; Li, Na; Wang, Jun; Wang, Bo; Li, Guo; Ding, Fei; Jin, Huiliang

    2015-01-01

    Highlights: • The morphology evolution of ground fused silica, processed by atmospheric plasma, was investigated experimentally. • The roughness development results from opening and coalescing of the plasma-etched cracks. • The shapes of grain-like etched pits are the results of the adjacent cracks coalescing with one another. • The descent of the pits density is due to some smaller etched pits that are swallowed up by larger pits. • Leading role in surface smoothing is laterally etching away the side walls of the intersecting pits. - Abstract: Subsurface damage (SSD) is a defect that is inevitably induced during mechanical processes, such as grinding and polishing. This defect dramatically reduces the mechanical strength and the laser damage thresholds of optical elements. Compared with traditional mechanical machining, atmospheric pressure plasma processing (APPP) is a relatively novel technology that induces almost no SSD during the processing of silica-based optical materials. In this paper, a form of APPP, inductively coupled plasma (ICP), is used to process fused silica substrates with fluorocarbon precursor under atmospheric pressure. The surface morphology evolution of ICP-processed substrates was observed and characterized by confocal laser scanning microscope (CLSM), field emission scanning electron microscope (SEM), and atomic force microscopy (AFM). The results show that the roughness evolves with the etching depth, and the roughness evolution is a single-peaked curve. This curve results from the opening and the coalescing of surface cracks and fractures. The coalescence procedure of these microstructures was simulated with two common etched pits on a polished fused silica surface. Understanding the roughness evolution of plasma-processed surface might be helpful in optimizing the optical fabrication chain that contains APPP

  12. Surface roughening of ground fused silica processed by atmospheric inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Xin, Qiang; Li, Na; Wang, Jun; Wang, Bo, E-mail: bradywang@hit.edu.cn; Li, Guo; Ding, Fei; Jin, Huiliang

    2015-06-30

    Highlights: • The morphology evolution of ground fused silica, processed by atmospheric plasma, was investigated experimentally. • The roughness development results from opening and coalescing of the plasma-etched cracks. • The shapes of grain-like etched pits are the results of the adjacent cracks coalescing with one another. • The descent of the pits density is due to some smaller etched pits that are swallowed up by larger pits. • Leading role in surface smoothing is laterally etching away the side walls of the intersecting pits. - Abstract: Subsurface damage (SSD) is a defect that is inevitably induced during mechanical processes, such as grinding and polishing. This defect dramatically reduces the mechanical strength and the laser damage thresholds of optical elements. Compared with traditional mechanical machining, atmospheric pressure plasma processing (APPP) is a relatively novel technology that induces almost no SSD during the processing of silica-based optical materials. In this paper, a form of APPP, inductively coupled plasma (ICP), is used to process fused silica substrates with fluorocarbon precursor under atmospheric pressure. The surface morphology evolution of ICP-processed substrates was observed and characterized by confocal laser scanning microscope (CLSM), field emission scanning electron microscope (SEM), and atomic force microscopy (AFM). The results show that the roughness evolves with the etching depth, and the roughness evolution is a single-peaked curve. This curve results from the opening and the coalescing of surface cracks and fractures. The coalescence procedure of these microstructures was simulated with two common etched pits on a polished fused silica surface. Understanding the roughness evolution of plasma-processed surface might be helpful in optimizing the optical fabrication chain that contains APPP.

  13. Production of press moulds by plasma spray forming process

    International Nuclear Information System (INIS)

    Borisov, Y.; Myakota, I.; Polyakov, S.

    2001-01-01

    Plasma spray forming process for production of press moulds which are used for manufacture of articles from plastics was developed. The press moulds were produced by plasma spraying of Cu-Al-Fe-alloy powder on surface of a master model. The master models were made from non-metallic materials with heat resistance below 70 C (wood, gypsum etc). Double cooling system which provides for a control of surface model temperature and quenching conditions of sprayed material was designed. It made possible on the one hand to support model surface temperature below 70 C and on the other hand to provide for temperature conditions of martensite transformation in Cu-Al-system with a fixation of metastable ductile α + β 1 -phase. This allowed to decrease residual stresses in sprayed layer (up to 0,5-2,5 MPa), to increase microhardness of the coating material (up to 1200-1800 MPa) and its ductility (σ B = 70-105 MPa, δ = 6-12 %). This plasma spray forming process makes possible to spray thick layers (5-20 mm and more) without their cracking and deformation. The process is used for a production of press moulds which are applied in shoes industry, for fabrication of toys, souvenirs etc. (author)

  14. Studying the non-thermal plasma jet characteristics and application on bacterial decontamination

    Science.gov (United States)

    Al-rawaf, Ali F.; Fuliful, Fadhil Khaddam; Khalaf, Mohammed K.; Oudah, Husham. K.

    2018-04-01

    Non-thermal atmospheric-pressure plasma jet represents an excellent approach for the decontamination of bacteria. In this paper, we want to improve and characterize a non-thermal plasma jet to employ it in processes of sterilization. The electrical characteristics was studied to describe the discharge of the plasma jet and the development of plasma plume has been characterized as a function of helium flow rate. Optical emission spectroscopy was employed to detect the active species inside the plasma plume. The inactivation efficiency of non-thermal plasma jet was evaluated against Staphylococcus aureus bacteria by measuring the diameter of inhibition zone and the number of surviving cells. The results presented that the plasma plume temperature was lower than 34° C at a flow rate of 4 slm, which will not cause damage to living tissues. The diameter of inhibition zone is directly extended with increased exposure time. We confirmed that the inactivation mechanism was unaffected by UV irradiation. In addition, we concluded that the major reasons for the inactivation process of bacteria is because of the action of the reactive oxygen and nitrogen species which formed from ambient air, while the charged particles played a minor role in the inactivation process.

  15. Production of free radical by magnetized sheet plasma with vertical gas-flow

    International Nuclear Information System (INIS)

    Tonegawa, Akira; Takatori, Masahiko; Kawamura, Kazutaka

    1995-01-01

    Free radicals play an important role in plasma processing, environment problem, and space plasma and so on because of their outstanding physical properties. Although much work has been done on the free radicals in the reactive plasma, very little is known about the production mechanism of the free radicals against various plasma parameters. To overcome this problem, we have proposed to do a new system of a magnetized sheet plasma with vertical gas-flow. The sheet plasma is a special type of strongly magnetized highly ionized slab plasma. This system is controlled to the parameters of radicals and plasma independently. Therefore, it is possible to make a quantitative analysis of free radicals as the simple one. In this paper, we describe the magnetized sheet plasma with vertical gas-flow system and report the preliminary results of production of the free radical. In particular, we show to produce and control the OH free radical which has been the most commonly studied combustion species

  16. Atmospheric pressure plasma jet's characterization and surface wettability driven by neon transformer

    Science.gov (United States)

    Elfa, R. R.; Nafarizal, N.; Ahmad, M. K.; Sahdan, M. Z.; Soon, C. F.

    2017-03-01

    Atmospheric pressure plasma driven by Neon transformer power supply argon is presented in this paper. Atmospheric pressure plasma system has attracted researcher interest over low pressure plasma as it provides a flexibility process, cost-efficient, portable device and vacuum-free device. Besides, another golden key of this system is the wide promising application in the field of work cover from industrial and engineering to medical. However, there are still numbers of fundamental investigation that are necessary such as device configuration, gas configuration and its effect. Dielectric barrier discharge which is also known as atmospheric pressure plasma discharge is created when there is gas ionization process occur which enhance the movement of atom and electron and provide energetic particles. These energetic particles can provide modification and cleaning property to the sample surface due to the bombardment of the high reactive ion and radicals to the sample surface. In order to develop atmospheric pressure plasma discharge, a high voltage and high frequency power supply is needed. In this work, we used a neon transformer power supply as the power supply. The flow of the Ar is feed into 10 mm cylinder quartz tube with different treatment time in order to investigate the effect of the plasma discharge. The analysis of each treatment time is presented by optical emission spectroscopy (OES) and water contact angle (WCA) measurement. The increase of gas treatment time shows increases intensity of reactive Ar and reduces the angle of water droplets in water contact angle. Treatment time of 20 s microslide glass surface shows that the plasma needle discharges have modified the sample surface from hydrophilic surface to superhydrophilic surface. Thus, this leads to another interesting application in reducing sample surface adhesion to optimize productivity in the industry of paintings, semiconductor and more.

  17. Plasma processing and chemistry

    NARCIS (Netherlands)

    Schram, D.C.

    2002-01-01

    A review. Plasma deposition and plasma conversion can be characterized by five steps: prodn. by ionization, transfer of chem. to precursors, transport of radicals to the surface, surface interactions with deposition, recirculation and generation of new monomers. For very fast deposition, large flows

  18. Automated processing of whole blood samples into microliter aliquots of plasma.

    Science.gov (United States)

    Burtis, C A; Johnson, W W; Walker, W A

    1988-01-01

    A rotor that accepts and automatically processes a bulk aliquot of a single blood sample into multiple aliquots of plasma has been designed and built. The rotor consists of a central processing unit, which includes a disk containing eight precision-bore capillaries. By varying the internal diameters of the capillaries, aliquot volumes ranging 1 to 10 mul can be prepared. In practice, an unmeasured volume of blood is placed in a centre well, and, as the rotor begins to spin, is moved radially into a central annular ring where it is distributed into a series of processing chambers. The rotor is then spun at 3000 rpm for 10 min. When the centrifugal field is removed by slowly decreasing the rotor speed, an aliquot of plasma is withdrawn by capillary action into each of the capillary tubes. The disk containing the eight measured aliquots of plasma is subsequently removed and placed in a modifed rotor for conventional centrifugal analysis. Initial evaluation of the new rotor indicates that it is capable of producing discrete, microliter volumes of plasma with a degree of accuracy and precision approaching that of mechanical pipettes.

  19. Ti film deposition process of a plasma focus: Study by an experimental design

    Directory of Open Access Journals (Sweden)

    M. J. Inestrosa-Izurieta

    2017-10-01

    Full Text Available The plasma generated by plasma focus (PF devices have substantially different physical characteristics from another plasma, energetic ions and electrons, compared with conventional plasma devices used for plasma nanofabrication, offering new and unique opportunities in the processing and synthesis of Nanomaterials. This article presents the use of a plasma focus of tens of joules, PF-50J, for the deposition of materials sprayed from the anode by the plasma dynamics in the axial direction. This work focuses on the determination of the most significant effects of the technological parameters of the system on the obtained depositions through the use of a statistical experimental design. The results allow us to give a qualitative understanding of the Ti film deposition process in our PF device depending on four different events provoked by the plasma dynamics: i an electric erosion of the outer material of the anode; ii substrate ablation generating an interlayer; iii electron beam deposition of material from the center of the anode; iv heat load provoking clustering or even melting of the deposition surface.

  20. Influence of the reactive atmosphere on the formation of nanoparticles in the plasma plume induced by nanosecond pulsed laser irradiation of metallic targets at atmospheric pressure and high repetition rate

    Energy Technology Data Exchange (ETDEWEB)

    Girault, M. [Laboratoire Interdisciplinaire Carnot de Bourgogne, UMR 6303 CNRS-Univ. Bourgogne Franche-Comté, 9 Av. A. Savary, BP 47 870, F-21078 Dijon Cedex (France); Le Garrec, J.-L.; Mitchell, J.B.A. [Institut de Physique de Rennes, UMR 6251 CNRS-Université de Rennes 1, 35042 Rennes Cedex (France); Jouvard, J.-M. [Laboratoire Interdisciplinaire Carnot de Bourgogne, UMR 6303 CNRS-Univ. Bourgogne Franche-Comté, 9 Av. A. Savary, BP 47 870, F-21078 Dijon Cedex (France); Carvou, E. [Institut de Physique de Rennes, UMR 6251 CNRS-Université de Rennes 1, 35042 Rennes Cedex (France); Menneveux, J.; Yu, J. [Institut Lumière Matière, UMR5306 Université Lyon 1-CNRS, Université de Lyon, 69622 Villeurbanne Cedex (France); Ouf, F.-X. [Institut de Radioprotection et de Sureté Nucléaire IRSN/PSN-RES/SCA/LPMA BP 68, 91192 Gif-Sur-Yvette (France); Carles, S. [Institut de Physique de Rennes, UMR 6251 CNRS-Université de Rennes 1, 35042 Rennes Cedex (France); Potin, V.; Pillon, G.; Bourgeois, S. [Laboratoire Interdisciplinaire Carnot de Bourgogne, UMR 6303 CNRS-Univ. Bourgogne Franche-Comté, 9 Av. A. Savary, BP 47 870, F-21078 Dijon Cedex (France); Perez, J. [Synchrotron SOLEIL, L’Orme des Merisiers, Saint-Aubin, F-91192 Gif-sur-Yvette Cedex (France); Marco de Lucas, M.C., E-mail: delucas@u-bourgogne.fr [Laboratoire Interdisciplinaire Carnot de Bourgogne, UMR 6303 CNRS-Univ. Bourgogne Franche-Comté, 9 Av. A. Savary, BP 47 870, F-21078 Dijon Cedex (France); and others

    2016-06-30

    Highlights: • NPs formed in a plasma-plume during laser irradiation of metals (Al, Ti, Ag) were studied. • In situ SAXS and ex situ TEM, XRD and Raman spectra were measured. • NPs size decreased when increasing the O{sub 2} fraction in a controlled O{sub 2}+N{sub 2} atmosphere. • The oxidation of metal NPs in the plasma restricts the increase of the size of the NPs. - Abstract: The influence of a reactive atmosphere on the formation of nanoparticles (NPs) in the plasma plume generated by nanosecond pulsed laser irradiation of metal targets (Ti, Al, Ag) was probed in situ using Small Angle X-ray Scattering (SAXS). Air and different O{sub 2}–N{sub 2} gas mixtures were used as reactive gas within atmospheric pressure. SAXS results showed the formation of NPs in the plasma-plume with a mean radius varying in the 2–5 nm range. A decrease of the NPs size with increasing the O{sub 2} percentage in the O{sub 2}–N{sub 2} gas mixture was also showed. Ex situ observations by transmission electron microscopy and structural characterizations by X-ray diffraction and Raman spectroscopy were also performed for powders collected in experiments done using air as ambient gas. The stability of the different metal oxides is discussed as being a key parameter influencing the formation of NPs in the plasma-plume.

  1. Ultrahigh reactivity and grave nanotoxicity of copper nanoparticles

    International Nuclear Information System (INIS)

    Huan Meng; Zhen Chen; Chengcheng Zhang; Yun Wang; Yuliang Zhao

    2007-01-01

    Recently, it was reported that the toxicity of copper particles increases with the decrease of the particle size on a mass basis. To understand this phenomenon, inductively coupled plasma mass spectrometry (ICP-MS) techniques and in vitro chemical studies were carried out to explore how they produce toxicity in vivo. The results suggest that when the sizes of particles become small and down to a nanoscale, copper becomes extremely reactive in a simulative intracorporeal environment. The nanosized copper particles consume the hydrogen ions in stomach more quickly than micron ones. These processes further convert the copper nanoparticles into cupric ions whose toxicity is very high in vivo. (author)

  2. Optimization of time on CF{sub 4}/O{sub 2} etchant for inductive couple plasma reactive ion etching of TiO{sub 2} thin film

    Energy Technology Data Exchange (ETDEWEB)

    Adzhri, R., E-mail: adzhri@gmail.com; Fathil, M. F. M.; Ruslinda, A. R.; Gopinath, Subash C. B.; Voon, C. H.; Foo, K. L.; Nuzaihan, M. N. M.; Azman, A. H.; Zaki, M. [Institute of Nano Electronic Engineering (INEE), Universiti Malaysia Perlis (UniMAP), Perlis (Malaysia); Arshad, M. K. Md., E-mail: mohd.khairuddin@unimap.edu.my; Hashim, U.; Ayub, R. M. [Institute of Nano Electronic Engineering (INEE), Universiti Malaysia Perlis (UniMAP), Perlis (Malaysia); School of Microelectronic Engineering, Universiti Malaysia Perlis (UniMAP), Perlis (Malaysia)

    2016-07-06

    In this work, we investigate the optimum etching of titanium dioxide (TiO{sub 2}) using inductive couple plasma reactive ion etching (ICP-RIE) on our fabricated devices. By using a combination of CF{sub 4}/O{sub 2} gases as plasma etchant with ratio of 3:1, three samples of TiO{sub 2} thin film were etched with different time duration of 10 s, 15 s and 20 s. The ion bombardment of CF{sub 4} gases with plasma enhancement by O{sub 2} gas able to break the oxide bond of TiO{sub 2} and allow anisotropic etch profile with maximum etch rate of 18.6 nm/s. The sample was characterized by using optical profilometer to determine the depth of etched area and scanning electron microscopy (SEM) for etch profile characterization.

  3. The effect of interfacial modification on the properties of reactively processed polypropylene/clay nanocomposites

    Czech Academy of Sciences Publication Activity Database

    Khunová, V.; Kelnar, Ivan; Liauw, C. M.; Lukáč, P.

    2011-01-01

    Roč. 18, č. 4 (2011), s. 357-370 ISSN 0927-6440 Institutional research plan: CEZ:AV0Z40500505 Keywords : nanocomposite * reactive processing * polyolefins Subject RIV: JI - Composite Materials Impact factor: 0.438, year: 2011

  4. INTRODUCTION: Nonequilibrium Processes in Plasmas

    Science.gov (United States)

    Petrović, Zoran; Marić, Dragana; Malović, Gordana

    2009-07-01

    This book aims to give a cross section from a wide range of phenomena that, to different degrees, fall under the heading of non-equilibrium phenomenology. The selection is, of course, biased by the interests of the members of the scientific committee and of the FP6 Project 026328 IPB-CNP Reinforcing Experimental Centre for Non-equilibrium Studies with Application in Nano-technologies, Etching of Integrated Circuits and Environmental Research. Some of the papers included here are texts based on selected lectures presented at the Second International Workshop on Non-equilibrium Processes in Plasmas and Environmental Science. However, this volume is not just the proceedings of that conference as it contains a number of papers from authors that did not attend the conference. The goal was to put together a volume that would cover the interests of the project and support further work. It is published in the Institute of Physics journal Journal of Physics: Conference Series to ensure a wide accessibility of the articles. The texts presented here range from in-depth reviews of the current status and past achievements to progress reports of currently developed experimental devices and recently obtained still unpublished results. All papers have been refereed twice, first when speakers were selected based on their reputation and recently published results, and second after the paper was submitted both by the editorial board and individual assigned referees according to the standards of the conference and of the journal. Nevertheless, we still leave the responsibility (and honours) for the contents of the papers to the authors. The papers in this book are review articles that give a summary of the already published work or present the work in progress that will be published in full at a later date (or both). In the introduction to the first volume, in order to show how far reaching, ubiquitous and important non-equilibrium phenomena are, we claimed that ever since the early

  5. Process effects on radio frequency diode reactively sputtered ZrO2 films

    International Nuclear Information System (INIS)

    Yang, M.M.; Reith, T.M.; Lin, C.J.

    1990-01-01

    The ZrO 2 thin film is deposited by means of a reactive radio frequency diode sputtering from an elemental zirconium target in an argon--oxygen mixture gas. The influence of the deposition process parameters on the microinstructure, composition, film stress, and refractive index is investigated. It is noted that the process parameters, in particular substrate bias, have a profound effect on the structure and properties. The possible mechanism, in terms of bombardment of energetic particles and adatom mobility on the film surface, is discussed

  6. Antibacterial plasma at safe levels for skin cells

    NARCIS (Netherlands)

    Boekema, B.K.H.L.; Hofmann, S.; van Ham, B.T.J.; Bruggeman, P.J.; Middelkoop, E.

    2013-01-01

    Plasmas produce various reactive species, which are known to be very effective in killing bacteria. Plasma conditions, at which efficient bacterial inactivation is observed, are often not compatible with leaving human cells unharmed. The purpose of this study was to determine plasma settings for

  7. Physical processes in spin polarized plasmas

    International Nuclear Information System (INIS)

    Kulsrud, R.M.; Valeo, E.J.; Cowley, S.

    1984-05-01

    If the plasma in a nuclear fusion reactor is polarized, the nuclear reactions are modified in such a way as to enhance the reactor performance. We calculate in detail the modification of these nuclear reactions by different modes of polarization of the nuclear fuel. We also consider in detail the various physical processes that can lead to depolarization and show that they are by and large slow enough that a high degree of polarization can be maintained

  8. Oxidation Behavior of Titanium Carbonitride Coating Deposited by Atmospheric Plasma Spray Synthesis

    Science.gov (United States)

    Zhu, Lin; He, Jining; Yan, Dianran; Liao, Hanlin; Zhang, Nannan

    2017-10-01

    As a high-hardness and anti-frictional material, titanium carbonitride (TiCN) thick coatings or thin films are increasingly being used in many industrial fields. In the present study, TiCN coatings were obtained by atmospheric plasma spray synthesis or reactive plasma spray. In order to promote the reaction between the Ti particles and reactive gases, a home-made gas tunnel was mounted on a conventional plasma gun to perform the spray process. The oxidation behavior of the TiCN coatings under different temperatures in static air was carefully investigated. As a result, when the temperature was over 700 °C, the coatings suffered from serious oxidation, and finally they were entirely oxidized to the TiO2 phase at 1100 °C. The principal oxidation mechanism was clarified, indicating that the oxygen can permeate into the defects and react with TiCN at high temperatures. In addition, concerning the use of a TiCN coating in high-temperature conditions, the microhardness of the oxidized coatings at different treatment temperatures was also evaluated.

  9. Fructooligosaccharides integrity after atmospheric cold plasma and high-pressure processing of a functional orange juice.

    Science.gov (United States)

    Almeida, Francisca Diva Lima; Gomes, Wesley Faria; Cavalcante, Rosane Souza; Tiwari, Brijesh K; Cullen, Patrick J; Frias, Jesus Maria; Bourke, Paula; Fernandes, Fabiano A N; Rodrigues, Sueli

    2017-12-01

    In this study, the effect of atmospheric pressure cold plasma and high-pressure processing on the prebiotic orange juice was evaluated. Orange juice containing 7g/100g of commercial fructooligosaccharides (FOS) was directly and indirectly exposed to a plasma discharge at 70kV with processing times of 15, 30, 45 and 60s. For high-pressure processing, the juice containing the same concentration of FOS was treated at 450MPa for 5min at 11.5°C in an industrial equipment (Hyperbaric, model: 300). After the treatments, the fructooligosaccharides were qualified and quantified by thin layer chromatography. The organic acids and color analysis were also evaluated. The maximal overall fructooligosaccharides degradation was found after high-pressure processing. The total color difference was pressure and plasma processing. citric and ascorbic acid (Vitamin C) showed increased content after plasma and high-pressure treatment. Thus, atmospheric pressure cold plasma and high-pressure processing can be used as non-thermal alternatives to process prebiotic orange juice. Copyright © 2017 Elsevier Ltd. All rights reserved.

  10. Tech-X Corporation releases simulation code for solving complex problems in plasma physics : VORPAL code provides a robust environment for simulating plasma processes in high-energy physics, IC fabrications and material processing applications

    CERN Multimedia

    2005-01-01

    Tech-X Corporation releases simulation code for solving complex problems in plasma physics : VORPAL code provides a robust environment for simulating plasma processes in high-energy physics, IC fabrications and material processing applications

  11. Intracellular effects of atmospheric-pressure plasmas on melanoma cancer cells

    Energy Technology Data Exchange (ETDEWEB)

    Ishaq, M., E-mail: ishaqmusarat@gmail.com [Peter MacCallum Cancer Centre, East Melbourne, VIC 3002 (Australia); Comonwealth Scientific and Industrial Research Organization, Sydney, New South Wales (Australia); Bazaka, K. [Institute for Health and Biomedical Innovation, School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, Brisbane, QLD 4000 (Australia); Ostrikov, K. [Comonwealth Scientific and Industrial Research Organization, Sydney, New South Wales (Australia); Institute for Health and Biomedical Innovation, School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, Brisbane, QLD 4000 (Australia)

    2015-12-15

    Gas discharge plasmas formed at atmospheric pressure and near room temperature have recently been shown as a promising tool for cancer treatment. The mechanism of the plasma action is attributed to generation of reactive oxygen and nitrogen species, electric fields, charges, and photons. The relative importance of different modes of action of atmospheric-pressure plasmas depends on the process parameters and specific treatment objects. Hence, an in-depth understanding of biological mechanisms that underpin plasma-induced death in cancer cells is required to optimise plasma processing conditions. Here, the intracellular factors involved in the observed anti-cancer activity in melanoma Mel007 cells are studied, focusing on the effect of the plasma treatment dose on the expression of tumour suppressor protein TP73. Over-expression of TP73 causes cell growth arrest and/or apoptosis, and hence can potentially be targeted to enhance killing efficacy and selectivity of the plasma treatment. It is shown that the plasma treatment induces dose-dependent up-regulation of TP73 gene expression, resulting in significantly elevated levels of TP73 RNA and protein in plasma-treated melanoma cells. Silencing of TP73 expression by means of RNA interference inhibited the anticancer effects of the plasma, similar to the effect of caspase inhibitor z-VAD or ROS scavenger N-acetyl cysteine. These results confirm the role of TP73 protein in dose-dependent regulation of anticancer activity of atmospheric-pressure plasmas.

  12. Large-Scale Reactive Atomistic Simulation of Shock-induced Initiation Processes in Energetic Materials

    Science.gov (United States)

    Thompson, Aidan

    2013-06-01

    Initiation in energetic materials is fundamentally dependent on the interaction between a host of complex chemical and mechanical processes, occurring on scales ranging from intramolecular vibrations through molecular crystal plasticity up to hydrodynamic phenomena at the mesoscale. A variety of methods (e.g. quantum electronic structure methods (QM), non-reactive classical molecular dynamics (MD), mesoscopic continuum mechanics) exist to study processes occurring on each of these scales in isolation, but cannot describe how these processes interact with each other. In contrast, the ReaxFF reactive force field, implemented in the LAMMPS parallel MD code, allows us to routinely perform multimillion-atom reactive MD simulations of shock-induced initiation in a variety of energetic materials. This is done either by explicitly driving a shock-wave through the structure (NEMD) or by imposing thermodynamic constraints on the collective dynamics of the simulation cell e.g. using the Multiscale Shock Technique (MSST). These MD simulations allow us to directly observe how energy is transferred from the shockwave into other processes, including intramolecular vibrational modes, plastic deformation of the crystal, and hydrodynamic jetting at interfaces. These processes in turn cause thermal excitation of chemical bonds leading to initial chemical reactions, and ultimately to exothermic formation of product species. Results will be presented on the application of this approach to several important energetic materials, including pentaerythritol tetranitrate (PETN) and ammonium nitrate/fuel oil (ANFO). In both cases, we validate the ReaxFF parameterizations against QM and experimental data. For PETN, we observe initiation occurring via different chemical pathways, depending on the shock direction. For PETN containing spherical voids, we observe enhanced sensitivity due to jetting, void collapse, and hotspot formation, with sensitivity increasing with void size. For ANFO, we

  13. Significance of isolated reactive treponemal chemiluminescence immunoassay results.

    Science.gov (United States)

    Hunter, Michael G; Robertson, Peter W; Post, Jeffrey J

    2013-05-01

    Isolated reactive serum treponemal chemiluminescence immunoassay (CIA) specimens cause clinical uncertainty. Sera were screened by CIA, and reactive samples underwent reflex testing with rapid plasma reagin (RPR), Treponema pallidum particle agglutination (TPPA), and fluorescent treponemal antibody absorption (FTA Abs) assays. Samples reactive only on the CIA were deemed "isolated" reactive CIA samples. We undertook detailed review of a subset of subjects with isolated reactive CIA specimens. Of 28 261 specimens, 1171 (4.1%) were reactive on CIA, of which 133 (11.3%) had isolated CIA reactivity. Most subjects (66 of 82 [80.5%]) with isolated reactive CIA specimens were from high-prevalence populations. We found evidence of CIA, TPPA, and FTA Abs seroreversion. The median chemiluminescent signal-to-cutoff ratio was similar for isolated reactive CIA sera and sera that were reactive on either FTA Abs or TPPA assays (2.19 vs 2.32; P = .15) but lower than for sera reactive on both FTA Abs and TPPA assays (12.37; P < .001) or for sera reactive on RPR assays (25.53; P < .001). A total of 11 of 20 patients (55%) with an isolated reactive CIA specimen who underwent medical record review had previous or subsequent evidence of syphilis infection. Isolated reactive CIA specimens may represent true T. pallidum infection and may be found after seroreversion of traditional treponemal assays.

  14. A simultaneous modulation of reactive and proactive inhibition processes by anodal tDCS on the right inferior frontal cortex.

    Directory of Open Access Journals (Sweden)

    Toni Cunillera

    Full Text Available Proactive and reactive inhibitory processes are a fundamental part of executive functions, allowing a person to stop inappropriate responses when necessary and to adjust performance in in a long term in accordance to the goals of a task. In the current study, we manipulate, in a single task, both reactive and proactive inhibition mechanisms, and we investigate the within-subjects effect of increasing, by means of anodal transcranial direct current stimulation (tDCS, the involvement of the right inferior frontal cortex (rIFC. Our results show a simultaneous enhancement of these two cognitive mechanisms when modulating the neural activity of rIFC. Thus, the application of anodal tDCS increased reaction times on Go trials, indicating a possible increase in proactive inhibition. Concurrently, the stop-signal reaction time, as a covert index of the inhibitory process, was reduced, demonstrating an improvement in reactive inhibition. In summary, the current pattern of results validates the engagement of the rIFC in these two forms of inhibitory processes, proactive and reactive inhibition and it provides evidence that both processes can operate concurrently in the brain.

  15. Exaggerated secretion of glucagon-like peptide-1 (GLP-1) could cause reactive hypoglycaemia

    DEFF Research Database (Denmark)

    Toft-Nielsen, M; Madsbad, Sten; Holst, Jens Juul

    1998-01-01

    The plasma concentrations of the insulinotropic incretin hormone, glucagon-like peptide-1 (GLP-1) are abnormally high after oral glucose in partially gastrectomised subjects with reactive hypoglycaemia, suggesting a causal relationship. Because of the glucose-dependency of its effects, it is impo......The plasma concentrations of the insulinotropic incretin hormone, glucagon-like peptide-1 (GLP-1) are abnormally high after oral glucose in partially gastrectomised subjects with reactive hypoglycaemia, suggesting a causal relationship. Because of the glucose-dependency of its effects...

  16. Cold plasma as a nonthermal food processing technology

    Science.gov (United States)

    Contamination of meats, seafood, poultry, eggs, and fresh and fresh-cut fruits and vegetables is an ongoing concern. Although well-established in non-food applications for surface treatment and modification, cold plasma is a relatively new food safety intervention. As a nonthermal food processing te...

  17. Magnetospheric Multiscale (MMS) Observation of Plasma Velocity-Space Cascade Processes

    Science.gov (United States)

    Parashar, T. N.; Servidio, S.; Matthaeus, W. H.; Chasapis, A.; Perrone, D.; Valentini, F.; Veltri, P.; Gershman, D. J.; Schwartz, S. J.; Giles, B. L.; Fuselier, S. A.; Phan, T.; Burch, J.

    2017-12-01

    Plasma turbulence is investigated using high-resolution ion velocity distributions, measured by theMagnetospheric Multiscale Mission (MMS) in the Earth's magnetosheath. The particle distributionmanifests large fluctuations, suggesting a cascade-like process in velocity space, invoked by theoristsfor many years. This complex velocity space structure is investigated using a three-dimensional Hermitetransform that reveals a power law distribution of moments. A Kolmogorov approach leads directlyto a range of predictions for this phase-space cascade. The scaling theory is in agreement withobservations, suggesting a new path for the study of plasma turbulence in weakly collisional spaceand astrophysical plasmas.

  18. Improved Reactive Dye-fixation in Pad-Steam Process of Dyeing Cotton Fabric Using Tetrasodium N, NBiscarboxylatomethyl- L-Glutamate

    Directory of Open Access Journals (Sweden)

    Awais Khatri

    2012-04-01

    Full Text Available Pad steam process of dyeing cotton with reactive dyes is known to give lower levels of dye-fixation on the fiber because of excessive dye-hydrolysis. This research presents improved reactive dye-fixation in padsteam process of dyeing cotton found in an effort of using biodegradable organic salts to improve the effluent quality. The CI Reactive Blue 250, a bissulphatoethylsulphone dye and the Tetrasodium N, Nbiscarboxylatomethyl- L-Glutamate, a biodegradable organic salt, were used. The new dye-bath formulation using the organic salt gave more than 90% dye-fixation. Traditional pad-steam process of dyeing cotton with reactive dyes requires the use of inorganic electrolyte, sodium-chloride, and alkali, sodium-carbonate, to ensure effective dye consumption and fixation. These inorganic chemicals when drained generate heavy contents of dissolved solids and oxygen demand in the effluent leading to environmental pollution. Thus, Tetrasodium N, N-biscarboxylatomethyl-L-Glutamate was used in place of inorganic electrolyte and alkali to improve effluent quality. A significant increase in dye-fixation and ultimate color-yield was obtained with same colorfastness properties of the dyed fabric comparing to the traditional pad-steam dye-bath formulation.

  19. Deposition of polymer films in low pressure reactive plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Biederman, H.

    1981-12-11

    Sputtering and plasma polymerization have found wide application as deposition techniques and have been extensively studied. R.f. sputtering of plastics, in particular of polytetrafluoroethylene, are discussed in the first part of this paper. In the second part, the general concept of plasma polymerization is considered and some examples of applications of plasma-polymerized films are presented. Special attention is paid to fluorocarbon and fluorochlorocarbon films. It has been suggested that these films could be used in thin film capacitors or as passivating layers for integrated circuits. In the optical field some of these films have been used as convenient moisture-resistant, protective and antireflecting coatings. Their mechanical properties have also been examined with the intention of using them for reducing surface friction. More recently some metals have been incorporated into fluorocarbon films to obtain layers with novel properties. Experiments in which films were prepared by the plasma polymerization of certain Freons are described. Some electrical and optical properties of these films are presented. High dielectric losses were obtained in a metal/film/metal sandwich configuration and the possible influence of ambient atmospheric effects on these measurements is discussed.

  20. Low-loss interference filter arrays made by plasma-assisted reactive magnetron sputtering (PARMS) for high-performance multispectral imaging

    Science.gov (United States)

    Broßmann, Jan; Best, Thorsten; Bauer, Thomas; Jakobs, Stefan; Eisenhammer, Thomas

    2016-10-01

    Optical remote sensing of the earth from air and space typically utilizes several channels in the visible and near infrared spectrum. Thin-film optical interference filters, mostly of narrow bandpass type, are applied to select these channels. The filters are arranged in filter wheels, arrays of discrete stripe filters mounted in frames, or patterned arrays on a monolithic substrate. Such multi-channel filter assemblies can be mounted close to the detector, which allows a compact and lightweight camera design. Recent progress in image resolution and sensor sensitivity requires improvements of the optical filter performance. Higher demands placed on blocking in the UV and NIR and in between the spectral channels, in-band transmission and filter edge steepness as well as scattering lead to more complex filter coatings with thicknesses in the range of 10 - 25μm. Technological limits of the conventionally used ion-assisted evaporation process (IAD) can be overcome only by more precise and higher-energetic coating technologies like plasma-assisted reactive magnetron sputtering (PARMS) in combination with optical broadband monitoring. Optics Balzers has developed a photolithographic patterning process for coating thicknesses up to 15μm that is fully compatible with the advanced PARMS coating technology. This provides the possibility of depositing multiple complex high-performance filters on a monolithic substrate. We present an overview of the performance of recently developed filters with improved spectral performance designed for both monolithic filter-arrays and stripe filters mounted in frames. The pros and cons as well as the resulting limits of the filter designs for both configurations are discussed.

  1. Probing the reactivation process of sarin-inhibited acetylcholinesterase with α-nucleophiles: hydroxylamine anion is predicted to be a better antidote with DFT calculations.

    Science.gov (United States)

    Khan, Md Abdul Shafeeuulla; Lo, Rabindranath; Bandyopadhyay, Tusar; Ganguly, Bishwajit

    2011-08-01

    Inactivation of acetylcholinesterase (AChE) due to inhibition by organophosphorus (OP) compounds is a major threat to human since AChE is a key enzyme in neurotransmission process. Oximes are used as potential reactivators of OP-inhibited AChE due to their α-effect nucleophilic reactivity. In search of more effective reactivating agents, model studies have shown that α-effect is not so important for dephosphylation reactions. We report the importance of α-effect of nucleophilic reactivity towards the reactivation of OP-inhibited AChE with hydroxylamine anion. We have demonstrated with DFT [B3LYP/6-311G(d,p)] calculations that the reactivation process of sarin-serine adduct 2 with hydroxylamine anion is more efficient than the other nucleophiles reported. The superiority of hydroxylamine anion to reactivate the sarin-inhibited AChE with sarin-serine adducts 3 and 4 compared to formoximate anion was observed in the presence and absence of hydrogen bonding interactions of Gly121 and Gly122. The calculated results show that the rates of reactivation process of adduct 4 with hydroxylamine anion are 261 and 223 times faster than the formoximate anion in the absence and presence of such hydrogen bonding interactions. The DFT calculated results shed light on the importance of the adjacent carbonyl group of Glu202 for the reactivation of sarin-serine adduct, in particular with formoximate anion. The reverse reactivation reaction between hydroxylamine anion and sarin-serine adduct was found to be higher in energy compared to the other nucleophiles, which suggests that this α-nucleophile can be a good antidote agent for the reactivation process. Copyright © 2011 Elsevier Inc. All rights reserved.

  2. Method and Process Development of Advanced Atmospheric Plasma Spraying for Thermal Barrier Coatings

    Science.gov (United States)

    Mihm, Sebastian; Duda, Thomas; Gruner, Heiko; Thomas, Georg; Dzur, Birger

    2012-06-01

    Over the last few years, global economic growth has triggered a dramatic increase in the demand for resources, resulting in steady rise in prices for energy and raw materials. In the gas turbine manufacturing sector, process optimizations of cost-intensive production steps involve a heightened potential of savings and form the basis for securing future competitive advantages in the market. In this context, the atmospheric plasma spraying (APS) process for thermal barrier coatings (TBC) has been optimized. A constraint for the optimization of the APS coating process is the use of the existing coating equipment. Furthermore, the current coating quality and characteristics must not change so as to avoid new qualification and testing. Using experience in APS and empirically gained data, the process optimization plan included the variation of e.g. the plasma gas composition and flow-rate, the electrical power, the arrangement and angle of the powder injectors in relation to the plasma jet, the grain size distribution of the spray powder and the plasma torch movement procedures such as spray distance, offset and iteration. In particular, plasma properties (enthalpy, velocity and temperature), powder injection conditions (injection point, injection speed, grain size and distribution) and the coating lamination (coating pattern and spraying distance) are examined. The optimized process and resulting coating were compared to the current situation using several diagnostic methods. The improved process significantly reduces costs and achieves the requirement of comparable coating quality. Furthermore, a contribution was made towards better comprehension of the APS of ceramics and the definition of a better method for future process developments.

  3. Reactive Spark Plasma Sintering and Mechanical Properties of Zirconium Diboride–Titanium Diboride Ultrahigh Temperature Ceramic Solid Solutions

    Directory of Open Access Journals (Sweden)

    Karthiselva N. S.

    2016-09-01

    Full Text Available Ultrahigh temperature ceramics (UHTCs such as diborides of zirconium, hafnium tantalum and their composites are considered to be the candidate materials for thermal protection systems of hypersonic vehicles due to their exceptional combination of physical, chemical and mechanical properties. A composite of ZrB2-TiB2 is expected to have better properties. In this study, an attempt has been made to fabricate ZrB2-TiB2 ceramics using mechanically activated elemental powders followed by reactive spark plasma sintering (RSPS at 1400 °C. Microstructure and phase analysis was carried out using X-ray diffractometer (XRD and electron microscopy to understand microstructure evolution. Fracture toughness and hardness were evaluated using indentation methods. Nanoindentation was used to measure elastic modulus. Compressive strength of the composites has been reported.

  4. Plasma cell granuloma of lip

    Directory of Open Access Journals (Sweden)

    B Sabarinath

    2012-01-01

    Full Text Available Plasma cells are medium-sized round-to-oval cells with eccentrically placed nuclei, usually found in the red pulp of the spleen, tonsils, medulla of the lymph nodes, nasal mucosa, upper airway, lamina propria of the gastrointestinal tract, and sites of inflammation. Plasma cell granuloma is a rare reactive tumor-like proliferation composed chiefly of plasmacytic infiltrate. Here, we present a case of plasma cell granuloma of lip in a female patient.

  5. Perspective: The physics, diagnostics, and applications of atmospheric pressure low temperature plasma sources used in plasma medicine

    Science.gov (United States)

    Laroussi, M.; Lu, X.; Keidar, M.

    2017-07-01

    Low temperature plasmas have been used in various plasma processing applications for several decades. But it is only in the last thirty years or so that sources generating such plasmas at atmospheric pressure in reliable and stable ways have become more prevalent. First, in the late 1980s, the dielectric barrier discharge was used to generate relatively large volume diffuse plasmas at atmospheric pressure. Then, in the early 2000s, plasma jets that can launch cold plasma plumes in ambient air were developed. Extensive experimental and modeling work was carried out on both methods and much of the physics governing such sources was elucidated. Starting in the mid-1990s, low temperature plasma discharges have been used as sources of chemically reactive species that can be transported to interact with biological media, cells, and tissues and induce impactful biological effects. However, many of the biochemical pathways whereby plasma affects cells remain not well understood. This situation is changing rather quickly because the field, known today as "plasma medicine," has experienced exponential growth in the last few years thanks to a global research community that engaged in fundamental and applied research involving the use of cold plasma for the inactivation of bacteria, dental applications, wound healing, and the destruction of cancer cells/tumors. In this perspective, the authors first review the physics as well as the diagnostics of the principal plasma sources used in plasma medicine. Then, brief descriptions of their biomedical applications are presented. To conclude, the authors' personal assessment of the present status and future outlook of the field is given.

  6. Inductively coupled hydrogen plasma processing of AZO thin films for heterojunction solar cell applications

    International Nuclear Information System (INIS)

    Zhou, H.P.; Xu, S.; Zhao, Z.; Xiang, Y.

    2014-01-01

    Highlights: • A high-density plasma reactor of inductively coupled plasma source is used in this work. • The conductivity and transmittance can be enhanced simultaneously in the hydrogen process. • The formation of additional donors and passivation due to the hydrogen plasma processing. • The photovoltaic improvement due to the improved AZO layer and hetero-interface quality in the solar cells. - Abstract: Al-doped ZnO (AZO) thin films deposited by means of RF magnetron sputtering were processed in a low frequency inductively coupled plasma of H 2 , aiming at heterojunction (HJ) solar cell applications. A variety of characterization results show that the hydrogen plasma processing exerts a significant influence on the microstructures, electrical and optical properties of the AZO films. The incorporation of hydrogen under the optimum treatment simultaneously promoted the transmittance and conductivity due to the hydrogen associated passivation effect on the native defects and the formation of shallow donors in the films, respectively. A p-type c-Si based HJ solar cell with a front AZO contact was also treated in as-generated non-equilibrium hydrogen plasma and the photovoltaic performance of the solar cell was prominently improved. The underlying mechanism was discussed in terms of the beneficial impacts of high-density hydrogen plasma on the properties of AZO itself and the hetero-interfaces involved in the HJ structure (interface defect and energy band configuration)

  7. Deposition of porous cathodes using plasma spray technique for reduced-temperature SOFCs

    Energy Technology Data Exchange (ETDEWEB)

    Jankovic, J.; Hui, S.; Roller, J.; Kesler, O.; Xie, Y.; Maric, R.; Ghosh, D. [National Research Council of Canada, Vancouver, BC (Canada). Inst. for Fuel Cell Innovation

    2005-07-01

    Current techniques for Solid Oxide Fuel Cell (SOFC) materials deposition are often expensive and time-consuming. Plasma-spraying techniques provide higher deposition rates, short processing times and control over porosity and composition during deposition. Optimum plasma spraying for lanthanum based cathode materials were discussed. Plasma-spraying was used to deposit cathode materials onto ceramic and stainless steel substrates to obtain highly porous structures. Lanthanum cathode materials with composition of La{sub 0.6}Sr{sub 0.4}C{sub 0.2}Fe{sub 0.8}O{sub 3} were employed in the powder form. The powder was prepared from powder precursors with different power formers and binder levels, or from produced single-phase lanthanum powders. The (La{sub 0.8}Sr{sub 0.2}){sub 0.98}MnO{sub 3} cathode material was also processed for comparison purposes. The deposition process was developed to obtain coatings with good bond strength, porosity, film thickness and residual stresses. The phase and microstructure of deposited materials were characterized using X-Ray Diffraction and Scanning Electron Microscopy (SEM). It was concluded that good flow of the powder precursors is achieved by spraying 50-100 um particle size powders and using vibrating feeders. Further processing of the spraying powders was recommended. It was noted that oxide precursors showed greater reactivity among the precursors. The best precursor reactivity and coating morphology was obtained using 40 volume per cent of graphite pore former, incorporated into the precursor mixture during wet ball milling. It was concluded that higher power levels and larger distances between the plasma gun and the substrate result in coatings with the highest porosities and best phase compositions. 5 refs., 1 tab., 6 figs.

  8. Research of Plasma Spraying Process on Aluminum-Magnesium Alloy

    Directory of Open Access Journals (Sweden)

    Patricija Kavaliauskaitė

    2016-04-01

    Full Text Available The article examines plasma sprayed 95Ni-5Al coatings on alu-minum-magnesium (Mg ≈ 2,6‒3,6 % alloy substrate. Alumi-num-magnesium samples prior spraying were prepared with mechanical treatment (blasting with Al2O3. 95Ni-5Al coatings on aluminum-magnesium alloys were sprayed with different parameters of process and coating‘s thickness, porosity, micro-hardness and microstructure were evaluated. Also numerical simulations in electric and magnetic phenomena of plasma spray-ing were carried out.

  9. On kinetic description of electromagnetic processes in a quantum plasma

    International Nuclear Information System (INIS)

    Tyshetskiy, Yu.; Vladimirov, S. V.; Kompaneets, R.

    2011-01-01

    A nonlinear kinetic equation for nonrelativistic quantum plasma with electromagnetic interaction of particles is obtained in the Hartree's mean-field approximation. It is cast in a convenient form of Vlasov-Boltzmann-type equation with ''quantum interference integral'', which allows for relatively straightforward modification of existing classical Vlasov codes to incorporate quantum effects (quantum statistics and quantum interference of overlapping particles wave functions), without changing the bulk of the codes. Such modification (upgrade) of existing Vlasov codes may provide a direct and effective path to numerical simulations of nonlinear electrostatic and electromagnetic phenomena in quantum plasmas, especially of processes where kinetic effects are important (e.g., modulational interactions and stimulated scattering phenomena involving plasma modes at short wavelengths or high-order kinetic modes, dynamical screening and interaction of charges in quantum plasma, etc.) Moreover, numerical approaches involving such modified Vlasov codes would provide a useful basis for theoretical analyses of quantum plasmas, as quantum and classical effects can be easily separated there.

  10. Nonlinear dynamic processes in modified ionospheric plasma

    Science.gov (United States)

    Kochetov, A.; Terina, G.

    Presented work is a contribution to the experimental and theoretical study of nonlinear effects arising on ionospheric plasma under the action of powerful radio emission (G.I. Terina, J. Atm. Terr. Phys., 1995, v.57, p.273; A.V. Kochetov et. al., Advances in Space Research, 2002, in press). The experimental results were obtained by the method of sounding of artificially disturbed ionosphere by short radio pulses. The amplitude and phase characteristics of scattered signal as of "caviton" type (CS) (analogy of narrow-band component of stimulation electromagnetic emission (SEE)) as the main signal (MS) of probing transmitter are considered. The theoretical model is based on numerical solution of driven nonlinear Shrödinger equation (NSE) in inhomogeneous plasma. The simulation allows us to study a self-consistent spatial-temporal dynamics of field and plasma. The observed evolution of phase characteristics of MS and CS qualitatively correspond to the results of numerical simulation and demonstrate the penetration processes of powerful electromagnetic wave in supercritical (in linear approach) plasma regions. The modeling results explain also the periodic generation of CS, the travel CS maximum down to density gradient, the aftereffect of CS. The obtained results show the excitation of strong turbulence and allow us to interpret CS, NC and so far inexplicable phenomena as "spikes" too. The work was supported in part by Russian Foundation for Basic Research (grants Nos. 99-02-16642, 99-02- 16399).

  11. Plasma medicine: an introductory review

    International Nuclear Information System (INIS)

    Kong, M G; Kroesen, G; Van Dijk, J; Morfill, G; Nosenko, T; Shimizu, T; Zimmermann, J L

    2009-01-01

    This introductory review on plasma health care is intended to provide the interested reader with a summary of the current status of this emerging field, its scope, and its broad interdisciplinary approach, ranging from plasma physics, chemistry and technology, to microbiology, biochemistry, biophysics, medicine and hygiene. Apart from the basic plasma processes and the restrictions and requirements set by international health standards, the review focuses on plasma interaction with prokaryotic cells (bacteria), eukaryotic cells (mammalian cells), cell membranes, DNA etc. In so doing, some of the unfamiliar terminology-an unavoidable by-product of interdisciplinary research-is covered and explained. Plasma health care may provide a fast and efficient new path for effective hospital (and other public buildings) hygiene-helping to prevent and contain diseases that are continuously gaining ground as resistance of pathogens to antibiotics grows. The delivery of medically active 'substances' at the molecular or ionic level is another exciting topic of research through effects on cell walls (permeabilization), cell excitation (paracrine action) and the introduction of reactive species into cell cytoplasm. Electric fields, charging of surfaces, current flows etc can also affect tissue in a controlled way. The field is young and hopes are high. It is fitting to cover the beginnings in New Journal of Physics, since it is the physics (and non-equilibrium chemistry) of room temperature atmospheric pressure plasmas that have made this development of plasma health care possible.

  12. Mechanistic study of plasma damage to porous low-k: Process development and dielectric recovery

    Science.gov (United States)

    Shi, Hualiang

    Low-k dielectrics with porosity are being introduced to reduce the RC delay of Cu/low-k interconnect. However, during the O2 plasma ashing process, the porous low-k dielectrics tend to degrade due to methyl depletion, moisture uptake, and densification, increasing the dielectric constant and leakage current. This dissertation presents a study of the mechanisms of plasma damage and dielectric recovery. The kinetics of plasma interaction with low-k dielectrics was investigated both experimentally and theoretically. By using a gap structure, the roles of ion, photon, and radical in producing damage on low-k dielectrics were differentiated. Oxidative plasma induced damage was proportional to the oxygen radical density, enhanced by VUV photon, and increased with substrate temperature. Ion bombardment induced surface densification, blocking radical diffusion. Two analytical models were derived to quantify the plasma damage. Based on the radical diffusion, reaction, and recombination inside porous low-k dielectrics, a plasma altered layer model was derived to interpret the chemical effect in the low ion energy region. It predicted that oxidative plasma induced damage can be reduced by decreasing pore radius, substrate temperature, and oxygen radical density and increasing carbon concentration and surface recombination rate inside low-k dielectrics. The model validity was verified by experiments and Monte-Carlo simulations. This model was also extended to the patterned low-k structure. Based on the ion collision cascade process, a sputtering yield model was introduced to interpret the physical effect in the high ion energy region. The model validity was verified by checking the ion angular and energy dependences of sputtering yield using O2/He/Ar plasma, low-k dielectrics with different k values, and a Faraday cage. Low-k dielectrics and plasma process were optimized to reduce plasma damage, including increasing carbon concentration in low-k dielectrics, switching plasma

  13. Decolorization of reactive black 5 using dielectric barrier discharge in the presence of inorganic salts

    Directory of Open Access Journals (Sweden)

    Dojčinović Biljana P.

    2012-01-01

    Full Text Available Inorganic salts improve the coloration of textiles, which increase pollution load on dyehouse effluent in general. Decolorization of reactive textile dye C.I. Reactive Black 5 was studied using Advanced Oxidation Processes (AOPs in a non-thermal plasma reactor, based on coaxial water falling film Dielectric Barrier Discharge (DBD. Initial dye concentration in the solution was 40.0 mg L-1. The effects of addition of inorganic salt different high concentrations (NaCl, Na2SO4 and Na2CO3 on the degree of decolorization were studied. Recirculation of dye solution through the DBD reactor with applied energy density 45-315 kJ L-1 was used. The influence of residence time was investigated after 5 minutes and 24 hours of plasma treatment. Decolorization of the dyes was monitored by spectrophotometric measurement. Changes of pH values and the conductivity of dye solution after each recirculation were tested. The most effective decolorization of over 90% was obtained with the addition of NaCl (50 g L-1, applied energy density of 135 kJ L-1 and after residence time of 24 hours of plasma treatment. Decolorization of solutions containing inorganic salts Na2SO4 and Na2CO3 were lower than for the solution without salt.

  14. Modelling of diamond deposition microwave cavity generated plasmas

    International Nuclear Information System (INIS)

    Hassouni, K; Silva, F; Gicquel, A

    2010-01-01

    Some aspects of the numerical modelling of diamond deposition plasmas generated using microwave cavity systems are discussed. The paper mainly focuses on those models that allow (i) designing microwave cavities in order to optimize the power deposition in the discharge and (ii) estimating the detailed plasma composition in the vicinity of the substrate surface. The development of hydrogen plasma models that may be used for the self-consistent simulation of microwave cavity discharge is first discussed. The use of these models for determining the plasma configuration, composition and temperature is illustrated. Examples showing how to use these models in order to optimize the cavity structure and to obtain stable process operations are also given. A transport model for the highly reactive H 2 /CH 4 moderate pressure discharges is then presented. This model makes possible the determination of the time variation of plasma composition and temperature on a one-dimensional domain located on the plasma axis. The use of this model to analyse the transport phenomena and the chemical process in diamond deposition plasmas is illustrated. The model is also utilized to analyse pulsed mode discharges and the benefit they can bring as far as diamond growth rate and quality enhancement are concerned. We, in particular, show how the model can be employed to optimize the pulse waveform in order to improve the deposition process. Illustrations on how the model can give estimates of the species density at the growing substrate surface over a wide domain of deposition conditions are also given. This brings us to discuss the implication of the model prediction in terms of diamond growth rate and quality. (topical review)

  15. Modelling of the reactive sputtering process with non-uniform discharge current density and different temperature conditions

    International Nuclear Information System (INIS)

    Vasina, P; Hytkova, T; Elias, M

    2009-01-01

    The majority of current models of the reactive magnetron sputtering assume a uniform shape of the discharge current density and the same temperature near the target and the substrate. However, in the real experimental set-up, the presence of the magnetic field causes high density plasma to form in front of the cathode in the shape of a toroid. Consequently, the discharge current density is laterally non-uniform. In addition to this, the heating of the background gas by sputtered particles, which is usually referred to as the gas rarefaction, plays an important role. This paper presents an extended model of the reactive magnetron sputtering that assumes the non-uniform discharge current density and which accommodates the gas rarefaction effect. It is devoted mainly to the study of the behaviour of the reactive sputtering rather that to the prediction of the coating properties. Outputs of this model are compared with those that assume uniform discharge current density and uniform temperature profile in the deposition chamber. Particular attention is paid to the modelling of the radial variation of the target composition near transitions from the metallic to the compound mode and vice versa. A study of the target utilization in the metallic and compound mode is performed for two different discharge current density profiles corresponding to typical two pole and multipole magnetics available on the market now. Different shapes of the discharge current density were tested. Finally, hysteresis curves are plotted for various temperature conditions in the reactor.

  16. Observation of radio frequency ring-shaped hollow cathode discharge plasma with MgO and Al electrodes for plasma processing

    International Nuclear Information System (INIS)

    Ohtsu, Yasunori; Matsumoto, Naoki

    2014-01-01

    Various high-density plasma sources have been proposed for plasma processing. Especially, the hollow cathode discharge is one of the powerful ones. In this work, radio-frequency (RF) driven ring-shaped hollow cathode discharges with high secondary-electron emission have been investigated, using an aluminum (Al) cathode, coated or not with magnesium oxide (MgO). The thickness of MgO thin film is approximately 200 nm. The RF discharge voltage for the coated cathode is almost the same as that for the uncoated one, in a wide range of Ar gas pressure, from 5.3 to 53.2 Pa. The results reveal that the plasma density has a peak at an Ar gas pressure of 10.6 Pa for both cathodes. The plasma density for the coated cathode is about 1.5–3 times higher than that for the uncoated one, at various gas pressures. To the contrary, the electron temperature for the coated cathode is lower than temperature obtained with the uncoated cathode, at various gas pressures. Radial profiles of electron saturation current, which is proportional to plasma flux, are also examined for a wide range of gas pressure. Radial profiles of electron temperature at various axial positions are almost uniform for both cathodes so that the diffusion process due to density gradient is dominant for plasma transport. The secondary electrons emitted from the coated cathode contribute to the improvement of the plasma flux radial profile obtained using the uncoated cathode

  17. Temperature dependence of InN film deposition by an RF plasma-assisted reactive ion beam sputtering deposition technique

    International Nuclear Information System (INIS)

    Shinoda, Hiroyuki; Mutsukura, Nobuki

    2005-01-01

    Indium nitride (InN) films were deposited on Si(100) substrates using a radiofrequency (RF) plasma-assisted reactive ion beam sputtering deposition technique at various substrate temperatures. The X-ray diffraction patterns of the InN films suggest that the InN films deposited at substrate temperatures up to 370 deg C were cubic crystalline InN; and at 500 deg C, the InN film was hexagonal crystalline InN. In a scanning electron microscope image of the InN film surface, facets of cubic single-crystalline InN grains were clearly observed on the InN film deposited at 370 deg C. The inclusion of metallic indium appeared on the InN film deposited at 500 deg C

  18. Simulation of kinetic processes in the nuclear-excited helium non-ideal dusty plasma

    International Nuclear Information System (INIS)

    Budnik, A.P.; Kosarev, V.A.; Rykov, V.A.; Fortov, V.E.; Vladimirov, V.I.; Deputatova, L.V.

    2009-01-01

    The paper is devoted to the studying of kinetic processes in the nuclear-excited plasma of the helium gas with the fine uranium (or its chemical compounds) particles admixture. A new theoretical model for the mathematical simulation of the kinetic processes in dusty plasma of helium gas was developed. The main goal of this investigation is to determine possibilities of a creation of non-ideal dusty plasma, containing nano- and micro-particles, and excited by fission fragments (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. TOPICAL REVIEW: Electromagnetic effects in high-frequency capacitive discharges used for plasma processing

    Science.gov (United States)

    Chabert, P.

    2007-02-01

    In plasma processing, capacitive discharges have classically been operated in the electrostatic regime, for which the excitation wavelength λ is much greater than the electrode radius, and the plasma skin depth δ is much greater than the electrode spacing. However, contemporary reactors are larger and excited at higher frequencies which leads to strong electromagnetic effects. This paper gives a review of the work that has recently been carried out to carefully model and diagnose these effects, which cause major uniformity problems in plasma processing for microelectronics and flat panel displays industries.

  20. Atmospheric plasma generates oxygen atoms as oxidizing species in aqueous solutions

    Czech Academy of Sciences Publication Activity Database

    Hefny, M.M.; Pattyn, C.; Lukeš, Petr; Benedikt, J.

    2016-01-01

    Roč. 49, č. 40 (2016), s. 404002 ISSN 0022-3727 R&D Projects: GA MŠk(CZ) LD14080 Grant - others:European Cooperation in Science and Technology(XE) COST TD1208 Institutional support: RVO:61389021 Keywords : atmospheric pressure plasma * transport of reactive species * reactive oxygen species * aqueous phase chemistry * plasma and liquids * phenol aqueous chemistry Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 2.588, year: 2016 http://iopscience.iop.org/article/10.1088/0022-3727/49/40/404002

  1. Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide

    International Nuclear Information System (INIS)

    Lehnert, Wolfgang; Ruhl, Guenther; Gschwandtner, Alexander

    2012-01-01

    Among many promising high-k dielectrics, TiO 2 is an interesting candidate because of its relatively high k value of over 40 and its easy integration into existing semiconductor manufacturing schemes. The most critical issues of TiO 2 are its low electrical stability and its high leakage current density. However, doping TiO 2 with Al has shown to yield significant improvement of layer quality on Ru electrodes [S. K. Kim et al., Adv. Mater. 20, 1429 (2008)]. In this work we investigated if atomic layer deposition (ALD) of Al doped TiO 2 is feasible in a batch system. Electrical characterizations were done using common electrode materials like TiN, TaN, or W. Additionally, the effect of plasma enhanced processing in this reactor was studied. For this investigation a production batch ALD furnace has been retrofitted with a plasma source which can be used for post deposition anneals with oxygen radicals as well as for directly plasma enhanced ALD. After evaluation of several Ti precursors a deposition process for AlTiO x with excellent film thickness and composition uniformity was developed. The effects of post deposition anneals, Al 2 O 3 interlayers between electrode and TiO 2 , Al doping concentration, plasma enhanced deposition and electrode material type on leakage current density are shown. An optimized AlTiO x deposition process on TaN electrodes yields to leakage current density of 5 x 10 -7 A/cm 2 at 2 V and k values of about 35. Thus, it could be demonstrated that a plasma enhanced batch ALD process for Al doped TiO 2 is feasible with acceptable leakage current density on a standard electrode material.

  2. Review of low pressure plasma processing of proton exchange membrane fuel cell electrocatalysts

    OpenAIRE

    Brault , Pascal

    2016-01-01

    Review article; International audience; The present review is describing recent advances in plasma deposition and treatment of low temperature proton exchange membrane fuel cells electrocatalysts. Interest of plasma processing for growth of platinum based, non-precious and metal free electrocatalysts is highlighted. Electrocatalysts properties are tentatively correlated to plasma parameters.

  3. Raman spectroscopic study of plasma-treated salmon DNA

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Geon Joon; Kim, Yong Hee; Choi, Eun Ha [Plasma Bioscience Research Center, Kwangwoon University, Seoul 139-701 (Korea, Republic of); Kwon, Young-Wan [Department of Chemistry, Korea University, Seoul 136-701 (Korea, Republic of)

    2013-01-14

    In this research, we studied the effect of plasma treatment on the optical/structural properties of the deoxyribonucleic acid (DNA) extracted from salmon sperm. DNA-cetyltrimethylammonium (CTMA) films were obtained by complexation of DNA with CTMA. Circular dichroism (CD) and Raman spectra indicated that DNA retained its double helical structure in the solid film. The Raman spectra exhibited several vibration modes corresponding to the nuclear bases and the deoxyribose-phosphate backbones of the DNA, as well as the alkylchains of CTMA. Dielectric-barrier-discharge (DBD) plasma treatment induced structural modification and damage to the DNA, as observed by changes in the ultraviolet-visible absorption, CD, and Raman spectra. The optical emission spectra of the DBD plasma confirmed that DNA modification was induced by plasma ions such as reactive oxygen species and reactive nitrogen species.

  4. The development of the super-biodiesel production continuously from Sunan pecan oil through the process of reactive distillation

    Science.gov (United States)

    Yohana, Eflita; Yulianto, Moh. Endy; Ikhsan, Diyono; Nanta, Aditya Marga; Puspitasari, Ristiyanti

    2016-06-01

    In general, a vegetable oil-based biodiesel production commercially operates a batch process with high investments and operational costs. Thus, it is necessary to develop super-biodiesel production from sunan pecan oil continuously through the process of reactive distillation. There are four advantages of the reactive distillation process for the biodiesel production, as follows: (i) it incorporates the process of transesterification reaction, and product separation of residual reactants become one stage of the process, so it saves the investment and operation costs, (ii) it reduces the need for raw materials because the methanol needed corresponds to the stoichiometry, so it also reduces the operation costs, (iii) the holdup time in the column is relatively short (5±0,5 minutes) compared to the batch process (1-2 hours), so it will reduce the operational production costs, and (iv) it is able to shift the reaction equilibrium, because the products and reactants that do not react are instantly separated (based on Le Chatelier's principles) so the conversion will be increased. However, the very crucial problem is determining the design tools and process conditions in order to maximize the conversion of the transesterification reaction in both phases. Thus, the purpose of this research was to design a continuous reactive distillation process by using a recycled condensate to increase the productivity of the super-biodiesel from sunan pecan oil. The research was carried out in three stages including (i) designing and fabricating the reactive distillation equipment, (ii) testing the tool performance and the optimization of the biodiesel production, and (iii) biodiesel testing on the diesel engine. These three stages were needed in designing and scaling-up the process tools and the process operation commercially. The reactive distillation process tools were designed and manufactured with reference to the design system tower by Kitzer, et.al. (2008). The manufactured

  5. Carbon materials modified by plasma treatment as electrodes for supercapacitors

    Energy Technology Data Exchange (ETDEWEB)

    Lota, Grzegorz; Frackowiak, Elzbieta [Institute of Chemistry and Technical Electrochemistry, Poznan University of Technology, Piotrowo 3, 60-965 Poznan (Poland); Tyczkowski, Jacek; Kapica, Ryszard [Technical University of Lodz, Faculty of Process and Environmental Engineering, Division of Molecular Engineering, Wolczanska 213, 90-924 Lodz (Poland); Lota, Katarzyna [Institute of Non-Ferrous Metals Branch in Poznan, Central Laboratory of Batteries and Cells, Forteczna 12, 61-362 Poznan (Poland)

    2010-11-15

    The carbon material was modified by RF plasma with various reactive gases: O{sub 2}, Ar and CO{sub 2}. Physicochemical properties of the final carbon products were characterized using different techniques such as gas adsorption method and XPS. Plasma modified materials enriched in oxygen functionalities were investigated as electrodes for supercapacitors in acidic medium. The electrochemical measurements have been carried out using cyclic voltammetry, galvanostatic charge/discharge and impedance spectroscopy. The electrochemical measurements have confirmed that capacity characteristics are closely connected with a type of plasma exposition. Modification processes have an influence on the kind and amount of surface functional groups in the carbon matrix. The moderate increase of capacity of carbon materials modified by plasma has been observed using symmetric two-electrode systems. Whereas investigations made in three-electrode system proved that the suitable selection of plasma modification parameters allows to obtain promising negative and positive electrode materials for supercapacitor application. (author)

  6. Atmospheric plasma generates oxygen atoms as oxidizing species in aqueous solutions

    International Nuclear Information System (INIS)

    Hefny, Mohamed Mokhtar; Pattyn, Cedric; Benedikt, Jan; Lukes, Petr

    2016-01-01

    A remote microscale atmospheric pressure plasma jet ( µ APPJ) with He, He/H 2 O, He/O 2 , and He/O 2 /H 2 O gas mixtures was used to study the transport of reactive species from the gas phase into the liquid and the following aqueous phase chemistry. The effects induced by the µ APPJ in water were quantitatively studied using phenol as a chemical probe and by measuring H 2 O 2 concentration and pH values. These results were combined with the analysis of the absolute densities of the reactive species and the modeling of convective/diffusion transport and recombination reactions in the effluent of the plasma jet. Additionally, modified plasma jets were used to show that the role of emitted photons in aqueous chemistry is negligible for these plasma sources. The fastest phenol degradation was measured for the He/O 2 plasma, followed by He/H 2 O, He/O 2 /H 2 O, and He plasmas. The modeled quantitative flux of O atoms into the liquid in the He/O 2 plasma case was highly comparable with the phenol degradation rate and showed a very high transfer efficiency of reactive species from the plasma into the liquid, where more than half of the O atoms leaving the jet nozzle entered the liquid. The results indicate that the high oxidative effect of He/O 2 plasma was primarily due to solvated O atoms, whereas OH radicals dominated the oxidative effects induced in water by plasmas with other gas mixtures. These findings help to understand, in a quantitative way, the complex interaction of cold atmospheric plasmas with aqueous solutions and will allow a better understanding of the interaction of these plasmas with water or buffered solutions containing biological macromolecules, microorganisms, or even eukaryotic cells. Additionally, the µ APPJ He/O 2 plasma source seems to be an ideal tool for the generation of O atoms in aqueous solutions for any future studies of their reactivity. (paper)

  7. Electrocatalytic reduction of carbon dioxide under plasma DBD process

    International Nuclear Information System (INIS)

    Amouroux, Jacques; Cavadias, Simeon

    2017-01-01

    Carbon dioxide can be converted, by reaction with hydrogen, into fine chemicals and liquid fuels such as methanol and DME. Methane production by the Sabatier reaction opens the way of carbon recycling for a circular economy of carbon resources. The catalytic process of methanation of carbon dioxide produces two molecules of water as a by-product. A current limitation in the CO 2 methanation is the ageing of catalysts, mainly due to water adsorption during the process. To avoid this adsorption, the process is operated at high temperature (300 °C–400 °C), leading to carbon deposition on the catalyst and its deactivation. To overcome this problem, a methanation plasma-catalytic process has been developed, which achieves high CO 2 conversion rate (80%), and a selectivity close to 100%, working from room temperature to 150 °C, instead of 300 °C–400 °C for the thermal catalytic process. The main characteristics of this process are high-voltage pulses of few nanoseconds duration, activating the adsorption of CO 2 in bent configuration and the polarization of the catalyst. The key step in this process is the desorption of water from the polarized catalyst. The high CO 2 conversion at low temperature could be explained by the creation of a plasma inside the nanopores of the catalyst. (paper)

  8. Electrocatalytic reduction of carbon dioxide under plasma DBD process

    Science.gov (United States)

    Amouroux, Jacques; Cavadias, Simeon

    2017-11-01

    Carbon dioxide can be converted, by reaction with hydrogen, into fine chemicals and liquid fuels such as methanol and DME. Methane production by the Sabatier reaction opens the way of carbon recycling for a circular economy of carbon resources. The catalytic process of methanation of carbon dioxide produces two molecules of water as a by-product. A current limitation in the CO2 methanation is the ageing of catalysts, mainly due to water adsorption during the process. To avoid this adsorption, the process is operated at high temperature (300 °C-400 °C), leading to carbon deposition on the catalyst and its deactivation. To overcome this problem, a methanation plasma-catalytic process has been developed, which achieves high CO2 conversion rate (80%), and a selectivity close to 100%, working from room temperature to 150 °C, instead of 300 °C-400 °C for the thermal catalytic process. The main characteristics of this process are high-voltage pulses of few nanoseconds duration, activating the adsorption of CO2 in bent configuration and the polarization of the catalyst. The key step in this process is the desorption of water from the polarized catalyst. The high CO2 conversion at low temperature could be explained by the creation of a plasma inside the nanopores of the catalyst.

  9. Plasma-Assisted Co-evaporation of S and Se for Wide Band Gap Chalcopyrite Photovoltaics: Final Subcontract Report, December 2001 -- April 2005

    Energy Technology Data Exchange (ETDEWEB)

    Repins, I.; Wolden, C.

    2005-08-01

    In this work, ITN Energy Systems (ITN) and lower-tier subcontractor Colorado School of Mines (CSM) explore the replacement of the molecular chalcogen precursors during deposition (e.g., Se2 or H2Se) with more reactive chalcogen monomers or radicals (e.g., Se). Molecular species are converted to atomic species in a low-pressure inductively coupled plasma (ICP). This program explored the use of plasma-activated chalcogen sources in CIGS co-evaporation to lower CIGS deposition temperature, increase utilization, increase deposition rate, and improve S:Se stoichiometry control. Plasma activation sources were designed and built, then operated and characterized over a wide range of conditions. Optical emission and mass spectrometry data show that chalcogens are effectively dissociated in the plasma. The enhanced reactivity achieved by the plasma processing was demonstrated by conversion of pre-deposited metal films to respective chalcogen-containing phases at low temperature and low chalcogen flux. The plasma-assisted co-evaporation (PACE) sources were also implemented in CIGS co-evaporation. No benefit from PACE was observed in device results, and frequent deposition failures occurred.

  10. Novel Cyclosilazane-Type Silicon Precursor and Two-Step Plasma for Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride.

    Science.gov (United States)

    Park, Jae-Min; Jang, Se Jin; Lee, Sang-Ick; Lee, Won-Jun

    2018-03-14

    We designed cyclosilazane-type silicon precursors and proposed a three-step plasma-enhanced atomic layer deposition (PEALD) process to prepare silicon nitride films with high quality and excellent step coverage. The cyclosilazane-type precursor, 1,3-di-isopropylamino-2,4-dimethylcyclosilazane (CSN-2), has a closed ring structure for good thermal stability and high reactivity. CSN-2 showed thermal stability up to 450 °C and a sufficient vapor pressure of 4 Torr at 60 °C. The energy for the chemisorption of CSN-2 on the undercoordinated silicon nitride surface as calculated by density functional theory method was -7.38 eV. The PEALD process window was between 200 and 500 °C, with a growth rate of 0.43 Å/cycle. The best film quality was obtained at 500 °C, with hydrogen impurity of ∼7 atom %, oxygen impurity less than 2 atom %, low wet etching rate, and excellent step coverage of ∼95%. At 300 °C and lower temperatures, the wet etching rate was high especially at the lower sidewall of the trench pattern. We introduced the three-step PEALD process to improve the film quality and the step coverage on the lower sidewall. The sequence of the three-step PEALD process consists of the CSN-2 feeding step, the NH 3 /N 2 plasma step, and the N 2 plasma step. The H radicals in NH 3 /N 2 plasma efficiently remove the ligands from the precursor, and the N 2 plasma after the NH 3 plasma removes the surface hydrogen atoms to activate the adsorption of the precursor. The films deposited at 300 °C using the novel precursor and the three-step PEALD process showed a significantly improved step coverage of ∼95% and an excellent wet etching resistance at the lower sidewall, which is only twice as high as that of the blanket film prepared by low-pressure chemical vapor deposition.

  11. Non-equilibrium effects in the processing of materials using plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Mangolini, Lorenzo [Univ. of California, Riverside, CA (United States)

    2016-06-02

    We have provided experimental evidence that nanoparticles in plasma are heated to temperatures that are significantly higher than that of the background gas. This result gives experimental confirmation to a number of theoretical/computational studies that predicted this behavior. Moreover, this study has provided with the first measurement of the temperature of nanoparticles in a processing dusty plasma, i.e. under conditions that are relevant for the growth and modification of nanopowders.

  12. Charge-exchange processes in a divertor plasma with account for excited particles

    International Nuclear Information System (INIS)

    Krasheninnikov, S.I.; Lisitsa, V.S.; Pigarov, A.Yu.

    1988-01-01

    A model describing dynamics of neutral atoms and multicharge ions in tokamak plasma, taking account of cascade excitation effect on charge exchange and ionization processes, is constructed. Dependences of effective rate of processes of proton charge exchange on hydrogen atom and non-resonance helium atom charge exchange on proton and α-particle- on atomic hydrogen on tokamak divertor plasma parameters are calculated. It is shown that H + +He→H-He + charge exchange can make up a notable shave (∼30%) in full helium ionization rate. Accounting for Ge 2+ charge exchange on atomic hydrogen under INTOR reactor divertor plasma conditions can lead to substantial He 2+ →He + conversion and thus increase diverter plate sputtering by helium ions

  13. Plasma levels of C-Reactive Protein and Fibrinogen in Pulmonary ...

    African Journals Online (AJOL)

    Dr Olaleye

    heparin tubes before the commencement of chemotherapy, 2 months, 4 months and 6 months of anti-TB therapy. Plasma obtained ... resistant tuberculosis were significantly reduced during chemotherapy compared with before commencement of chemotherapy. Plasma CRP and ... This type of response involves the ...

  14. Electric discharge plasmas influence attachment of cultured CHO k1 cells

    NARCIS (Netherlands)

    Kieft, I.E.; Broers, J.L.V.; Caubet-Hilloutou, V.; Slaaf, D.W.; Ramaekers, F.C.S.; Stoffels - Adamowicz, E.

    2004-01-01

    Non-thermal plasmas can be generated by electric discharges in gases. These plasmas are reactive media, capable of superficial treatment of various materials. A novel non-thermal atmospheric plasma source (plasma needle) has been developed and tested. Plasma appears at the end of a metal pin as a

  15. Feedback stabilization of electrostatic reactive instabilities

    International Nuclear Information System (INIS)

    Richards, R.K.

    1976-01-01

    A general theory for the feedback stabilization of electrostatic reactive instabilities is developed which includes the effects of dissipation in the plasma and frequency dependence in the sensor-suppressor elements and in the external feedback circuit. This theory is compared to experiments involving particular reactive instability, an interchange mode, found in a magnetic mirror device; these results are found to be in good agreement with theory. One noteworthy result is that a frequency dependence in the overall gain and phase shift of the feedback loop can cause destabilization at large gain. Multimode feedback stabilization is studied using the spatial variation of two interchange modes to separate them such that each can be acted upon individually by the feedback system. The transfer function of the plasma is also examined. This analysis is used for mode identification and location of the pole positions. As an example of using feedback as a diagnostic tool, instability induced transport is studied. Here feedback is used to control the amplitude of fluctuations at saturation

  16. Generation of low-temperature air plasma for food processing

    Science.gov (United States)

    Stepanova, Olga; Demidova, Maria; Astafiev, Alexander; Pinchuk, Mikhail; Balkir, Pinar; Turantas, Fulya

    2015-11-01

    The project is aimed at developing a physical and technical foundation of generating plasma with low gas temperature at atmospheric pressure for food industry needs. As known, plasma has an antimicrobial effect on the numerous types of microorganisms, including those that cause food spoilage. In this work an original experimental setup has been developed for the treatment of different foods. It is based on initiating corona or dielectric-barrier discharge in a chamber filled with ambient air in combination with a certain helium admixture. The experimental setup provides various conditions of discharge generation (including discharge gap geometry, supply voltage, velocity of gas flow, content of helium admixture in air and working pressure) and allows for the measurement of the electrical discharge parameters. Some recommendations on choosing optimal conditions of discharge generation for experiments on plasma food processing are developed.

  17. Influence of radiative processes on the ignition of deuterium–tritium plasma containing inactive impurities

    Energy Technology Data Exchange (ETDEWEB)

    Gus’kov, S. Yu., E-mail: guskov@sci.lebedev.ru [Russian Academy of Sciences, Lebedev Physical Institute (Russian Federation); Sherman, V. E. [Peter the Great St. Petersburg Polytechnic University (Russian Federation)

    2016-08-15

    The degree of influence of radiative processes on the ignition of deuterium–tritium (DT) plasma has been theoretically studied as dependent on the content of inactive impurities in plasma. The analytic criterion of plasma ignition in inertial confinement fusion (ICF) targets is modified taking into account the absorption of intrinsic radiation from plasma in the ignition region. The influence of radiative processes on the DT plasma ignition has been analytically and numerically studied for plasma that contains a significant fraction of inactive impurities either as a result of DT fuel mixing with ICF target ablator material or as a result of using light metal DT-hydrides as solid noncryogenic fuel. It has been shown that the effect of the absorption of intrinsic radiation leads to lower impurity-induced increase in the ignition energy as compared to that calculated in the approximation of optically transparent ignition region.

  18. Controlled elaboration of large-area plasmonic substrates by plasma process

    International Nuclear Information System (INIS)

    Pugliara, A; Despax, B; Makasheva, K; Bonafos, C; Carles, R

    2015-01-01

    Elaboration in a controlled way of large-area and efficient plasmonic substrates is achieved by combining sputtering of silver nanoparticles (AgNPs) and plasma polymerization of the embedding dielectric matrix in an axially asymmetric, capacitively coupled RF discharge maintained at low gas pressure. The plasma parameters and deposition conditions were optimized according to the optical response of these substrates. Structural and optical characterizations of the samples confirm the process efficiency. The obtained results indicate that to deposit a single layer of large and closely situated AgNPs, a high injected power and short sputtering times must be privileged. The plasma-elaborated plasmonic substrates appear to be very sensitive to any stimuli that affect their plasmonic response. (paper)

  19. Linear and Nonlinear MHD Wave Processes in Plasmas. Final Report

    International Nuclear Information System (INIS)

    Tataronis, J. A.

    2004-01-01

    This program treats theoretically low frequency linear and nonlinear wave processes in magnetized plasmas. A primary objective has been to evaluate the effectiveness of MHD waves to heat plasma and drive current in toroidal configurations. The research covers the following topics: (1) the existence and properties of the MHD continua in plasma equilibria without spatial symmetry; (2) low frequency nonresonant current drive and nonlinear Alfven wave effects; and (3) nonlinear electron acceleration by rf and random plasma waves. Results have contributed to the fundamental knowledge base of MHD activity in symmetric and asymmetric toroidal plasmas. Among the accomplishments of this research effort, the following are highlighted: Identification of the MHD continuum mode singularities in toroidal geometry. Derivation of a third order ordinary differential equation that governs nonlinear current drive in the singular layers of the Alfven continuum modes in axisymmetric toroidal geometry. Bounded solutions of this ODE implies a net average current parallel to the toroidal equilibrium magnetic field. Discovery of a new unstable continuum of the linearized MHD equation in axially periodic circular plasma cylinders with shear and incompressibility. This continuum, which we named ''accumulation continuum'' and which is related to ballooning modes, arises as discrete unstable eigenfrequency accumulate on the imaginary frequency axis in the limit of large mode numbers. Development of techniques to control nonlinear electron acceleration through the action of multiple coherent and random plasmas waves. Two important elements of this program aye student participation and student training in plasma theory

  20. On the use of the plasma in III-V semiconductor processing

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G.; Capezzuto, P.; Losurdo, M. [C.N.R.-Centro di Studio per la Chimica dei Plasmi Dipartimento di Chimica-Universita di Bari via Orabona, 4-70126 Bari (Italy)

    1996-03-01

    The manufacture of usable devices based on III-V semiconductor materials is a complex process requiring epilayer growth, anisotropic etching, defect passivation, surface oxidation and substrate preparation processes. The combination of plasma based methods with metalorganic chemical vapor deposition (MOCVD) offers some real advantages: {ital in} {ital situ} production and preactivation of PH{sub 3} and sample preparation using H-atom. The detailed understanding and use of the plasma (using mass spectrometry, optical emission spectroscopy, laser reflectance interferometry and spectroscopic ellipsometry) as applied to InP material is discussed. {copyright} {ital 1996 American Institute of Physics.}