WorldWideScience

Sample records for radiation hardened silicon

  1. Thin film silicon on silicon nitride for radiation hardened dielectrically isolated MISFET's

    International Nuclear Information System (INIS)

    Neamen, D.; Shedd, W.; Buchanan, B.

    1975-01-01

    The permanent ionizing radiation effects resulting from charge trapping in a silicon nitride isolation dielectric have been determined for a total ionizing dose up to 10 7 rads (Si). Junction FET's, whose active channel region is directly adjacent to the silicon-silicon nitride interface, were used to measure the effects of the radiation induced charge trapping in the Si 3 N 4 isolation dielectric. The JFET saturation current and channel conductance versus junction gate voltage and substrate voltage were characterized as a function of the total ionizing radiation dose. The experimental results on the Si 3 N 4 are compared to results on similar devices with SiO 2 dielectric isolation. The ramifications of using the silicon nitride for fabricating radiation hardened dielectrically isolated MIS devices are discussed

  2. Radiation-hardened bulk CMOS technology

    International Nuclear Information System (INIS)

    Dawes, W.R. Jr.; Habing, D.H.

    1979-01-01

    The evolutionary development of a radiation-hardened bulk CMOS technology is reviewed. The metal gate hardened CMOS status is summarized, including both radiation and reliability data. The development of a radiation-hardened bulk silicon gate process which was successfully implemented to a commercial microprocessor family and applied to a new, radiation-hardened, LSI standard cell family is also discussed. The cell family is reviewed and preliminary characterization data is presented. Finally, a brief comparison of the various radiation-hardened technologies with regard to performance, reliability, and availability is made

  3. Radiation hardening of integrated circuits technologies

    International Nuclear Information System (INIS)

    Auberton-Herve, A.J.; Leray, J.L.

    1991-01-01

    The radiation hardening studies started in the mid decade -1960-1970. To survive the different military or space radiative environment, a new engineering science borned, to understand the degradation of electronics components. The different solutions to improve the electronic behavior in such environment, have been named radiation hardening of the technologies. Improvement of existing technologies, and qualification method have been widely studied. However, at the other hand, specific technologies was developped : The Silicon On Insulator technologies for CMOS or Bipolar. The HSOI3HD technology (supported by DGA-CEA DAM and LETI with THOMSON TMS) offers today the highest hardening level for the integration density of hundreds of thousand transistors on the same silicon. Full complex systems would be realized on a single die with a technological radiation hardening and no more system hardening

  4. Radiation hardened high efficiency silicon space solar cell

    International Nuclear Information System (INIS)

    Garboushian, V.; Yoon, S.; Turner, J.

    1993-01-01

    A silicon solar cell with AMO 19% Beginning of Life (BOL) efficiency is reported. The cell has demonstrated equal or better radiation resistance when compared to conventional silicon space solar cells. Conventional silicon space solar cell performance is generally ∼ 14% at BOL. The Radiation Hardened High Efficiency Silicon (RHHES) cell is thinned for high specific power (watts/kilogram). The RHHES space cell provides compatibility with automatic surface mounting technology. The cells can be easily combined to provide desired power levels and voltages. The RHHES space cell is more resistant to mechanical damage due to micrometeorites. Micro-meteorites which impinge upon conventional cells can crack the cell which, in turn, may cause string failure. The RHHES, operating in the same environment, can continue to function with a similar crack. The RHHES cell allows for very efficient thermal management which is essential for space cells generating higher specific power levels. The cell eliminates the need for electrical insulation layers which would otherwise increase the thermal resistance for conventional space panels. The RHHES cell can be applied to a space concentrator panel system without abandoning any of the attributes discussed. The power handling capability of the RHHES cell is approximately five times more than conventional space concentrator solar cells

  5. Study of interaction among silicon, lithium, oxygen and radiation-induced defects for radiation-hardened solar cells

    Science.gov (United States)

    Berman, P. A.

    1973-01-01

    In order to improve reliability and the useful lifetime of solar cell arrays for space use, a program was undertaken to develop radiation-hardened lithium-doped silicon solar cells. These cells were shown to be significantly more resistant to degradation by ionized particles than the presently used n-p nonlithium-doped silicon solar cells. The results of various analyses performed to develop a more complete understanding of the physics of the interaction among lithium, silicon, oxygen, and radiation-induced defects are presented. A discussion is given of those portions of the previous model of radiation damage annealing which were found to be in error and those portions which were upheld by these extensive investigations.

  6. Radiation Hardening of Silicon Detectors

    CERN Multimedia

    Leroy, C; Glaser, M

    2002-01-01

    %RD48 %title\\\\ \\\\Silicon detectors will be widely used in experiments at the CERN Large Hadron Collider where high radiation levels will cause significant bulk damage. In addition to increased leakage current and charge collection losses worsening the signal to noise, the induced radiation damage changes the effective doping concentration and represents the limiting factor to long term operation of silicon detectors. The objectives are to develop radiation hard silicon detectors that can operate beyond the limits of the present devices and that ensure guaranteed operation for the whole lifetime of the LHC experimental programme. Radiation induced defect modelling and experimental results show that the silicon radiation hardness depends on the atomic impurities present in the initial monocrystalline material.\\\\ \\\\ Float zone (FZ) silicon materials with addition of oxygen, carbon, nitrogen, germanium and tin were produced as well as epitaxial silicon materials with epilayers up to 200 $\\mu$m thickness. Their im...

  7. Investigation of epitaxial silicon layers as a material for radiation hardened silicon detectors

    International Nuclear Information System (INIS)

    Li, Z.; Eremin, V.; Ilyashenko, I.; Ivanov, A.; Verbitskaya, E.

    1997-12-01

    Epitaxial grown thick layers (≥ 100 micrometers) of high resistivity silicon (Epi-Si) have been investigated as a possible candidate of radiation hardened material for detectors for high-energy physics. As grown Epi-Si layers contain high concentration (up to 2 x 10 12 cm -3 ) of deep levels compared with that in standard high resistivity bulk Si. After irradiation of test diodes by protons (E p = 24 GeV) with a fluence of 1.5 x 10 11 cm -2 , no additional radiation induced deep traps have been detected. A reasonable explanation is that there is a sink of primary radiation induced defects (interstitial and vacancies), possibly by as-grown defects, in epitaxial layers. The ''sinking'' process, however, becomes non-effective at high radiation fluences (10 14 cm -2 ) due to saturation of epitaxial defects by high concentration of radiation induced ones. As a result, at neutron fluence of 1 x 10 14 cm -2 the deep level spectrum corresponds to well-known spectrum of radiation induced defects in high resistivity bulk Si. The net effective concentration in the space charge region equals to 3 x 10 12 cm -3 after 3 months of room temperature storage and reveals similar annealing behavior for epitaxial as compared to bulk silicon

  8. Using a novel spectroscopic reflectometer to optimize a radiation-hardened submicron silicon-on-sapphire CMOS process

    International Nuclear Information System (INIS)

    Do, N.T.; Zawaideh, E.; Vu, T.Q.; Warren, G.; Mead, D.; Do, N.T.; Li, G.P.; Tsai, C.S.

    1999-01-01

    A radiation-hardened sub-micron silicon-on-sapphire CMOS process is monitored and optimized using a novel optical technique based on spectroscopic reflectometry. Quantitative measurements of the crystal quality, surface roughness, and device radiation hardness show excellent correlation between this technique and the Atomic Force Microscopy. (authors)

  9. BUSFET -- A radiation-hardened SOI transistor

    International Nuclear Information System (INIS)

    Schwank, J.R.; Shaneyfelt, M.R.; Draper, B.L.; Dodd, P.E.

    1999-01-01

    The total-dose hardness of SOI technology is limited by radiation-induced charge trapping in gate, field, and SOI buried oxides. Charge trapping in the buried oxide can lead to back-channel leakage and makes hardening SOI transistors more challenging than hardening bulk-silicon transistors. Two avenues for hardening the back-channel are (1) to use specially prepared SOI buried oxides that reduce the net amount of trapped positive charge or (2) to design transistors that are less sensitive to the effects of trapped charge in the buried oxide. In this work, the authors propose a partially-depleted SOI transistor structure for mitigating the effects of trapped charge in the buried oxide on radiation hardness. They call this structure the BUSFET--Body Under Source FET. The BUSFET utilizes a shallow source and a deep drain. As a result, the silicon depletion region at the back channel caused by radiation-induced charge trapping in the buried oxide does not form a conducting path between source and drain. Thus, the BUSFET structure design can significantly reduce radiation-induced back-channel leakage without using specially prepared buried oxides. Total dose hardness is achieved without degrading the intrinsic SEU or dose rate hardness of SOI technology. The effectiveness of the BUSFET structure for reducing total-dose back-channel leakage depends on several variables, including the top silicon film thickness and doping concentration, and the depth of the source. 3-D simulations show that for a body doping concentration of 10 18 cm -3 , a drain bias of 3 V, and a source depth of 90 nm, a silicon film thickness of 180 nm is sufficient to almost completely eliminate radiation-induced back-channel leakage. However, for a doping concentration of 3 x 10 17 cm -3 , a thicker silicon film (300 nm) must be used

  10. Radiation-hardened optoelectronic components: detectors

    International Nuclear Information System (INIS)

    Wiczer, J.J.

    1986-01-01

    In this talk, we will survey recent research in the area of radiation hardened optical detectors. We have studied conventional silicon photodiode structures, special radiation hardened silicon photodiodes, and special double heterojunction AlGaAs/GaAs photodiodes in neutron, gamma, pulsed x-ray and charged particle environments. We will present results of our work and summarize other research in this area. Our studies have shown that detectors can be made to function acceptably after exposures to neutron fluences of 10 15 n/cm 2 , total dose gamma exposures of 10 8 rad (Si), and flash x-ray environments of 10 8 rad/sec (Si). We will describe detector structures that can operate through these conditions, pre-rad and post-rad operational characteristics, and experimental conditions that produced these results. 23 refs., 10 figs., 1 tab

  11. BUSFET - A Novel Radiation-Hardened SOI Transistor

    International Nuclear Information System (INIS)

    Schwank, J.R.; Shaneyfelt, M.R.; Draper, B.L.; Dodd, P.E.

    1999-01-01

    The total-dose hardness of SOI technology is limited by radiation-induced charge trapping in gate, field, and SOI buried oxides. Charge trapping in the buried oxide can lead to back-channel leakage and makes hardening SOI transistors more challenging than hardening bulk-silicon transistors. Two avenues for hardening the back-channel are (1) to use specially prepared SOI buried oxides that reduce the net amount of trapped positive charge or (2) to design transistors that are less sensitive to the effects of trapped charge in the buried oxide. In this work, we propose a new partially-depleted SOI transistor structure that we call the BUSFET--Body Under Source FET. The BUSFET utilizes a shallow source and a deep drain. As a result, the silicon depletion region at the back channel caused by radiation-induced charge trapping in the buried oxide does not form a conducting path between source and drain. Thus, the BUSFET structure design can significantly reduce radiation-induced back-channel leakage without using specially prepared buried oxides. Total dose hardness is achieved without degrading the intrinsic SEU and dose rate hardness of SOI technology. The effectiveness of the BUSFET structure for reducing total-dose back-channel leakage depends on several variables, including the top silicon film thickness and doping concentration and the depth of the source. 3-D simulations show that for a doping concentration of 10 18 cm -3 and a source depth of 90 nm, a silicon film thickness of 180 nm is sufficient to almost completely eliminate radiation-induced back-channel leakage. However, for a doping concentration of 3x10 17 cm -3 , a thicker silicon film (300 nm) must be used

  12. Radiation hardening of semiconductor parts

    International Nuclear Information System (INIS)

    Anon.

    1993-01-01

    This chapter is an overview of total-ionizing-dose and single-event hardening techniques and should be used as a guide to a range of research publications. It should be stressed that there is no clear and simple route to a radiation-tolerant silicon integrated circuit. What works for one fabrication process may not work for another, and there are many complex interactions within individual processes and designs. The authors have attempted to highlight the most important factors and those process changes which should bring improved hardness. The main point is that radiation-hardening as a procedure must be approached in a methodical fashion and with a good understanding of the response mechanisms involved

  13. Radiation-hardenable diluents for radiation-hardenable compositions

    International Nuclear Information System (INIS)

    Schuster, K.E.; Rosenkranz, H.J.; Furh, K.; Ruedolph, H.

    1979-01-01

    Radiation-crosslinkable diluents for radiation-hardenable compositions (binders) consisting of a mixture of triacrylates of a reaction product of trimethylol propane and ethylene oxide with an average degree of ethoxylation of from 2.5 to 4 are described. The ethoxylated trimethylol propane is substantially free from trimethylol propane and has the following distribution: 4 to 5% by weight of monoethoxylation product, 14 to 16% by weight of diethoxylation product, 20 to 30% by weight of triethoxylation product, 20 to 30% by weight of tetraethoxylation product, 16 to 18% by weight of pentaethoxylation product, and 6 to 8% by weight of hexaethoxylation product. The diluents effectively reduce the viscosity of radiation-hardenable compositions and do not have any adverse effect upon their reactivity or upon the properties of the resulting hardened products

  14. Radiation dose effects, hardening of electronic components

    International Nuclear Information System (INIS)

    Dupont-Nivet, E.

    1991-01-01

    This course reviews the mechanism of interaction between ionizing radiation and a silicon oxide type dielectric, in particular the effect of electron-hole pairs creation in the material. Then effects of cumulated dose on electronic components and especially in MOS technology are examined. Finally methods hardening of these components are exposed. 93 refs

  15. Design optimization of radiation-hardened CMOS integrated circuits

    International Nuclear Information System (INIS)

    1975-01-01

    Ionizing-radiation-induced threshold voltage shifts in CMOS integrated circuits will drastically degrade circuit performance unless the design parameters related to the fabrication process are properly chosen. To formulate an approach to CMOS design optimization, experimentally observed analytical relationships showing strong dependences between threshold voltage shifts and silicon dioxide thickness are utilized. These measurements were made using radiation-hardened aluminum-gate CMOS inverter circuits and have been corroborated by independent data taken from MOS capacitor structures. Knowledge of these relationships allows one to define ranges of acceptable CMOS design parameters based upon radiation-hardening capabilities and post-irradiation performance specifications. Furthermore, they permit actual design optimization of CMOS integrated circuits which results in optimum pre- and post-irradiation performance with respect to speed, noise margins, and quiescent power consumption. Theoretical and experimental results of these procedures, the applications of which can mean the difference between failure and success of a CMOS integrated circuit in a radiation environment, are presented

  16. Using a novel spectroscopic reflectometer to optimize a radiation-hardened submicron silicon-on-sapphire CMOS process; Utilisation d'une nouvelle reflectometrie spectroscopique pour optimiser un procede de fabrication CMOS/SOS durci aux radiations

    Energy Technology Data Exchange (ETDEWEB)

    Do, N.T.; Zawaideh, E.; Vu, T.Q.; Warren, G.; Mead, D. [Raytheon Systems company, Microelectronics Div., Newport Beach, California (United States); Li, G.P.; Tsai, C.S. [California Univ., School of Engineering, Newport Beach, CA (United States)

    1999-07-01

    A radiation-hardened sub-micron silicon-on-sapphire CMOS process is monitored and optimized using a novel optical technique based on spectroscopic reflectometry. Quantitative measurements of the crystal quality, surface roughness, and device radiation hardness show excellent correlation between this technique and the Atomic Force Microscopy. (authors)

  17. Process controls for radiation hardened aluminum gate bulk silicon CMOS

    International Nuclear Information System (INIS)

    Gregory, B.L.

    1975-01-01

    Optimized dry oxides have recently yielded notable improvements in CMOS radiation-hardness. By following the proper procedures and recipes, it is now possible to produce devices which will function satisfactorily after exposure to a total ionizing dose in excess of 10 6 RADS (Si). This paper is concerned with the controls required on processing parameters once the optimized process is defined. In this process, the pre-irradiation electrical parameters must be closely controlled to insure that devices will function after irradiation. In particular, the specifications on n- and p-channel threshold voltages require tight control of fixed oxide charge, surface-state density, oxide thickness, and substrate and p-well surface concentrations. In order to achieve the above level of radiation hardness, certain processing procedures and parameters must also be closely controlled. Higher levels of cleanliness are required in the hardened process than are commonly required for commercial CMOS since, for hardened dry oxides, no impurity gettering can be employed during or after oxidation. Without such gettering, an unclean oxide is unacceptable due to bias-temperature instability. Correct pre-oxidation cleaning, residual surface damage removal, proper oxidation and annealing temperatures and times, and the correct metal sintering cycle are all important in determining device hardness. In a reproducible, hardened process, each of these processing steps must be closely controlled. (U.S.)

  18. Radiation hardened COTS-based 32-bit microprocessor

    International Nuclear Information System (INIS)

    Haddad, N.; Brown, R.; Cronauer, T.; Phan, H.

    1999-01-01

    A high performance radiation hardened 32-bit RISC microprocessor based upon a commercial single chip CPU has been developed. This paper presents the features of radiation hardened microprocessor, the methods used to radiation harden this device, the results of radiation testing, and shows that the RAD6000 is well-suited for the vast majority of space applications. (authors)

  19. Effects of radiation on MOS structures and silicon devices

    International Nuclear Information System (INIS)

    Braeunig, D.; Fahrner, W.

    1983-02-01

    A comprehensive view of radiation effects on MOS structures and silicon devices is given. In the introduction, the interaction of radiation with semiconductor material is presented. In the next section, the electrical degradation of semiconductor devices due to this interaction is discussed. The commonly used hardening techniques are shown. The last section deals with testing of radiation hardness of devices. (orig.) [de

  20. Radiation hardenable coating mixture

    International Nuclear Information System (INIS)

    Howard, D.D.

    1977-01-01

    This invention relates to coatings that harden under radiation and to their compositions. Specifically, this invention concerns unsaturated urethane resins polymerisable by addition and to compositions, hardening under the effect of radiation, containing these resins. These resins feature the presence of at least one unsaturated ethylenic terminal group of structure CH 2 =C and containing the product of the reaction of an organic isocyanate compound with at least two isocyanate groups and one polyester polyol with at least two hydroxyl groups, and one unsaturated monomer compound polymerisable by addition having a single active hydrogen group reacting with the isocyanate [fr

  1. Radiation-chemical hardening of phenol-formaldehyde oligomers

    International Nuclear Information System (INIS)

    Shlapatskaya, V.V.; Omel'chenko, S.I.

    1978-01-01

    Radiation-chemical hardening of phenol formaldehyde oligomers of the resol type has been studied in the presence of furfural and diallylphthalate diluents. The samples have been hardened on an electron accelerator at an electron energy of 1.0-1.1 MeV and a dose rate of 2-3 Mrad/s. The kinetics of hardening has been studied on the yield of gel fraction within the range of absorbed doses from 7 to 400 Mrad. Radiation-chemical hardening of the studied compositions is activated with sensitizers, namely, amines, metal chlorides, and heterocyclic derivatives of metals. Furfural and diallylphthalate compositions are suitable for forming glass-fibre plastic items by the wet method and coatings under the action of ionizing radiations

  2. Radiation-hardened control system

    International Nuclear Information System (INIS)

    Vandermolen, R.I.; Smith, S.F.; Emery, M.S.

    1993-01-01

    A radiation-hardened bit-slice control system with associated input/output circuits was developed to prove that programmable circuits could be constructed to successfully implement intelligent functions in a highly radioactive environment. The goal for this effort was to design and test a programmable control system that could withstand a minimum total dose of 10 7 rads (gamma). The Radiation Hardened Control System (RHCS) was tested in operation at a dose rate that ranged up to 135 krad/h, with an average total dose of 10.75 Mrads. Further testing beyond the required 10 7 rads was also conducted. RHCS performed properly through the target dose of 10 7 rads, and sporadic intermittent failures in some programmable logic devices were noted after ∼ 13 Mrads

  3. Radiation-hardened bulk Si-gate CMOS microprocessor family

    International Nuclear Information System (INIS)

    Stricker, R.E.; Dingwall, A.G.F.; Cohen, S.; Adams, J.R.; Slemmer, W.C.

    1979-01-01

    RCA and Sandia Laboratories jointly developed a radiation-hardened bulk Si-gate CMOS technology which is used to fabricate the CDP-1800 series microprocessor family. Total dose hardness of 1 x 10 6 rads (Si) and transient upset hardness of 5 x 10 8 rads (Si)/sec with no latch up at any transient level was achieved. Radiation-hardened parts manufactured to date include the CDP-1802 microprocessor, the CDP-1834 ROM, the CDP-1852 8-bit I/O port, the CDP-1856 N-bit 1 of 8 decoder, and the TCC-244 256 x 4 Static RAM. The paper is divided into three parts. In the first section, the basic fundamentals of the non-hardened C 2 L technology used for the CDP-1800 series microprocessor parts is discussed along with the primary reasons for hardening this technology. The second section discusses the major changes in the fabrication sequence that are required to produce radiation-hardened devices. The final section details the electrical performance characteristics of the hardened devices as well as the effects of radiation on device performance. Also included in this section is a discussion of the TCC-244 256 x 4 Static RAM designed jointly by RCA and Sandia Laboratories for this application

  4. Thermomechanical properties of radiation hardened oligoesteracrylates

    International Nuclear Information System (INIS)

    Lomonosova, N.V.; Chikin, Yu.A.

    1984-01-01

    Thermomechanical properties of radiation hardened oligoesteracrylates are studied by the methods of isothermal heating and thermal mechanics. Films of dimethacrylate of ethylene glycol, triethylene glycol (TGM-3), tetraethylene glycol, tridecaethylene glycol and TGM-3 mixture with methyl methacrylate hardened by different doses (5-150 kGy) using Co 60 installation with a dose rate of 2x10 -3 kGy/s served as a subject of the research. During oligoesteracrylate hargening a space network is formed, chain sections between lattice points of which are in a stressed state. Maximum of deformation is observed at 210-220 deg C on thermomechanical curves of samples hardened by doses > 5 kGy, which form and intensity is dependent on an absorbed dose. Presence of a high-temperature maximum on diaqrams of isometric heating of spatially cross-linked oligoesteracrylates is discovered. High thermal stability of three-dimensional network of radiation hardened oligoesteracrylates provides satisfactory tensile properties (40% of initial strength) in sample testing an elevated temperatures (200-250 deg C)

  5. Radiation hardening coating material

    International Nuclear Information System (INIS)

    McDonald, W.H.; Prucnal, P.J.; DeMajistre, Robert.

    1977-01-01

    This invention concerns a radiation hardening coating material. First a resin is prepared by reaction of bisphenol diglycidylic ether with acrylic or methacrylic acids. Then the reactive solvent is prepared by reaction of acrylic or methacrylic acids with epichlorhydrine or epibromhydrine. Then a solution consisting of the resin dissolved in the reactive solvent is prepared. A substrate (wood, paper, polyesters, polyamines etc.) is coated with this composition and exposed to ionizing radiations (electron beams) or ultraviolet radiations [fr

  6. RHOBOT: Radiation hardened robotics

    Energy Technology Data Exchange (ETDEWEB)

    Bennett, P.C.; Posey, L.D. [Sandia National Labs., Albuquerque, NM (United States)

    1997-10-01

    A survey of robotic applications in radioactive environments has been conducted, and analysis of robotic system components and their response to the varying types and strengths of radiation has been completed. Two specific robotic systems for accident recovery and nuclear fuel movement have been analyzed in detail for radiation hardness. Finally, a general design approach for radiation-hardened robotics systems has been developed and is presented. This report completes this project which was funded under the Laboratory Directed Research and Development program.

  7. RHOBOT: Radiation hardened robotics

    International Nuclear Information System (INIS)

    Bennett, P.C.; Posey, L.D.

    1997-10-01

    A survey of robotic applications in radioactive environments has been conducted, and analysis of robotic system components and their response to the varying types and strengths of radiation has been completed. Two specific robotic systems for accident recovery and nuclear fuel movement have been analyzed in detail for radiation hardness. Finally, a general design approach for radiation-hardened robotics systems has been developed and is presented. This report completes this project which was funded under the Laboratory Directed Research and Development program

  8. Radiation response of two Harris semiconductor radiation hardened 1k CMOS RAMs

    International Nuclear Information System (INIS)

    Abare, W.E.; Huffman, D.D.; Moffett, G.E.

    1982-01-01

    This paper describes the testing of two types 1K CMOS static RAMs in various transient and steady state ionizing radiation environments. Type HM 6551R (256x4 bits) and type HM 6508R (1024x1 bit) RAMs were evaluated. The RAMs are radiation hardened versions of Harris' commercial RAMs. A brief description of the radiation hardened process is presented

  9. Architecture and performance of radiation-hardened 64-bit SOS/MNOS memory

    International Nuclear Information System (INIS)

    Kliment, D.C.; Ronen, R.S.; Nielsen, R.L.; Seymour, R.N.; Splinter, M.R.

    1976-01-01

    This paper discusses the circuit architecture and performance of a nonvolatile 64-bit MNOS memory fabricated on silicon on sapphire (SOS). The circuit is a test vehicle designed to demonstrate the feasibility of a high-performance, high-density, radiation-hardened MNOS/SOS memory. The array is organized as 16 words by 4 bits and is fully decoded. It utilizes a two-(MNOS) transistor-per-bit cell and differential sensing scheme and is realized in PMOS static resistor load logic. The circuit was fabricated and tested as both a fast write random access memory (RAM) and an electrically alterable read only memory (EAROM) to demonstrate design and process flexibility. Discrete device parameters such as retention, circuit electrical characteristics, and tolerance to total dose and transient radiation are presented

  10. Space and military radiation effects in silicon-on-insulator devices

    International Nuclear Information System (INIS)

    Schwank, J.R.

    1996-09-01

    Advantages in transient ionizing and single-event upset (SEU) radiation hardness of silicon-on-insulator (SOI) technology spurred much of its early development. Both of these advantages are a direct result of the reduced charge collection volume inherent to SOI technology. The fact that SOI transistor structures do not include parasitic n-p-n-p paths makes them immune to latchup. Even though considerable improvement in transient and single-event radiation hardness can be obtained by using SOI technology, there are some attributes of SOI devices and circuits that tend to limit their overall hardness. These attributes include the bipolar effect that can ultimately reduce the hardness of SOI ICs to SEU and transient ionizing radiation, and charge buildup in buried and sidewall oxides that can degrade the total-dose hardness of SOI devices. Nevertheless, high-performance SOI circuits can be fabricated that are hardened to both space and nuclear radiation environments, and radiation-hardened systems remain an active market for SOI devices. The effects of radiation on SOI MOS devices are reviewed

  11. A radiation-hardened SOI-based FPGA

    International Nuclear Information System (INIS)

    Han Xiaowei; Wu Lihua; Zhao Yan; Li Yan; Zhang Qianli; Chen Liang; Zhang Guoquan; Li Jianzhong; Yang Bo; Gao Jiantou; Wang Jian; Li Ming; Liu Guizhai; Zhang Feng; Guo Xufeng; Chen, Stanley L.; Liu Zhongli; Yu Fang; Zhao Kai

    2011-01-01

    A radiation-hardened SRAM-based field programmable gate array VS1000 is designed and fabricated with a 0.5 μm partial-depletion silicon-on-insulator logic process at the CETC 58th Institute. The new logic cell (LC), with a multi-mode based on 3-input look-up-table (LUT), increases logic density about 12% compared to a traditional 4-input LUT The logic block (LB), consisting of 2 LCs, can be used in two functional modes: LUT mode and distributed read access memory mode. The hierarchical routing channel block and switch block can significantly improve the flexibility and routability of the routing resource. The VS1000 uses a CQFP208 package and contains 392 reconfigurable LCs, 112 reconfigurable user I/Os and IEEE 1149.1 compatible with boundary-scan logic for testing and programming. The function test results indicate that the hardware and software cooperate successfully and the VS1000 works correctly. Moreover, the radiation test results indicate that the VS1000 chip has total dose tolerance of 100 krad(Si), a dose rate survivability of 1.5 x 10 11 rad(Si)/s and a neutron fluence immunity of 1 x 10 14 n/cm 2 . (semiconductor integrated circuits)

  12. Design and implementation of a programming circuit in radiation-hardened FPGA

    International Nuclear Information System (INIS)

    Wu Lihua; Han Xiaowei; Zhao Yan; Liu Zhongli; Yu Fang; Chen, Stanley L.

    2011-01-01

    We present a novel programming circuit used in our radiation-hardened field programmable gate array (FPGA) chip. This circuit provides the ability to write user-defined configuration data into an FPGA and then read it back. The proposed circuit adopts the direct-access programming point scheme instead of the typical long token shift register chain. It not only saves area but also provides more flexible configuration operations. By configuring the proposed partial configuration control register, our smallest configuration section can be conveniently configured as a single data and a flexible partial configuration can be easily implemented. The hierarchical simulation scheme, optimization of the critical path and the elaborate layout plan make this circuit work well. Also, the radiation hardened by design programming point is introduced. This circuit has been implemented in a static random access memory (SRAM)-based FPGA fabricated by a 0.5 μm partial-depletion silicon-on-insulator CMOS process. The function test results of the fabricated chip indicate that this programming circuit successfully realizes the desired functions in the configuration and read-back. Moreover, the radiation test results indicate that the programming circuit has total dose tolerance of 1 x 10 5 rad(Si), dose rate survivability of 1.5 x 10 11 rad(Si)/s and neutron fluence immunity of 1 x 10 14 n/cm 2 .

  13. Design and implementation of a programming circuit in radiation-hardened FPGA

    Science.gov (United States)

    Lihua, Wu; Xiaowei, Han; Yan, Zhao; Zhongli, Liu; Fang, Yu; Chen, Stanley L.

    2011-08-01

    We present a novel programming circuit used in our radiation-hardened field programmable gate array (FPGA) chip. This circuit provides the ability to write user-defined configuration data into an FPGA and then read it back. The proposed circuit adopts the direct-access programming point scheme instead of the typical long token shift register chain. It not only saves area but also provides more flexible configuration operations. By configuring the proposed partial configuration control register, our smallest configuration section can be conveniently configured as a single data and a flexible partial configuration can be easily implemented. The hierarchical simulation scheme, optimization of the critical path and the elaborate layout plan make this circuit work well. Also, the radiation hardened by design programming point is introduced. This circuit has been implemented in a static random access memory (SRAM)-based FPGA fabricated by a 0.5 μm partial-depletion silicon-on-insulator CMOS process. The function test results of the fabricated chip indicate that this programming circuit successfully realizes the desired functions in the configuration and read-back. Moreover, the radiation test results indicate that the programming circuit has total dose tolerance of 1 × 105 rad(Si), dose rate survivability of 1.5 × 1011 rad(Si)/s and neutron fluence immunity of 1 × 1014 n/cm2.

  14. Novel circuits for radiation hardened memories

    International Nuclear Information System (INIS)

    Haraszti, T.P.; Mento, R.P.; Moyer, N.E.; Grant, W.M.

    1992-01-01

    This paper reports on implementation of large storage semiconductor memories which combine radiation hardness with high packing density, operational speed, and low power dissipation and require both hardened circuit and hardened process technologies. Novel circuits, including orthogonal shuffle type of write-read arrays, error correction by weighted bidirectional codes and associative iterative repair circuits, are proposed for significant improvements of SRAMs' immunity against the effects of total dose and cosmic particle impacts. The implementation of the proposed circuit resulted in fault-tolerant 40-Mbit and 10-Mbit monolithic memories featuring a data rate of 120 MHz and power dissipation of 880 mW. These experimental serial-parallel memories were fabricated with a nonhardened standard CMOS processing technology, yet provided a total dose hardness of 1 Mrad and a projected SEU rate of 1 x 10 - 12 error/bit/day. Using radiation hardened processing improvements by factors of 10 to 100 are predicted in both total dose hardness and SEU rate

  15. Silicon detectors for x and gamma-ray with high radiation resistance

    International Nuclear Information System (INIS)

    Cimpoca, Valerica; Popescu, Ion V.; Ruscu, Radu

    2001-01-01

    Silicon detectors are widely used in X and gamma-ray spectroscopy for direct detection or coupled with scintillators in high energy nuclear physics (modern collider experiments are representative), medicine and industrial applications. In X and gamma dosimetry, a low detection limit (under 6 KeV) with silicon detectors becomes available. Work at the room temperature is now possible due to the silicon processing evolution, which assures low reverse current and high life time of carriers. For several years, modern semiconductor detectors have been the primary choice for the measurement of nuclear radiation in various scientific fields. Nowadays the recently developed high resolution silicon detectors found their way in medical applications. As a consequence many efforts have been devoted to the development of high sensitivity and radiation hardened X and gamma-ray detectors for the energy range of 5 - 150 keV. The paper presents some results concerning the technology and behaviour of X and Gamma ray silicon detectors used in physics research, industrial and medical radiography. The electrical characteristics of these detectors, their modification after exposure to radiation and the results of spectroscopic X and Gamma-ray measurements are discussed. The results indicated that the proposed detectors enables the development of reliable silicon detectors to be used in controlling the low and high radiation levels encountered in a lot of application

  16. Radiation hardening of metals irradiated by heavy ions

    International Nuclear Information System (INIS)

    Didyk, A.Yu.; Skuratov, V.A.; Mikhajlova, N.Yu.; Regel', V.R.

    1988-01-01

    The damage dose dependence in the 10 -4 -10 -2 dpa region of radiation hardening of Al, V, Ni, Cu irradiated by xenon ions with 124 MeV energy is investigated using the microhardness technique and transmission electron microscope. It is shown that the pure metals radiation hardening is stimulated for defects clusters with the typical size less than 5 nm, as in the case of neutron and the light charge ion irradiation

  17. Radiation hardness of silicon detectors manufactured on epitaxial material and FZ bulk enriched with oxygen, carbon, tin and platinum

    CERN Document Server

    Ruzin, A; Glaser, M; Lemeilleur, F; Talamonti, R; Watts, S; Zanet, A

    1999-01-01

    Recent results on the radiation hardness of silicon detectors fabricated on epitaxial and float zone bulk silicon enriched by various impurities, such as carbon, oxygen, tin and platinum are reported. A new methodology of measurements of electrical properties of the devices has been utilized in the experiment. It has been shown that in the case of irradiation by protons, oxygen enriched silicon has better radiation hardness than standard float zone silicon. The carbon enriched silicon detectors, on the other hand, exhibited significantly inferior radiation hardness compared to standard detectors. This study shows for the first time, a violation of the widely used normalization technique of the various particle irradiations by NIEL coefficients. The study has been carried out in the framework of the RD48 (ROSE) collaboration, which studies the radiation hardening of silicon detectors. (5 refs).

  18. Exploration of a radiation hardening stabilized voltage power supply

    International Nuclear Information System (INIS)

    Xie Zeyuan; Xu Xianguo

    2014-01-01

    This paper mainly introduces the design method of radiation hardening stabilized voltage power supply that makes use of commercial radiation resistant electronic devices and the test results of radiation performance of the power supply and devices are presented in detail. The experiment results show that the hardened power supply can normally work until 1000 Gy (Si) total dose and 1 × 10 14 n/cm 2 neutron radiation, and it doesn't latchup at about 1 × l0 9 Gy (Si)/s gamma transient dose rate. (authors)

  19. Radiation-hardened micro-electronics for nuclear instrumentation

    International Nuclear Information System (INIS)

    Van Uffelen, M.

    2007-01-01

    The successful development and deployment of future fission and thermonuclear fusion reactors depends to a large extent on the advances of different enabling technologies. Not only the materials need to be custom engineered but also the instrumentation, the electronics and the communication equipment need to support operation in this harsh environment, with expected radiation levels during maintenance up to several MGy. Indeed, there are yet no commercially available electronic devices available off-the-shelf which demonstrated a satisfying operation at these extremely high radiation levels. The main goal of this task is to identify commercially available radiation tolerant technologies, and to design dedicated and integrated electronic circuits, using radiation hardening techniques, both at the topological and architectural level. Within a stepwise approach, we first design circuits with discrete components and look for an equivalent integrated technology. This will enable us to develop innovative instrumentation and communication tools for the next generation of nuclear reactors, where both radiation hardening and miniaturization play a dominant role

  20. Radiation-hard silicon gate bulk CMOS cell family

    International Nuclear Information System (INIS)

    Gibbon, C.F.; Habing, D.H.; Flores, R.S.

    1980-01-01

    A radiation-hardened bulk silicon gate CMOS technology and a topologically simple, high-performance dual-port cell family utilizing this process have been demonstrated. Additional circuits, including a random logic circuit containing 4800 transistors on a 236 x 236 mil die, are presently being designed and processed. Finally, a joint design-process effort is underway to redesign the cell family in reduced design rules; this results in a factor of 2.5 cell size reduction and a factor of 3 decrease in chip interconnect area. Cell performance is correspondingly improved

  1. Multi-MGy Radiation Hardened Camera for Nuclear Facilities

    International Nuclear Information System (INIS)

    Girard, Sylvain; Boukenter, Aziz; Ouerdane, Youcef; Goiffon, Vincent; Corbiere, Franck; Rolando, Sebastien; Molina, Romain; Estribeau, Magali; Avon, Barbara; Magnan, Pierre; Paillet, Philippe; Duhamel, Olivier; Gaillardin, Marc; Raine, Melanie

    2015-01-01

    There is an increasing interest in developing cameras for surveillance systems to monitor nuclear facilities or nuclear waste storages. Particularly, for today's and the next generation of nuclear facilities increasing safety requirements consecutive to Fukushima Daiichi's disaster have to be considered. For some applications, radiation tolerance needs to overcome doses in the MGy(SiO 2 ) range whereas the most tolerant commercial or prototypes products based on solid state image sensors withstand doses up to few kGy. The objective of this work is to present the radiation hardening strategy developed by our research groups to enhance the tolerance to ionizing radiations of the various subparts of these imaging systems by working simultaneously at the component and system design levels. Developing radiation-hardened camera implies to combine several radiation-hardening strategies. In our case, we decided not to use the simplest one, the shielding approach. This approach is efficient but limits the camera miniaturization and is not compatible with its future integration in remote-handling or robotic systems. Then, the hardening-by-component strategy appears mandatory to avoid the failure of one of the camera subparts at doses lower than the MGy. Concerning the image sensor itself, the used technology is a CMOS Image Sensor (CIS) designed by ISAE team with custom pixel designs used to mitigate the total ionizing dose (TID) effects that occur well below the MGy range in classical image sensors (e.g. Charge Coupled Devices (CCD), Charge Injection Devices (CID) and classical Active Pixel Sensors (APS)), such as the complete loss of functionality, the dark current increase and the gain drop. We'll present at the conference a comparative study between these radiation-hardened pixel radiation responses with respect to conventional ones, demonstrating the efficiency of the choices made. The targeted strategy to develop the complete radiation hard camera

  2. Multi-MGy Radiation Hardened Camera for Nuclear Facilities

    Energy Technology Data Exchange (ETDEWEB)

    Girard, Sylvain; Boukenter, Aziz; Ouerdane, Youcef [Universite de Saint-Etienne, Lab. Hubert Curien, UMR-CNRS 5516, F-42000 Saint-Etienne (France); Goiffon, Vincent; Corbiere, Franck; Rolando, Sebastien; Molina, Romain; Estribeau, Magali; Avon, Barbara; Magnan, Pierre [ISAE, Universite de Toulouse, F-31055 Toulouse (France); Paillet, Philippe; Duhamel, Olivier; Gaillardin, Marc; Raine, Melanie [CEA, DAM, DIF, F-91297 Arpajon (France)

    2015-07-01

    There is an increasing interest in developing cameras for surveillance systems to monitor nuclear facilities or nuclear waste storages. Particularly, for today's and the next generation of nuclear facilities increasing safety requirements consecutive to Fukushima Daiichi's disaster have to be considered. For some applications, radiation tolerance needs to overcome doses in the MGy(SiO{sub 2}) range whereas the most tolerant commercial or prototypes products based on solid state image sensors withstand doses up to few kGy. The objective of this work is to present the radiation hardening strategy developed by our research groups to enhance the tolerance to ionizing radiations of the various subparts of these imaging systems by working simultaneously at the component and system design levels. Developing radiation-hardened camera implies to combine several radiation-hardening strategies. In our case, we decided not to use the simplest one, the shielding approach. This approach is efficient but limits the camera miniaturization and is not compatible with its future integration in remote-handling or robotic systems. Then, the hardening-by-component strategy appears mandatory to avoid the failure of one of the camera subparts at doses lower than the MGy. Concerning the image sensor itself, the used technology is a CMOS Image Sensor (CIS) designed by ISAE team with custom pixel designs used to mitigate the total ionizing dose (TID) effects that occur well below the MGy range in classical image sensors (e.g. Charge Coupled Devices (CCD), Charge Injection Devices (CID) and classical Active Pixel Sensors (APS)), such as the complete loss of functionality, the dark current increase and the gain drop. We'll present at the conference a comparative study between these radiation-hardened pixel radiation responses with respect to conventional ones, demonstrating the efficiency of the choices made. The targeted strategy to develop the complete radiation hard camera

  3. Update on radiation-hardened microcomputers for robotics and teleoperated systems

    International Nuclear Information System (INIS)

    Sias, F.R. Jr.; Tulenko, J.S.

    1993-01-01

    Since many programs sponsored by the Department of Defense are being canceled, it is important to select carefully radiation-hardened microprocessors for projects that will mature (or will require continued support) several years in the future. At the present time there are seven candidate 32-bit processors that should be considered for long-range planning for high-performance radiation-hardened computer systems. For Department of Energy applications it is also important to consider efforts at standardization that require the use of the VxWorks operating system and hardware based on the VMEbus. Of the seven processors, one has been delivered and is operating and other systems are scheduled to be delivered late in 1993 or early in 1994. At the present time the Honeywell-developed RH32, the Harris RH-3000 and the Harris RHC-3000 are leading contenders for meeting DOE requirements for a radiation-hardened advanced 32-bit microprocessor. These are all either compatible with or are derivatives of the MIPS R3000 Reduced Instruction Set Computer. It is anticipated that as few as two of the seven radiation-hardened processors will be supported by the space program in the long run

  4. Operating characteristics of radiation-hardened silicon pixel detectors for the CMS experiment

    CERN Document Server

    Hyosung, Cho

    2002-01-01

    The Compact Muon Solenoid (CMS) experiment at the CERN Large Hadron Collider (LHC) will have forward silicon pixel detectors as its innermost tracking device. The pixel devices will be exposed to the harsh radiation environment of the LHC. Prototype silicon pixel detectors have been designed to meet the specification of the CMS experiment. No guard ring is required on the n/sup +/ side, and guard rings on the p/sup +/ side are always kept active before and after type inversion. The whole n/sup +/ side is grounded and connected to readout chips, which greatly simplifies detector assembling and improves the stability of bump-bonded readout chips on the n/sup +/ side. Operating characteristics such as the leakage current, the full depletion voltage, and the potential distributions over guard rings were tested using standard techniques. The tests are discussed in this paper. (9 refs).

  5. Radiation hardening and embrittlement of some refractory metals and alloys

    International Nuclear Information System (INIS)

    Fabritsiev, S.; Pokrovskyb

    2007-01-01

    Tungsten is proposed for application in the ITER divertor and limiter as plasma facing material. The tungsten operation temperature in the ITER divertor is relatively high. Hence, the ductile properties of tungsten will be controlled by the low temperature radiation embrittlement. The mechanism of radiation hardening and embrittlement under neutron irradiation at low temperature is well studied for FCC metals, in particular for copper. At the same time, low-temperature radiation hardening of BCC materials, in particular for refractory metals, is less studied. This study presents the results of investigation into radiation hardening and embrittlement of pure metals: W, Mo and Nb, and W-Re and Ta-4W alloys. The materials were in the annealed conditions. The specimens were irradiated in the SM-2 reactor to doses of 10 -4 -10 -1 dpa at 80 C and then tested for tension at 80 C. The study of the stress-strain curves of unirradiated specimens revealed a yield drop for W, Mo, Nb, Ta-4W, W-Re. After the yield drop some metals (Mo,Nb) retain their capability for strain hardening and demonstrate a high elongation (20-50%). Radiation hardening is maximum in Mo (∝400MPa) and minimum in Nb (∝100 MPa). In this case the dependence slope for Nb is similar to that for pure copper irradiated in SM-2 under the same conditions. Ii and Ta-4W have a higher slope. Measurement of electrical resistivity of irradiated specimens showed that for all materials it is increased monotonously with an increase in the irradiation dose. A minimum gain in electrical resistivity with a dose was observed for Nb (∝3% at 0.1 dpa). As for Mo it was essentially higher, i.e. ∝ 30%. The gain was maximum for W-Re alloy. Comparison of radiation hardening dose dependencies obtained in this study with the data for FCC metals (Cu) showed that in spite of the quantitative difference the qualitative behavior of these two classes of metals is similar. (orig.)

  6. Estimation of radiation hardening in ferritic steels using the cluster dynamics models

    Energy Technology Data Exchange (ETDEWEB)

    Kwon, Jun Hyun; Kim, Whung Whoe; Hong, Jun Hwa [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    2005-07-01

    Evolution of microstructure under irradiation brings about the mechanical property changes of materials, of which the major concern is radiation hardening in this work. Radiation hardening is generally expressed in terms of an increase in yield strength as a function of radiation dose and temperature. Cluster dynamics model for radiation hardening has been developed to describe the evolution of point defects clusters (PDCs) and copperrich precipitates (CRPs). While the mathematical models developed by Stoller focus on the evolution of PDCs in ferritic steels under neutron irradiation, we slightly modify the model by including the CRP growth and estimate the magnitude of hardening induced by PDC and CRP. The model is then used to calculate the changes in yield strength of RPV steels. The calculation results are compared to measured yield strength values, obtained from surveillance testing of PWR vessel steels in France.

  7. Radiation effects on radiation-hardened KU and KS-4V optical fibres

    International Nuclear Information System (INIS)

    Ivanov, A.A.; Tugarinov, S.N.; Kaschuck, Y.A.; Krasilnikov, A.V.; Bender, S.E.

    1999-01-01

    The aim of this work was to test the un-pretreated and the hardened (H 2 -loaded and pre-irradiated) KS-4V and KU optical fibres in reactor environment by in-situ measurements of both the radiation-induced loss and the luminescence in the visible spectral region. Both the radio-luminescent and the transmission spectra were in-situ detected during irradiation by charge-coupled-device (CCD) linear detector in the visible spectral region of 400 to 700 nm. The radiation induced loss spectra at the fast neutron fluence of 2*10 6 n/cm 2 shows the hardened, H 2 -loading and pre-irradiating effects in the both KU and KS-4V fibres. KU un-pretreated fibre shows a big radiation absorption band of non-bridging oxygen centered at the wavelength of 630 nm. It appears that the KS-4V hardened fibre has a specific point in the loss spectrum in the vicinity of 460 nm. Other measurements were performed, particularly after reactor shutdown and at 3 different neutron fluences with constant neutron flux after restarting

  8. Radiation Hardened 10BASE-T Ethernet Physical Layer (PHY)

    Science.gov (United States)

    Lin, Michael R. (Inventor); Petrick, David J. (Inventor); Ballou, Kevin M. (Inventor); Espinosa, Daniel C. (Inventor); James, Edward F. (Inventor); Kliesner, Matthew A. (Inventor)

    2017-01-01

    Embodiments may provide a radiation hardened 10BASE-T Ethernet interface circuit suitable for space flight and in compliance with the IEEE 802.3 standard for Ethernet. The various embodiments may provide a 10BASE-T Ethernet interface circuit, comprising a field programmable gate array (FPGA), a transmitter circuit connected to the FPGA, a receiver circuit connected to the FPGA, and a transformer connected to the transmitter circuit and the receiver circuit. In the various embodiments, the FPGA, transmitter circuit, receiver circuit, and transformer may be radiation hardened.

  9. Radiation hardening of smart electronics

    International Nuclear Information System (INIS)

    Mayo, C.W.; Cain, V.R.; Marks, K.A.; Millward, D.G.

    1991-02-01

    Microprocessor based ''smart'' pressure, level, and flow transmitters were tested to determine the radiation hardness of this class of electronic instrumentation for use in reactor building applications. Commercial grade Complementary Metal Oxide Semiconductor (CMOS) integrated circuits used in these transmitters were found to fail at total gamma dose levels between 2500 and 10,000 rad. This results in an unacceptably short lifetime in many reactor building radiation environments. Radiation hardened integrated circuits can, in general, provide satisfactory service life for normal reactor operations when not restricted to the extremely low power budget imposed by standard 4--20 mA two-wire instrument loops. The design of these circuits will require attention to vendor radiation hardness specifications, dose rates, process control with respect to radiation hardness factors, and non-volatile programmable memory technology. 3 refs., 2 figs

  10. Non-destructive screening method for radiation hardened performance of large scale integration

    International Nuclear Information System (INIS)

    Zhou Dong; Xi Shanbin; Guo Qi; Ren Diyuan; Li Yudong; Sun Jing; Wen Lin

    2013-01-01

    The space radiation environment could induce radiation damage on the electronic devices. As the performance of commercial devices is generally superior to that of radiation hardened devices, it is necessary to screen out the devices with good radiation hardened performance from the commercial devices and applying these devices to space systems could improve the reliability of the systems. Combining the mathematical regression analysis with the different physical stressing experiments, we investigated the non-destructive screening method for radiation hardened performance of the integrated circuit. The relationship between the change of typical parameters and the radiation performance of the circuit was discussed. The irradiation-sensitive parameters were confirmed. The pluralistic linear regression equation toward the prediction of the radiation performance was established. Finally, the regression equations under stress conditions were verified by practical irradiation. The results show that the reliability and accuracy of the non-destructive screening method can be elevated by combining the mathematical regression analysis with the practical stressing experiment. (authors)

  11. Coatings hardenable by ionizing radiation and their applications

    International Nuclear Information System (INIS)

    Aronoff, E.J.; Labana, S.S.

    1976-01-01

    The invention deals with the production of a coating medium which can be hardened by ionizing radiation. The composition includes tetravinyl compounds containing no free hydroxyl groups which were obtained by the conversion of di-epoxides with acryl or methacryl acid via the intermediary step of a divinyl ester condensation product. The intermediary product is converted with acryloyl or methacryloyl halides. The mass still contains non-polymerisable solvent (such as tolual, xylol), pigments and fillers. It is of advantage if the di-epoxide has a molecular weight of 140 to 500. Furthermore, coatings are to be made of this coating medium which are hardened by ionizing radiation at temperatures between 20 0 C and 70 0 C. 19 examples. (HK) [de

  12. Radiation hardening of optical fibers and fiber sensors for space applications: recent advances

    Science.gov (United States)

    Girard, S.; Ouerdane, Y.; Pinsard, E.; Laurent, A.; Ladaci, A.; Robin, T.; Cadier, B.; Mescia, L.; Boukenter, A.

    2017-11-01

    In these ICSO proceedings, we review recent advances from our group concerning the radiation hardening of optical fiber and fiber-based sensors for space applications and compare their benefits to state-of-the-art results. We focus on the various approaches we developed to enhance the radiation tolerance of two classes of optical fibers doped with rare-earths: the erbium (Er)-doped ones and the ytterbium/erbium (Er/Yb)-doped ones. As a first approach, we work at the component level, optimizing the fiber structure and composition to reduce their intrinsically high radiation sensitivities. For the Erbium-doped fibers, this has been achieved using a new structure for the fiber that is called Hole-Assisted Carbon Coated (HACC) optical fibers whereas for the Er/Ybdoped optical fibers, their hardening was successfully achieved adding to the fiber, the Cerium element, that prevents the formation of the radiation-induced point defects responsible for the radiation induced attenuation in the infrared part of the spectrum. These fibers are used as part of more complex systems like amplifiers (Erbium-doped Fiber Amplifier, EDFA or Yb-EDFA) or source (Erbium-doped Fiber Source, EDFS or Yb- EDFS), we discuss the impact of using radiation-hardened fibers on the system radiation vulnerability and demonstrate the resistance of these systems to radiation constraints associated with today and future space missions. Finally, we will discuss another radiation hardening approach build in our group and based on a hardening-by-system strategy in which the amplifier is optimized during its elaboration for its future mission considering the radiation effects and not in-lab.

  13. Formulating the strength factor α for improved predictability of radiation hardening

    Energy Technology Data Exchange (ETDEWEB)

    Tan, L., E-mail: tanl@ornl.gov; Busby, J.T.

    2015-10-15

    Analytical equations were developed to calculate the strength factors of precipitates, Frank loops, and cavities in austenitic alloys, which strongly depend on barrier type, size, geometry and density, as well as temperature. Calculated strength factors were successfully used to estimate radiation hardening using the broadly employed dispersed barrier-hardening model, leading to good agreement with experimentally measured hardening in neutron-irradiated type 304 and 316 stainless steel variants. The formulated strength factor provides a route for more reliable hardening predictions and can be easily incorporated into component simulations and design.

  14. Radiation-hardened I2L 8*8 multiplier circuit

    International Nuclear Information System (INIS)

    Doyle, B.R.; Kreps, S.A.; Van Vonno, N.W.; Lake, G.W.

    1979-01-01

    Development of improved Substrate Fed I 2 L (SFL) processing has been combined with geometry and fanout constraints to design a radiation hardened LSI 8.8 Multiplier. This study describes details of the process and circuit design and gives resultant electrical and radiation test performance

  15. A Novel Radiation Hardened CAM

    CERN Document Server

    Shojaii, Seyed Ruhollah; The ATLAS collaboration

    2018-01-01

    This poster describes an innovative Content Addressable Memory cell with radiation hardened (RH-CAM) architecture. The RH-CAM is designed in a commercial 28 nm CMOS technology. The circuit has been simulated in worst-case conditions, and the effects due to single particles are analyzed injecting a fault current into a circuit node. The proposed architecture can perform on-time pattern recognition tasks in harsh environments, such as very front-end electronics in hadron colliders and in space applications.

  16. SEU-hardened silicon bipolar and GaAs MESFET SRAM cells using local redundancy techniques

    International Nuclear Information System (INIS)

    Hauser, J.R.

    1992-01-01

    Silicon bipolar and GaAs FET SRAM's have proven to be more difficult to harden with respect to single-event upset mechanisms than have silicon CMOS SRAM's. This is a fundamental property of bipolar and JFET or MESFET device technologies which do not have a high-impedance, nonactive isolation between the control electrode and the current or voltage being controlled. All SEU circuit level hardening techniques applied at the local level must use some type of information storage redundancy so that information loss on one node due to an SEU event can be recovered from information stored elsewhere in the cell. In CMOS technologies, this can be achieved by the use of simple cross-coupling resistors, whereas in bipolar and FET technologies, no such simple approach is possible. Several approaches to the use of local redundancy in bipolar and FET technologies are discussed in this paper. At the expense of increased cell complexity and increased power consumption and write time, several approaches are capable of providing complete SEU hardness at the local cell level

  17. Design considerations for a radiation hardened nonvolatile memory

    International Nuclear Information System (INIS)

    Murray, J.R.

    1993-01-01

    Sub-optimal design practices can reduce the radiation hardness of a circuit even though it is fabricated in a radiation hardened process. This is especially true for a nonvolatile memory, as compared to a standard digital circuit, where high voltages and unusual bias conditions are required. This paper will discuss the design technique's used in the development of a 64K EEPROM (Electrically Erasable Programmable Read Only Memory) to maximize radiation hardness. The circuit radiation test results will be reviewed in order to provide validation of the techniques

  18. Radiation effects in semiconductors: technologies for hardened integrated circuits

    International Nuclear Information System (INIS)

    Charlot, J.M.

    1983-09-01

    Various technologies are used to manufacture integrated circuits for electronic systems. But for specific applications, including those with radiation environment, it is necessary to choose an appropriate technologie or to improve a specific one in order to reach a definite hardening level. The aim of this paper is to present the main effects induced by radiation (neutrons and gamma rays) into the basic semiconductor devices, to explain some physical degradation mechanisms and to propose solutions for hardened integrated circuit fabrication. The analysis involves essentially the monolithic structure of the integrated circuits and the isolation technology of active elements. In conclusion, the advantages of EPIC and SOS technologies are described and the potentialities of new technologies (GaAs and SOI) are presented

  19. Radiation effects in semiconductors: technologies for hardened integrated circuits

    International Nuclear Information System (INIS)

    Charlot, J.M.

    1984-01-01

    Various technologies are used to manufacture integrated circuits for electronic systems. But for specific applications, including those with radiation environment, it is necessary to choose an appropriate technology or to improve a specific one in order to reach a definite hardening level. The aim of this paper is to present the main effects induced by radiation (neutrons and gamma rays) into the basic semiconductor devices, to explain some physical degradation mechanisms and to propose solutions for hardened integrated circuit fabrication. The analysis involves essentially the monolithic structure of the integrated circuits and the isolation technology of active elements. In conclusion, the advantages of EPIC and SOS technologies are described and the potentialities of new technologies (GaAs and SOI) are presented. (author)

  20. A Radiation Hardened Housekeeping Slave Node (RH-HKSN) ASIC

    Data.gov (United States)

    National Aeronautics and Space Administration — This projects seeks to continue the development of the Radiation Hardened Housekeeping Slave Node (RH-HKSN) ASIC. The effort has taken parallel paths by implementing...

  1. Radiation-hardened nonvolatile MNOS RAM

    International Nuclear Information System (INIS)

    Wrobel, T.F.; Dodson, W.H.; Hash, G.L.; Jones, R.V.; Nasby, R.D.; Olson, R.J.

    1983-01-01

    A radiation hardened nonvolatile MNOS RAM is being developed at Sandia National Laboratories. The memory organization is 128 x 8 bits and utilizes two p-channel MNOS transistors per memory cell. The peripheral circuitry is constructed with CMOS metal gate and is processed with standard Sandia rad-hard processing techniques. The devices have memory retention after a dose-rate exposure of 1E12 rad(Si)/s, are functional after total dose exposure of 1E6 rad(Si), and are dose-rate upset resistant to levels of 7E8 rad(Si)/s

  2. Development of Radiation Hard Radiation Detectors, Differences between Czochralski Silicon and Float Zone Silicon

    CERN Document Server

    Tuominen, Eija

    2012-01-01

    The purpose of this work was to develop radiation hard silicon detectors. Radiation detectors made ofsilicon are cost effective and have excellent position resolution. Therefore, they are widely used fortrack finding and particle analysis in large high-energy physics experiments. Silicon detectors willalso be used in the CMS (Compact Muon Solenoid) experiment that is being built at the LHC (LargeHadron Collider) accelerator at CERN (European Organisation for Nuclear Research). This work wasdone in the CMS programme of Helsinki Institute of Physics (HIP).Exposure of the silicon material to particle radiation causes irreversible defects that deteriorate theperformance of the silicon detectors. In HIP CMS Programme, our approach was to improve theradiation hardness of the silicon material with increased oxygen concentration in silicon material. Westudied two different methods: diffusion oxygenation of Float Zone silicon and use of high resistivityCzochralski silicon.We processed, characterised, tested in a parti...

  3. Technologies Enabling Custom Radiation-Hardened Component Development, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Two primary paths are available for the creation of a Rad-Hard ASIC. The first approach is to use a radiation hardened process such as existing Rad-Hard foundries....

  4. Radiation Hardened Ethernet PHY and Switch Fabric, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Innoflight will develop a new family of radiation hardened (up to 3 Mrad(Si)), fault-tolerant, high data-rate (up to 8 Gbps), low power Gigabit Ethernet PHY and...

  5. Radiation-Hardened Memristor-based Memory for Extreme Environments, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — NASA space exploration missions require radiation-hardened memory technologies that can survive and operate over a wide temperature range. Memristors...

  6. Radiation-hardened CMOS/SOS LSI circuits

    International Nuclear Information System (INIS)

    Aubuchon, K.G.; Peterson, H.T.; Shumake, D.P.

    1976-01-01

    The recently developed technology for building radiation-hardened CMOS/SOS devices has now been applied to the fabrication of LSI circuits. This paper describes and presents results on three different circuits: an 8-bit adder/subtractor (Al gate), a 256-bit shift register (Si gate), and a polycode generator (Al gate). The 256-bit shift register shows very little degradation after 1 x 10 6 rads (Si), with an increase from 1.9V to 2.9V in minimum operating voltage, a decrease of about 20% in maximum frequency, and little or no change in quiescent current. The p-channel thresholds increase from -0.9V to -1.3V, while the n-channel thresholds decrease from 1.05 to 0.23V, and the n-channel leakage remains below 1nA/mil. Excellent hardening results were also obtained on the polycode generator circuit. Ten circuits were irradiated to 1 x 10 6 rads (Si), and all continued to function well, with an increase in minimum power supply voltage from 2.85V to 5.85V and an increase in quiescent current by a factor of about 2. Similar hardening results were obtained on the 8-bit adder, with the minimum power supply voltage increasing from 2.2V to 4.6V and the add time increasing from 270 to 350 nsec after 1 x 10 6 rads (Si). These results show that large CMOS/SOS circuits can be hardened to above 1 x 10 6 rads (Si) with either the Si gate or Al gate technology. The paper also discusses the relative advantages of the Si gate versus the Al gate technology

  7. Principles and techniques of radiation hardening. Volume 2. Transient radiation effects in electronics (TREE)

    International Nuclear Information System (INIS)

    Rudie, N.J.

    1976-01-01

    The three-volume book is intended to serve as a review of the effects of thermonuclear explosion induced radiation (x-rays, gamma rays, and beta particles) and the resulting electromagnetic pulse (EMP). Volume 2 deals with the following topics: radiation effects on quartz crystals, tantalum capacitors, bipolar semiconductor devices and integrated circuits, field effect transistors, and miscellaneous electronic devices; hardening electronic systems to photon and neutron radiation; nuclear radiation source and/or effects simulation techniques; and radiation dosimetry

  8. Configurable Radiation Hardened High Speed Isolated Interface ASIC, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — NVE Corporation will design and build an innovative, low cost, flexible, configurable, radiation hardened, galvanically isolated, interface ASIC chip set that will...

  9. Radiation-hardened CMOS integrated circuits

    International Nuclear Information System (INIS)

    Pikor, A.; Reiss, E.M.

    1980-01-01

    Substantial effort has been directed at radiation-hardening CMOS integrated circuits using various oxide processes. While most of these integrated circuits have been successful in demonstrating megarad hardness, further investigations have shown that the 'wet-oxide process' is most compatible with the RCA CD4000 Series process. This article describes advances in the wet-oxide process that have resulted in multimegarad hardness and yield to MIL-M-38510 screening requirements. The implementation of these advances into volume manufacturing is geared towards supplying devices for aerospace requirements such as the Defense Meterological Satellite program (DMSP) and the Global Positioning Satellite (GPS). (author)

  10. Influence of radiation-induced segregation on ductility of a nickel-silicon alloy

    International Nuclear Information System (INIS)

    Packan, N.H.; Schroeder, H.; Kesternich, W.

    1986-01-01

    Flat tensile specimens 60 μm thick of Ni-8 at. % Si were irradiated to bulk damage levels of 0.1 to 0.3 dpa with either 7 MeV protons or 28 MeV alpha particles at 750 K. The alpha bombarded specimens incurred 750 at. ppM He per 0.1 dpa in the course of their damage-generating irradiation. Radiation-induced silicon segregation gave rise to Ni 3 Si layers at internal and external surfaces. Postirradiation tensile tests conducted either at 300 K or 720 K revealed fully ductile (chisel-edged) transgranular fracture profiles. There were no significant differences between the proton-bombarded specimens and the unbombarded controls, both exhibiting >25% total elongations, while the alpha-bombarded specimens showed ductile fractures with somewhat lower (17 to 18%) elongation values probably due to hardening caused by small helium bubbles. Certain specimens that were preimplanted with 250 to 1000 at. ppM He at 970 K to encourage intergranular failure and expose grain boundaries did fail intergranularly. It is concluded that radiation-induced silicon segregation does not cause intrinsic embrittlement

  11. Space Qualified, Radiation Hardened, Dense Monolithic Flash Memory, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Radiation hardened nonvolatile memories for space is still primarily confined to EEPROM. There is high density effective or cost effective NVM solution available to...

  12. An Innovative Radiation Hardened CAM Architecture

    CERN Document Server

    Shojaii, Seyed Ruhollah; The ATLAS collaboration

    2018-01-01

    This article describes an innovative Content Addressable Memory (CAM) cell with radiation hardened (RH) architecture. The RH-CAM is designed in a commercial 28 nm CMOS technology. The circuit has been simulated in worst-case conditions, and the effects due to single particles have been analyzed by injecting a current pulse into a circuit node. The proposed architecture is suitable for on-time pattern recognition tasks in harsh environments, such as front-end electronics in hadron colliders and in space applications.

  13. Optimized radiation-hardened erbium doped fiber amplifiers for long space missions

    Science.gov (United States)

    Ladaci, A.; Girard, S.; Mescia, L.; Robin, T.; Laurent, A.; Cadier, B.; Boutillier, M.; Ouerdane, Y.; Boukenter, A.

    2017-04-01

    In this work, we developed and exploited simulation tools to optimize the performances of rare earth doped fiber amplifiers (REDFAs) for space missions. To describe these systems, a state-of-the-art model based on the rate equations and the particle swarm optimization technique is developed in which we also consider the main radiation effect on REDFA: the radiation induced attenuation (RIA). After the validation of this tool set by confrontation between theoretical and experimental results, we investigate how the deleterious radiation effects on the amplifier performance can be mitigated following adequate strategies to conceive the REDFA architecture. The tool set was validated by comparing the calculated Erbium-doped fiber amplifier (EDFA) gain degradation under X-rays at ˜300 krad(SiO2) with the corresponding experimental results. Two versions of the same fibers were used in this work, a standard optical fiber and a radiation hardened fiber, obtained by loading the previous fiber with hydrogen gas. Based on these fibers, standard and radiation hardened EDFAs were manufactured and tested in different operating configurations, and the obtained data were compared with simulation data done considering the same EDFA structure and fiber properties. This comparison reveals a good agreement between simulated gain and experimental data (vulnerability in terms of gain. The presented approach is a complementary and effective tool for hardening by device techniques and opens new perspectives for the applications of REDFAs and lasers in harsh environments.

  14. Process for hardening synthetic resins by ionizing radiation

    International Nuclear Information System (INIS)

    Hesse, W.; Ritz, J.

    1975-01-01

    Synthetic resins containing hydroxy groups and polymerizable carbon-carbon bonds are reacted with diketenes to yield aceto ester derivatives, which when reacted with metal compounds to form chelates, and mixed with copolymerizable monomers, are capable of being hardened by unusually low radiation doses to form coatings and articles with superior properties. (E.C.B.)

  15. The capability of pulsed laser radiation for cutting band saws hardening

    Directory of Open Access Journals (Sweden)

    Marinin Evgeny

    2017-01-01

    Full Text Available The article deals with the possibilities of pulsed laser radiation for hardening the band saws. The regimes of pulsed laser hardening the band saws of 1 mm thick made of tool steel 9CrV are grounded theoretically and experimentally tested. Selected and justified modes of treatment harden in the autohardening mode without additional heat removal. The results of the experimental research of microhardness are presented and formed as a result of processing of the microstructure. Selected modes increase the microhardness of the surface to 8500 MPa and form ultra highly dispersed structure in the surface layer characterized by high resistance to abrasion.

  16. Space Qualified, Radiation Hardened, Dense Monolithic Flash Memory, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — Space Micro proposes to build a radiation hardened by design (RHBD) flash memory, using a modified version of our RH-eDRAM Memory Controller to solve all the single...

  17. Radiation effects on and dose enhancement of electronic materials

    International Nuclear Information System (INIS)

    Srour, J.R.; Long, D.M.

    1984-01-01

    This book describes radiation effects on and dose enhancement factors for electronic materials. Alteration of the electrical properties of solid-state devices and integrated circuits by impinging radiation is well-known. Such changes may cause an electronic subsystem to fail, thus there is currently great interest in devising methods for avoiding radiation-induced degradation. The development of radiation-hardened devices and circuits is an exciting approach to solving this problem for many applications, since it could minimize the need for shielding or other system hardening techniques. Part 1 describes the basic mechanisms of radiation effects on electronic materials, devices, and integrated circuits. Radiation effects in bulk silicon and in silicon devices are treated. Ionizing radiation effects in silicon dioxide films and silicon MOS devices are discussed. Single event phenomena are considered. Key literature references and a bibliography are provided. Part II provides tabulations of dose enhancement factors for electronic devices in x-ray and gamma-ray environments. The data are applicable to a wide range of semiconductor devices and selected types of capacitors. Radiation environments discussed find application in system design and in radiation test facilities

  18. Radiation hardening techniques for rare-earth based optical fibers and amplifiers

    International Nuclear Information System (INIS)

    Girard, Sylvain; Marcandella, Claude; Vivona, Marilena; Prudenzano, Luciano Mescia F.; Laurent, Arnaud; Robin, Thierry; Cadier, Benoit; Pinsard, Emmanuel; Ouerdane, Youcef; Boukenter, Aziz; Cannas, Marco; Boscaino, Roberto

    2012-01-01

    Er/Yb doped fibers and amplifiers have been shown to be very radiation sensitive, limiting their integration in space. We present an approach including successive hardening techniques to enhance their radiation tolerance. The efficiency of our approach is demonstrated by comparing the radiation responses of optical amplifiers made with same lengths of different rare-earth doped fibers and exposed to gamma-rays. Previous studies indicated that such amplifiers suffered significant degradation for doses exceeding 10 krad. Applying our techniques significantly enhances the amplifier radiation resistance, resulting in a very limited degradation up to 50 krad. Our optimization techniques concern the fiber composition, some possible pre-treatments and the interest of simulation tools used to harden by design the amplifiers. We showed that adding cerium inside the fiber phospho-silicate-based core strongly decreases the fiber radiation sensitivity compared to the standard fiber. For both fibers, a pre-treatment with hydrogen permits to enhance again the fiber resistance. Furthermore, simulations tools can also be used to improve the tolerance of the fiber amplifier by helping identifying the best amplifier configuration for operation in the radiative environment. (authors)

  19. Radiation Effects and Hardening Techniques for Spacecraft Microelectronics

    Science.gov (United States)

    Gambles, J. W.; Maki, G. K.

    2002-01-01

    The natural radiation from the Van Allen belts, solar flares, and cosmic rays found outside of the protection of the earth's atmosphere can produce deleterious effects on microelectronics used in space systems. Historically civil space agencies and the commercial satellite industry have been able to utilize components produced in special radiation hardened fabrication process foundries that were developed during the 1970s and 1980s under sponsorship of the Departments of Defense (DoD) and Energy (DoE). In the post--cold war world the DoD and DoE push to advance the rad--hard processes has waned. Today the available rad--hard components lag two-plus technology node generations behind state- of-the-art commercial technologies. As a result space craft designers face a large performance gap when trying to utilize available rad--hard components. Compounding the performance gap problems, rad--hard components are becoming increasingly harder to get. Faced with the economic pitfalls associated with low demand versus the ever increasing investment required for integrated circuit manufacturing equipment most sources of rad--hard parts have simply exited this market in recent years, leaving only two domestic US suppliers of digital rad--hard components. This paper summarizes the radiation induced mechanisms that can cause digital microelectronics to fail in space, techniques that can be applied to mitigate these failure mechanisms, and ground based testing used to validate radiation hardness/tolerance. The radiation hardening techniques can be broken down into two classes, Hardness By Process (HBP) and Hardness By Design (HBD). Fortunately many HBD techniques can be applied to commercial fabrication processes providing space craft designer with radiation tolerant Application Specific Integrated Circuits (ASICs) that can bridge the performance gap between the special HBP foundries and the commercial state-of-the-art performance.

  20. Radiation resistant passivation of silicon solar cells

    International Nuclear Information System (INIS)

    Swanson, R.M.; Gan, J.Y.; Gruenbaum, P.E.

    1991-01-01

    This patent describes a silicon solar cell having improved stability when exposed to concentrated solar radiation. It comprises a body of silicon material having a major surface for receiving radiation, a plurality of p and n conductivity regions in the body for collecting electrons and holes created by impinging radiation, and a passivation layer on the major surface including a first layer of silicon oxide in contact with the body and a polycrystalline silicon layer on the first layer of silicon oxide

  1. Radiation hardening of MOS devices by boron

    International Nuclear Information System (INIS)

    Danchenko, V.

    1975-01-01

    A novel technique is disclosed for radiation hardening of MOS devices and specifically for stabilizing the gate threshold potential at room temperature of a radiation subjected MOS field-effect device of the type having a semiconductor substrate, an insulating layer of oxide on the substrate, and a gate electrode disposed on the insulating layer. In the preferred embodiment, the novel inventive technique contemplates the introduction of boron into the insulating oxide, the boron being introduced within a layer of the oxide of about 100A to 300A thickness immediately adjacent the semiconductor-insulator interface. The concentration of boron in the oxide layer is preferably maintained on the order of 10 atoms/ cm 3 . The novel technique serves to reduce and substantially annihilate radiation induced positive gate charge accumulations, which accumulations, if not eliminated, would cause shifting of the gate threshold potential of a radiation subjected MOS device, and thus render the device unstable and/or inoperative. (auth)

  2. Single-Event Effects in Silicon Carbide Power Devices

    Science.gov (United States)

    Lauenstein, Jean-Marie; Casey, Megan C.; LaBel, Kenneth A.; Ikpe, Stanley; Topper, Alyson D.; Wilcox, Edward P.; Kim, Hak; Phan, Anthony M.

    2015-01-01

    This report summarizes the NASA Electronic Parts and Packaging Program Silicon Carbide Power Device Subtask efforts in FY15. Benefits of SiC are described and example NASA Programs and Projects desiring this technology are given. The current status of the radiation tolerance of silicon carbide power devices is given and paths forward in the effort to develop heavy-ion single-event effect hardened devices indicated.

  3. Sequential circuit design for radiation hardened multiple voltage integrated circuits

    Science.gov (United States)

    Clark, Lawrence T [Phoenix, AZ; McIver, III, John K.

    2009-11-24

    The present invention includes a radiation hardened sequential circuit, such as a bistable circuit, flip-flop or other suitable design that presents substantial immunity to ionizing radiation while simultaneously maintaining a low operating voltage. In one embodiment, the circuit includes a plurality of logic elements that operate on relatively low voltage, and a master and slave latches each having storage elements that operate on a relatively high voltage.

  4. Microhardness technique for determination of radiation hardening in austenitic stainless steel using

    International Nuclear Information System (INIS)

    Hofman, A.

    1995-01-01

    The use of microhardness technique to determine the radiation hardening has been studied. Microhardness measurements have been conducted on austenitic stainless steel 0H18N10T irradiated up to 2·10 23 nm -2 . It was determined that the increase in microhardness varies directly with the measured increase in the 0,2% offret yield strength and has been found that microhardness technique may be an effective tool to measurements of radiation induced hardening. Based on the results and Cahoon's relation that σ 0,2 (MPa)=3,27HV(0,1) n method for evaluating the yield stress σ 0,2 by microhardness technique is analyzed. 14 refs., 3 figs., 3 tabs

  5. Characterization of Radiation Hardened Bipolar Linear Devices for High Total Dose Missions

    Science.gov (United States)

    McClure, Steven S.; Harris, Richard D.; Rax, Bernard G.; Thorbourn, Dennis O.

    2012-01-01

    Radiation hardened linear devices are characterized for performance in combined total dose and displacement damage environments for a mission scenario with a high radiation level. Performance at low and high dose rate for both biased and unbiased conditions is compared and the impact to hardness assurance methodology is discussed.

  6. Amorphous silicon radiation detectors

    Science.gov (United States)

    Street, Robert A.; Perez-Mendez, Victor; Kaplan, Selig N.

    1992-01-01

    Hydrogenated amorphous silicon radiation detector devices having enhanced signal are disclosed. Specifically provided are transversely oriented electrode layers and layered detector configurations of amorphous silicon, the structure of which allow high electric fields upon application of a bias thereby beneficially resulting in a reduction in noise from contact injection and an increase in signal including avalanche multiplication and gain of the signal produced by incoming high energy radiation. These enhanced radiation sensitive devices can be used as measuring and detection means for visible light, low energy photons and high energy ionizing particles such as electrons, x-rays, alpha particles, beta particles and gamma radiation. Particular utility of the device is disclosed for precision powder crystallography and biological identification.

  7. Silicon solid state devices and radiation detection

    CERN Document Server

    Leroy, Claude

    2012-01-01

    This book addresses the fundamental principles of interaction between radiation and matter, the principles of working and the operation of particle detectors based on silicon solid state devices. It covers a broad scope with respect to the fields of application of radiation detectors based on silicon solid state devices from low to high energy physics experiments including in outer space and in the medical environment. This book covers stateof- the-art detection techniques in the use of radiation detectors based on silicon solid state devices and their readout electronics, including the latest developments on pixelated silicon radiation detector and their application.

  8. A COTS-based single board radiation-hardened computer for space applications

    International Nuclear Information System (INIS)

    Stewart, S.; Hillman, R.; Layton, P.; Krawzsenek, D.

    1999-01-01

    There is great community interest in the ability to use COTS (Commercial-Off-The-Shelf) technology in radiation environments. Space Electronics, Inc. has developed a high performance COTS-based radiation hardened computer. COTS approaches were selected for both hardware and software. Through parts testing, selection and packaging, all requirements have been met without parts or process development. Reliability, total ionizing dose and single event performance are attractive. The characteristics, performance and radiation resistance of the single board computer will be presented. (authors)

  9. Study of effects of radiation on silicone prostheses

    International Nuclear Information System (INIS)

    Shedbalkar, A.R.; Devata, A.; Padanilam, T.

    1980-01-01

    Radiation effects on silicone gel and dose distribution of radiation through mammary prostheses were studied. Silicone gel behaves like tissue. Half value thickness for silicone gel and water are almost the same. Linear absorption coefficient for silicone gel and water are comparable

  10. Radiation hardening of CMOS-based circuitry in SMART transmitters

    International Nuclear Information System (INIS)

    Loescher, D.H.

    1993-02-01

    Process control transmitters that incorporate digital signal processing could be used advantageously in nuclear power plants; however, because such transmitters are too sensitive to radiation, they are not used. The Electric Power Research Institute sponsored work at Sandia National Laboratories under EPRI contract RP2614-58 to determine why SMART transmitters fail when exposed to radiation and to design and demonstrate SMART transmitter circuits that could tolerate radiation. The term ''SMART'' denotes transmitters that contain digital logic. Tests showed that transmitter failure was caused by failure of the complementary metal oxide semiconductors (CMOS)-integrated circuits which are used extensively in commercial transmitters. Radiation-hardened replacements were not available for the radiation-sensitive CMOS circuits. A conceptual design showed that a radiation-tolerant transmitter could be constructed. A prototype for an analog-to-digital converter subsection worked satisfactorily after a total dose of 30 megarads(Si). Encouraging results were obtained from preliminary bench-top tests on a dc-to-dc converter for the power supply subsection

  11. Simulation of Hamming Coding and Decoding for Microcontroller Radiation Hardening

    OpenAIRE

    Rehab I. Abdul Rahman; Mazhar B. Tayel

    2015-01-01

    This paper presents a method of hardening the 8051 micro-controller, able to assure reliable operation in the presence of bit flips caused by radiation. Aiming at avoiding such faults in the 8051 micro-controller, Hamming code protection was used in its SRAM memory and registers. A VHDL code has been used for this hamming code protection.

  12. Total dose and dose rate radiation characterization of EPI-CMOS radiation hardened memory and microprocessor devices

    International Nuclear Information System (INIS)

    Gingerich, B.L.; Hermsen, J.M.; Lee, J.C.; Schroeder, J.E.

    1984-01-01

    The process, circuit discription, and total dose radiation characteristics are presented for two second generation hardened 4K EPI-CMOS RAMs and a first generation 80C85 microprocessor. Total dose radiation performance is presented to 10M rad-Si and effects of biasing and operating conditions are discussed. The dose rate sensitivity of the 4K RAMs is also presented along with single event upset (SEU) test data

  13. High temperature, radiation hardened electronics for application to nuclear power plants

    International Nuclear Information System (INIS)

    Gover, J.E.

    1980-01-01

    Electronic circuits were developed and built at Sandia for many aerospace and energy systems applications. Among recent developments were high temperature electronics for geothermal well logging and radiation hardened electronics for a variety of aerospace applications. Sandia has also been active in technology transfer to commercial industry in both of these areas

  14. Evaluation method of radiation stability of hardened cement paste with chemical additives

    Energy Technology Data Exchange (ETDEWEB)

    Medvedev, Vyacheslav; Pustovgar, Andrey [National Research Univ. ' Moscow State Univ. of Civil Engineering' (MSUCE), Moscow (Russian Federation); National Research Univ. ' Moscow State Univ. of Civil Engineering' (MSUCE), Moscow (Russian Federation). Scientific Research Inst. of Constructional Materials and Technologies; Denisov, Alexander; Soloviev, Vitaly [National Research Univ. ' Moscow State Univ. of Civil Engineering' (MSUCE), Moscow (Russian Federation)

    2013-07-01

    The influence of additives on the radiation resistance of the concrete will occur through the influence of radiation changes of hardened cement paste on radiation changes of concrete and can be quite significant. The test sequence was produced according to the modified method. The samples were prepared in the form of prisms with the following dimensions: 10 mm x 10 mm, 30 mm long. Measurement series were produced after each heating and cooling sequence. Then the difference between the values before and after heating was calculated. (orig.)

  15. Radiation-hardened MRAM-based LUT for non-volatile FPGA soft error mitigation with multi-node upset tolerance

    Science.gov (United States)

    Zand, Ramtin; DeMara, Ronald F.

    2017-12-01

    In this paper, we have developed a radiation-hardened non-volatile lookup table (LUT) circuit utilizing spin Hall effect (SHE)-magnetic random access memory (MRAM) devices. The design is motivated by modeling the effect of radiation particles striking hybrid complementary metal oxide semiconductor/spin based circuits, and the resistive behavior of SHE-MRAM devices via established and precise physics equations. The models developed are leveraged in the SPICE circuit simulator to verify the functionality of the proposed design. The proposed hardening technique is based on using feedback transistors, as well as increasing the radiation capacity of the sensitive nodes. Simulation results show that our proposed LUT circuit can achieve multiple node upset (MNU) tolerance with more than 38% and 60% power-delay product improvement as well as 26% and 50% reduction in device count compared to the previous energy-efficient radiation-hardened LUT designs. Finally, we have performed a process variation analysis showing that the MNU immunity of our proposed circuit is realized at the cost of increased susceptibility to transistor and MRAM variations compared to an unprotected LUT design.

  16. Novel results on fluence dependence and annealing behaviour of oxygenated and non-oxygenated silicon detectors

    CERN Document Server

    Martínez, C; Lozano, M; Campabadal, F; Santander, J; Fonseca, L; Ullán, M; Moreno, A

    2002-01-01

    This work presents the latest results on electrical properties degradation of silicon radiation detectors manufactured at IMB-CNM (Institut de Microelectronica de Barcelona) subjected to proton irradiation at CERN for high energy physics applications. The evolution of full depletion voltage and leakage current with fluence, as well as their annealing behaviour with time, were studied. The results obtained extend the previous understanding of the role played by technology and oxygenated material in hardening silicon radiation detectors. (15 refs).

  17. Open Source Radiation Hardened by Design Technology

    Science.gov (United States)

    Shuler, Robert

    2016-01-01

    The proposed technology allows use of the latest microcircuit technology with lowest power and fastest speed, with minimal delay and engineering costs, through new Radiation Hardened by Design (RHBD) techniques that do not require extensive process characterization, technique evaluation and re-design at each Moore's Law generation. The separation of critical node groups is explicitly parameterized so it can be increased as microcircuit technologies shrink. The technology will be open access to radiation tolerant circuit vendors. INNOVATION: This technology would enhance computation intensive applications such as autonomy, robotics, advanced sensor and tracking processes, as well as low power applications such as wireless sensor networks. OUTCOME / RESULTS: 1) Simulation analysis indicates feasibility. 2)Compact voting latch 65 nanometer test chip designed and submitted for fabrication -7/2016. INFUSION FOR SPACE / EARTH: This technology may be used in any digital integrated circuit in which a high level of resistance to Single Event Upsets is desired, and has the greatest benefit outside low earth orbit where cosmic rays are numerous.

  18. Radiation hardenable impregnating agents for the consolidating conservation of wooden objects

    International Nuclear Information System (INIS)

    Schaudy, R.

    1985-01-01

    Radiation hardenable impregnating agents offer some advantages over the conventional agents. At the author's institution objects up to 110 cm length can be impregnated for conservation. More than 200 monomers and resins have been investigated. The procedure of impregnation is outlined and some kinds of wooden objects conserved in this way listed. (G.W.)

  19. Radiation damage studies for the DOe silicon detector

    International Nuclear Information System (INIS)

    Lehner, Frank

    2004-01-01

    We report on irradiation studies performed on spare production silicon detector modules for the current DOe silicon detector. The lifetime expectations due to radiation damage effects of the existing silicon detector are reviewed. A new upgrade project was started with the goal of a complete replacement of the existing silicon detector. In that context, several investigations on the radiation hardness of new prototype silicon microstrip detectors were carried out. The irradiation on different detector types was performed with 10 MeV protons up to fluences of 10 14 p/cm 2 at the J.R. Mcdonald Laboratory at Kansas State University. The flux calibration was carefully checked using different normalisation techniques. As a result, we observe roughly 40-50% less radiation damage in silicon for 10 MeV p exposure than it is expected by the predicted NIEL scaling

  20. Influence of oxygen impurity atoms on defect clusters and radiation hardening in neutron-irradiated vanadium

    International Nuclear Information System (INIS)

    Bajaj, R.; Wechsler, M.S.

    1975-01-01

    Single crystal TEM samples and polycrystalline tensile samples of vanadium containing 60-640 wt ppm oxygen were irradiated at about 100 0 C to about 1.3 x 10 19 neutrons/cm 2 (E greater than 1 MeV) and post-irradiation annealed up to 800 0 C. The defect cluster density increased and the average size decreased with increasing oxygen concentration. Higher oxygen concentrations caused the radiation hardening and radiation-anneal hardening to increase. The observations are consistent with the nucleation of defect clusters by small oxygen or oxygen-point defect complexes and the trapping of oxygen at defect clusters upon post-irradiation annealing

  1. Radiation-hardened gate-around n-MOSFET structure for radiation-tolerant application-specific integrated circuits

    International Nuclear Information System (INIS)

    Lee, Min Su; Lee, Hee Chul

    2012-01-01

    To overcome the total ionizing dose effect on an n-type metal-oxide-semiconductor field-effect transistor (n-MOSFET), we designed a radiation-hardened gate-around n-MOSFET structure and evaluated it through a radiation-exposure experiment. Each test device was fabricated in a commercial 0.35-micron complementary metal-oxide-semiconductor (CMOS) process. The fabricated devices were evaluated under a total dose of 1 Mrad (Si) at a dose rate of 250 krad/h to obtain very high reliability for space electronics. The experimental results showed that the gate-around n-MOSFET structure had very good performance against 1 Mrad (Si) of gamma radiation, while the conventional n-MOSFET experienced a considerable amount of radiation-induced leakage current. Furthermore, a source follower designed with the gate-around transistor worked properly at 1 Mrad (Si) of gamma radiation while a source follower designed with the conventional n-MOSFET lost its functionality.

  2. Novel results on fluence dependence and annealing behavior of oxygenated and non-oxygenated silicon detectors

    CERN Document Server

    Martínez, C; Lozano, M; Campabadal, F; Santander, J; Fonseca, L; Ullán, M; Moreno, A J D

    2002-01-01

    This work presents the latest results on electrical properties degradation of silicon radiation detectors manufactured at the Institut de Microelectronica de Barcelona (IMB-CNM) subjected to proton irradiation at CERN, Switzerland, for high-energy physics (HEP) applications. The evolution of full depletion voltage and leakage current with fluence as well as their annealing behavior with time were studied. The results obtained extend the previous understanding of the role played by technology and oxygenated material in hardening silicon radiation detectors. (15 refs).

  3. Principles and techniques of radiation hardening. Volume 3. Electromagnetic pulse (EMP) and system generated EMP

    International Nuclear Information System (INIS)

    Rudie, N.J.

    1976-01-01

    The three-volume book is intended to serve as a review of the effects of thermonuclear explosion induced radiation (x-rays, gamma rays, and beta particles) and the resulting electromagnetic pulse (EMP). Volume 3 deals with the following topics: selected fundamentals of electromagnetic theory; EMP induced currents on antennas and cables; the EMP response of electronics; EMP hardening; EMP testing; injection currents; internal electromagnetic pulse (IEMP); replacement currents; and system generated electromagnetic pulse (SGEMP) hardening

  4. Study on radiation damage of electron and γ-rays and mechanism of nuclear hardening

    International Nuclear Information System (INIS)

    Jing Tao

    2001-01-01

    Radiation damage effects of electrons and γ-rays are presented. The damage defects are studied by experimental methods. On the basis of these studies the damage mechanism and nuclear hardening techniques are studied

  5. Development of a radiation hardened ANDROS robot for environmental restoration and waste management operations

    International Nuclear Information System (INIS)

    Tulenko, J.S.; Youk, G.; Ekdahl, D.; Liu, H.; Zhou, H.; Phillips, K.; Sias, F.; Jones, S.; Cable, T.; Harvey, H.

    1995-01-01

    A radiation hardened and tolerant version of the ANDROS V-A and VI-A system has been developed by a team composed of engineers and scientists from REMOTEC, Inc. and the University of Florida. The final upgrade of the major control components to a hardness level greater than one megarad is detailed. Over twelve hundred parts were reviewed. The project has completed its Phase 1 and Phase 2 SBIR redesign with the upgrade of all control components. The facilities at the University of Florida which include a linear accelerator and multiple cobalt irradiators have provided the capability to perform the extensive testing required. The commercial production of this radiation hardened ANDROS makes available a mobile platform that can serve as a main work and inspection system for hazardous tasks facing the world nuclear industry

  6. Radiation hardening and irradiation testing of in-cell electronics for MA23/APM

    International Nuclear Information System (INIS)

    Friant, A.

    1988-09-01

    We relate briefly the radiation hardening method used to guarantee a gamma resistance of 10 Mrad for the whole electronic equipment associated with the slave arm of MA23 M servomanipulator which will be set up in cell 404 in Marcoule (APM). We describe the radiation testing of electronic devices and of the various subsystems designed by the D. LETI groups involved in the MA23/APM project

  7. Radiation Effects and Component Hardening testing program at the Oak Ridge National Laboratory

    International Nuclear Information System (INIS)

    Draper, J.V.; Weil, B.S.; Chesser, J.B.

    1993-01-01

    This paper describes Phase II of the Radiation Effects and Component Hardening (REACH) testing program, performed as part of the joint collaborative agreement between the United States Department of Energy (USDOE) and the Power Reactor and Nuclear Fuel Development Corporation (PNC) of Japan, Components and materials were submitted to 10 5 R/hr gamma radiation fields for 10,000 hr, producing accumulated doses of 10 9 R; most performed as expected

  8. Radiation-hardened optically reconfigurable gate array exploiting holographic memory characteristics

    Science.gov (United States)

    Seto, Daisaku; Watanabe, Minoru

    2015-09-01

    In this paper, we present a proposal for a radiation-hardened optically reconfigurable gate array (ORGA). The ORGA is a type of field programmable gate array (FPGA). The ORGA configuration can be executed by the exploitation of holographic memory characteristics even if 20% of the configuration data are damaged. Moreover, the optoelectronic technology enables the high-speed reconfiguration of the programmable gate array. Such a high-speed reconfiguration can increase the radiation tolerance of its programmable gate array to 9.3 × 104 times higher than that of current FPGAs. Through experimentation, this study clarified the configuration dependability using the impulse-noise emulation and high-speed configuration capabilities of the ORGA with corrupt configuration contexts. Moreover, the radiation tolerance of the programmable gate array was confirmed theoretically through probabilistic calculation.

  9. Radiation hard cryogenic silicon detectors

    International Nuclear Information System (INIS)

    Casagrande, L.; Abreu, M.C.; Bell, W.H.; Berglund, P.; Boer, W. de; Borchi, E.; Borer, K.; Bruzzi, M.; Buontempo, S.; Chapuy, S.; Cindro, V.; Collins, P.; D'Ambrosio, N.; Da Via, C.; Devine, S.; Dezillie, B.; Dimcovski, Z.; Eremin, V.; Esposito, A.; Granata, V.; Grigoriev, E.; Hauler, F.; Heijne, E.; Heising, S.; Janos, S.; Jungermann, L.; Konorov, I.; Li, Z.; Lourenco, C.; Mikuz, M.; Niinikoski, T.O.; O'Shea, V.; Pagano, S.; Palmieuri, V.G.; Paul, S.; Pirollo, S.; Pretzl, K.; Rato, P.; Ruggiero, G.; Smith, K.; Sonderegger, P.; Sousa, P.; Verbitskaya, E.; Watts, S.; Zavrtanik, M.

    2002-01-01

    It has been recently observed that heavily irradiated silicon detectors, no longer functional at room temperature, 'resuscitate' when operated at temperatures below 130 K. This is often referred to as the 'Lazarus effect'. The results presented here show that cryogenic operation represents a new and reliable solution to the problem of radiation tolerance of silicon detectors

  10. The development of radiation hardened robot for nuclear facility

    International Nuclear Information System (INIS)

    Kim, Seung Ho; Jung, Seung Ho; Kim, Byung Soo and others

    2000-04-01

    The work conducted in this stage covers development of core technology of tele-robot system including monitoring technique in high-level radioactive area, tele-sensing technology and radiation-hardened technology for the non-destructive tele-inspection system which monitors the primary coolant system during the normal operations of PHWR(Pressurized Heavy Water Reactor) NPPs and measures the decrease of bending part of feeder pipe during overall. Based on the developed core technology, the monitoring mobile robot system of the primary coolant system and the feeder pipe inspecting robot system are developed

  11. The development of radiation hardened robot for nuclear facility

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Seung Ho; Jung, Seung Ho; Kim, Byung Soo and others

    2000-04-01

    The work conducted in this stage covers development of core technology of tele-robot system including monitoring technique in high-level radioactive area, tele-sensing technology and radiation-hardened technology for the non-destructive tele-inspection system which monitors the primary coolant system during the normal operations of PHWR(Pressurized Heavy Water Reactor) NPPs and measures the decrease of bending part of feeder pipe during overall. Based on the developed core technology, the monitoring mobile robot system of the primary coolant system and the feeder pipe inspecting robot system are developed.

  12. Radiation damage studies for the D0 silicon detector

    International Nuclear Information System (INIS)

    Lehner, F.

    2004-01-01

    We report on irradiation studies performed on spare production silicon detector modules for the current D0 silicon detector. The lifetime expectations due to radiation damage effects of the existing silicon detector are reviewed. A new upgrade project was started with the goal of a complete replacement of the existing silicon detector. In that context, several investigations on the radiation hardness of new prototype silicon microstrip detectors were carried out. The irradiation on different detector types was performed with 10 MeV protons up to fluences of 10 14 p/cm 2 at the J.R. Mcdonald Laboratory at Kansas State University. The flux calibration was carefully checked using different normalization techniques. As a result, we observe roughly 40-50% less radiation damage in silicon for 10 MeV p exposure than it is expected by the predicted NIEL scaling

  13. Radiation damage in silicon detectors

    CERN Document Server

    Lindström, G

    2003-01-01

    Radiation damage effects in silicon detectors under severe hadron and gamma-irradiation are surveyed, focusing on bulk effects. Both macroscopic detector properties (reverse current, depletion voltage and charge collection) as also the underlying microscopic defect generation are covered. Basic results are taken from the work done in the CERN-RD48 (ROSE) collaboration updated by results of recent work. Preliminary studies on the use of dimerized float zone and Czochralski silicon as detector material show possible benefits. An essential progress in the understanding of the radiation-induced detector deterioration had recently been achieved in gamma irradiation, directly correlating defect analysis data with the macroscopic detector performance.

  14. A Radiation Hardened by Design CMOS ASIC for Thermopile Readouts

    Science.gov (United States)

    Quilligan, G.; Aslam, S.; DuMonthier, J.

    2012-01-01

    A radiation hardened by design (RHBD) mixed-signal application specific integrated circuit (ASIC) has been designed for a thermopile readout for operation in the harsh Jovian orbital environment. The multi-channel digitizer (MCD) ASIC includes 18 low noise amplifier channels which have tunable gain/filtering coefficients, a 16-bit sigma-delta analog-digital converter (SDADC) and an on-chip controller. The 18 channels, SDADC and controller were designed to operate with immunity to single event latchup (SEL) and to at least 10 Mrad total ionizing dose (TID). The ASIC also contains a radiation tolerant 16-bit 20 MHz Nyquist ADC for general purpose instrumentation digitizer needs. The ASIC is currently undergoing fabrication in a commercial 180 nm CMOS process. Although this ASIC was designed specifically for the harsh radiation environment of the NASA led JEO mission it is suitable for integration into instrumentation payloads 011 the ESA JUICE mission where the radiation hardness requirements are slightly less stringent.

  15. Radiation-Hardened Circuitry Using Mask-Programmable Analog Arrays. Final Report

    Energy Technology Data Exchange (ETDEWEB)

    Britton, Jr., Charles L. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Ericson, Milton Nance [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Bobrek, Miljko [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Blalock, Benjamin [Univ. of Tennessee, Knoxville, TN (United States)

    2015-12-01

    As the recent accident at Fukushima Daiichi so vividly demonstrated, telerobotic technologies capable of withstanding high radiation environments need to be readily available to enable operations, repair, and recovery under severe accident scenarios where human entry is extremely dangerous or not possible. Telerobotic technologies that enable remote operation in high dose rate environments have undergone revolutionary improvement over the past few decades. However, much of this technology cannot be employed in nuclear power environments due the radiation sensitivity of the electronics and the organic insulator materials currently in use. This is the final report of the activities involving the NEET 2 project Radiation Hardened Circuitry Using Mask-Programmable Analog Arrays. We present a detailed functional block diagram of the proposed data acquisition system, the thought process leading to technical decisions, the implemented system, and the tested results from the systems. This system will be capable of monitoring at least three parameters of importance to nuclear reactor monitoring: temperature, radiation level, and pressure.

  16. Challenges in hardening technologies using shallow-trench isolation

    International Nuclear Information System (INIS)

    Shaneyfelt, M.R.; Dodd, P.E.; Draper, B.L.; Flores, R.S.

    1998-02-01

    Challenges related to radiation hardening CMOS technologies with shallow-trench isolation are explored. Results show that trench hardening can be more difficult than simply replacing the trench isolation oxide with a hardened field oxide

  17. A radiation-hardened 1K-bit dielectrically isolated random access memory

    International Nuclear Information System (INIS)

    Sandors, T.J.; Boarman, J.W.; Kasten, A.J.; Wood, G.M.

    1982-01-01

    Dielectric Isolation has been used for many years as the bipolar technology for latch-up free, radiation hardened integrated circuits in strategic systems. The state-of-the-art up to this point has been the manufacture of MSI functions containing a maximum of several hundred isolated components. This paper discusses a 1024 Bit Random Access Memory chip containing over 4000 dielectrically isolated components which has been designed for strategic radiation environments. The process utilized and the circuit design of the 1024 Bit RAM have been previously discussed. The techniques used are similar to those employed for the MX digital integrated circuits except for specific items required to make this a true LSI technology. These techniques, along with electrical and radiation data for the RAM, are presented

  18. Process for hardening an alkyd resin composition using ionizing radiation. [electron beams, gamma radiation

    Energy Technology Data Exchange (ETDEWEB)

    Watanabe, T; Murata, K; Maruyama, T

    1969-11-27

    In an alkyd resin composition having free hydroxide radicals and containing a conjugated unsaturated fatty acid and/or oil as a component thereof, a process for hardening an alkyd resin composition comprises the steps of dissolving into a vinyl monomer, the product obtained by the semi-esterification reaction of said hydroxide radicals with acid anhydrides having polymerizable radicals and hardening by ionizing radiation to provide a coating with a high degree of cross-linking, with favorable properties such as toughness, hardness, chemical resistance and resistance to weather and with the feasibility of being applied as the ground and finish coat on metals, wood, paper, outdoor construction or the like. Any kind of ionization radiation, particularly accelerated electron beams, ..gamma.. radiation can be used at 50/sup 0/C to -5/sup 0/C for a few seconds or minutes, permitting continuous operation. In one example, 384 parts of phthalic anhydride, 115 parts of pentaerythritol, 233 parts of trimethylol ethane, 288 parts of tung fatty acid and 49 parts of para-tertiary-butyl benzoic acid are mixed and heated with 60 parts of xylene to an acid value of 12. In addition, 271 parts of maleic anhydride and 0.6 parts of hydroquinone are admixed with the content and heated to terminate the reaction. 100 parts of a 50% stylene solution of this alkyd resin are mixed with 1 part of a 60% toluene solution of cobalt naphthenate, and then coated on a glass plate and irradiated with high energy electron beams of 300 kV with a dose of 5 Mrad for 1 sec.

  19. Radiation Induced Fault Analysis for Wide Temperature BiCMOS Circuits, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — State of the art Radiation Hardened by Design (RHBD) techniques do not account for wide temperature variations in BiCMOS process. Silicon-Germanium BiCMOS process...

  20. Effect of Pigment Colouring on Physico-mechanical Properties of Hardened Cement Paste and Response of Colour Intensity to UV Radiation

    International Nuclear Information System (INIS)

    Khattab, M.M.; Abdel-Rahman, H.A.; Hassan, M.S.

    2010-01-01

    In this work, different ratios of pigment colour was mixed with cement paste during mixing. The pigment colour used was Phthalocyanine Green. The effect of pigment colouring on hardened cement paste (HCP) was characterized in terms of compressive strength, IR spectroscopic analysis and X-ray diffraction. In addition, the effect of UV radiation on the colour strength of hardened cement paste/pigment colour composites was investigated. The results indicated that the increase in the ratio of pigment colour was accompanied with a slight decrease in the values of compressive strength. The exposure of the coloured hardened cement paste to UV radiation for long lengths of time causes a little effect on the colour intensity

  1. Proposed radiation hardened mobile vehicle for Chernobyl dismantlement and nuclear accident response

    International Nuclear Information System (INIS)

    Rowland, M.S.; Holliday, M.A.; Karpachov, J.A.

    1995-01-01

    Researchers are developing a radiation hardened, Telerobotic Dismantling System (TDS) to remediate the Chernobyl facility. To withstand the severe radiation fields, the robotic system, will rely on electrical motors, actuators, and relays proven in the Chernobyl power station. Due to its dust suppression characteristics and ability to cut arbitrary materials the authors propose using a water knife as the principle tool to slice up the large fuel containing masses. The front end of the robot will use a minimum number of moving parts by locating most of the susceptible and bulky components outside the work area. Hardened and shielded video cameras will be designed for remote control and viewing of the robotic functions. Operators will supervise and control robot movements based on feedback from a suite of sensory systems that would include vision systems, radiation detection and measurement systems and force reflection systems. A gripper will be instrumented with a variety of sensors (e.g. force, torque, or tactile), allowing varying debris surface properties to be grasped. The gripper will allow the operator to manipulate and segregate debris items without entering the radiologically and physically dangerous dismantlement operations area. The robots will initially size reduce the FCM's to reduce the primary sources of the airborne radionuclides. The robot will then remove the high level waste for packaging or decontamination, and storage nearby

  2. Radiation-hardened microwave communications system

    International Nuclear Information System (INIS)

    Smith, S.F.; Crutcher, R.I.; Vandermolen, R.I.

    1990-01-01

    The consolidated fuel reprocessing program (CFRP) at the Oak Ridge National Laboratory (ORNL) has been developing signal transmission techniques and equipment to improve the efficiency of remote handling operations for nuclear applications. These efforts have been largely directed toward the goals of (a) remotely controlling bilateral force-reflecting servomanipulators for dexterous manipulation-based operations in remote maintenance tasks and (b) providing television viewing of the work site. In September 1987, developmental microwave transceiving hardware operating with dish antennas was demonstrated in the advanced integrated maintenance system (AIMS) facility at ORNL, successfully implementing both high-quality one-way television transmissions and simultaneous bidirectional digital control data transmissions with very low error rates. Initial test results based on digital transmission at a 1.0-Mbaud data rate indicated that the error rates of the microwave system were comparable to those of a hardwired system. During these test intervals, complex manipulator operations were performed, and the AIMS transporter was moved repeatedly without adverse effects on data integrity. Results of these tests have been factored into subsequent phases of the development program, with an ultimate goal of designing a fully radiation-hardened microwave signal transmission system for use in nuclear facilities

  3. Radiation hardening at 77 K in Zn and Cu single crystals at low doses

    International Nuclear Information System (INIS)

    Gonzalez, H.C.; Bisogni, E.A.

    1980-01-01

    There is controversy about radiation hardening phenomenon and its additivity with other hardening mechanisms. The purpose of this work is to contribute to the understanding of this subject, through measurements made in Zn and Cu single crystals. Post-irradiation measurements of yield stress of Zn, made on different single crystals, show a direct proportionality to the 0.5 power of the dose. It is determined that for a dose greater than 3.7 x 10 16 neutrons cm -2 s -1 there is always cleavage. The maximum critical resolved shear stress measured is about 8.82 MPa. In order to study additivity it is necessary to lower experimental errors. A micro tensile machine is designed to operate in the CNEA facility RA1 in a bath of liquid N 2 . Experimental measurements of yield stress with dose are carried out in-situ on the same single crystals. Experimental results on Cu and Zn show that radiation induced yield stress increases with a 0.5 power law. It must be taken into account that the definition of radiation induced yield stress stands for radiation created obstacles operating alone. The radiation induced yield stress adds algebraically to the athermal component of the initial yield stress but is not exactly additive to the other thermally activated mechanisms. A gradual transition from one to the other type of obstacles is observed. (author)

  4. Study of the mechanisms involved in the laser superficial hardening process of metallic alloys

    International Nuclear Information System (INIS)

    Silva, Edmara Marques Rodrigues da

    2001-01-01

    The laser superficial hardening process of a ferrous alloy (gray cast iron) and of an aluminum-silicon alloy was investigated in this work. These metallic alloys are used in the automobile industry for manufacturing cylinders and pistons, respectively. By application of individual pulses and single tracks, the involved mechanisms during the processing were studied. Variables such as energy density, power density, temporal width, beam diameter on the sample surface, atmosphere of the processing region, overlapping and scanning velocity. The hardened surface was characterized by optical and scanning electronic microscopy, dispersive energy microanalysis, X-ray mapping, X-ray diffraction, and measurements of roughness and Vickers microhardness. Depending on the processing parameters, it is possible to obtain different microstructures. The affected area of gray cast iron, can be hardened by remelting or transformation hardening (total or partial) if the reached temperature is higher or not that of melting temperature. Laser treatment originated new structures such as retained austenite, martensite and, occasionally, eutectic of cellular dendritic structure. Aluminum-silicon alloy does not have phase transformation in solid state, it can be hardened only by remelting. The increase of hardness is a function of the precipitation hardening process, which makes the silicon particles smaller and more disperse in the matrix. Maximal values of microhardness (700-1000 HV) were reached with the laser treatment in gray cast iron samples. The initial microhardness is of 242 HV. For aluminum-silicon alloy, the laser remelting increases the initial microhardness of 128 HV to the range of 160-320 HV. The found results give a new perspective for using the CLA/IPEN's laser in the heat treatment area. Besides providing a higher absorptivity to the materials, compared with the CO 2 laser, and optical fiber access, the superficial hardening with Nd:YAG laser, depending on the level of

  5. Radiation cured and monomer modified silicon elastomers

    International Nuclear Information System (INIS)

    Eldred, R.J.

    1979-01-01

    A method is described for the production of a tear resistant silicone elastomer, which has improved elongation properties. This elastomer is the radiation induced reaction product of a noncured methyl vinyl silicone resin (VMQ) and uniformly dispersed therein a blend of a polyfunctional acrylic crosslinking monomer and a filler

  6. Radiation damage in silicon. Defect analysis and detector properties

    Energy Technology Data Exchange (ETDEWEB)

    Hoenniger, F.

    2008-01-15

    Silicon microstrip and pixel detectors are vital sensor-components as particle tracking detectors for present as well as future high-energy physics (HEP) experiments. All experiments at the large Hadron Collider (LHC) are equipped with such detectors. Also for experiments after the upgrade of the LHC (the so-called Super-LHC), with its ten times higher luminosity, or the planned International Linear Collider (ILC) silicon tracking detectors are forseen. Close to the interaction region these detectors have to face harsh radiation fields with intensities above the presently tolerable level. defect engineering of the used material, e. g. oxygen enrichment of high resistivity float zone silicon and growing of thin low resistivityepitaxial layers on Czochralski silicon substrates has been established to improve the radiation hardness of silicon sensors. This thesis focuses mainly on the investigation of radiation induced defects and their differences observed in various kinds of epitaxial silicon material. Comparisons with other materials like float zone or Czochralski silicon are added. Deep Level Transient Spectroscopy (DLTS) and Thermally Stimulated Current (TSC) measurements have been performed after {gamma}-, electron-, proton- and neutron-irradiation. The differenced in the formation of vacancy and interstitial related defects as well as so-called clustered regions were investigated for various types of irradiation. In addition to the well known defects VO{sub i}, C{sub i}O{sub i}, C{sub i}C{sub s}, VP or V{sub 2} several other defect complexes have been found and investigated. Also the material dependence of the defect introduction rates and the defect annealing behavior has been studied by isothermal and isochronal annealing experiments. Especially the IO{sub 2} defect which is an indicator for the oxygen-dimer content of the material has been investigated in detail. On the basis of radiation induced defects like the bistable donor (BD) defect and a deep

  7. Radiation damage in silicon. Defect analysis and detector properties

    International Nuclear Information System (INIS)

    Hoenniger, F.

    2008-01-01

    Silicon microstrip and pixel detectors are vital sensor-components as particle tracking detectors for present as well as future high-energy physics (HEP) experiments. All experiments at the large Hadron Collider (LHC) are equipped with such detectors. Also for experiments after the upgrade of the LHC (the so-called Super-LHC), with its ten times higher luminosity, or the planned International Linear Collider (ILC) silicon tracking detectors are forseen. Close to the interaction region these detectors have to face harsh radiation fields with intensities above the presently tolerable level. defect engineering of the used material, e. g. oxygen enrichment of high resistivity float zone silicon and growing of thin low resistivityepitaxial layers on Czochralski silicon substrates has been established to improve the radiation hardness of silicon sensors. This thesis focuses mainly on the investigation of radiation induced defects and their differences observed in various kinds of epitaxial silicon material. Comparisons with other materials like float zone or Czochralski silicon are added. Deep Level Transient Spectroscopy (DLTS) and Thermally Stimulated Current (TSC) measurements have been performed after γ-, electron-, proton- and neutron-irradiation. The differenced in the formation of vacancy and interstitial related defects as well as so-called clustered regions were investigated for various types of irradiation. In addition to the well known defects VO i , C i O i , C i C s , VP or V 2 several other defect complexes have been found and investigated. Also the material dependence of the defect introduction rates and the defect annealing behavior has been studied by isothermal and isochronal annealing experiments. Especially the IO 2 defect which is an indicator for the oxygen-dimer content of the material has been investigated in detail. On the basis of radiation induced defects like the bistable donor (BD) defect and a deep acceptor, a model has been introduced to

  8. Radiation Hardened NULL Convention Logic Asynchronous Circuit Design

    Directory of Open Access Journals (Sweden)

    Liang Zhou

    2015-10-01

    Full Text Available This paper proposes a radiation hardened NULL Convention Logic (NCL architecture that can recover from a single event latchup (SEL or single event upset (SEU fault without deadlock or any data loss. The proposed architecture is analytically proved to be SEL resistant, and by extension, proved to be SEU resistant. The SEL/SEU resistant version of a 3-stage full-word pipelined NCL 4 × 4 unsigned multiplier was implemented using the IBM cmrf8sf 130 nm 1.2 V process at the transistor level and simulated exhaustively with SEL fault injection to validate the proposed architectures. Compared with the original version, the SEL/SEU resilient version has 1.31× speed overhead, 2.74× area overhead, and 2.79× energy per operation overhead.

  9. Hardening device, by inserts, of electronic component against radiation

    International Nuclear Information System (INIS)

    Val, C.

    1987-01-01

    The hardening device includes at least two materials, one with high atomic number with respect to the other. One of these materials is set as inserts in a layer of the other material. The hardening device is then made by stacking of such layers, the insert density varying from one layer to the other, making thus vary the atomic number resulting from the hardening device along its thickness, following a predefined law [fr

  10. Environmental hardening of a mobile-manipulator system for nuclear environments

    International Nuclear Information System (INIS)

    Jones, S.L.; Cable, T.; Tulenko, J.S.; Toshkov, S.; Sias, F.R. Jr.

    1993-01-01

    This research report discusses the radiation hardening of a commercially available mobile robot, the REMOTEC ANDROS. This hardening effort is culminating in the availability of a megarad hardened mobile platform to access areas in nuclear facilities with extremely high levels of radiation (0.1 to 1 Mrad). These radiation levels may be encountered both during routine repair and monitoring activities and accident situations. The project has completed a phase-I U.S. Department of Energy Small Business Innovative Research contract and is now in a phase-II effort with completion scheduled in early 1995. The research involves the evaluation of the material and electrical components of an ANDROS robot to determine the anticipated radiation hardness of the current production version and evaluation of the components that must be replaced or modified to harden the system to higher radiation levels. The work being reported is based on an evaluation of the complete list of all electronic, electrical, and mechanical parts used in the robot and includes initial experimental radiation evaluations performed at the University of Florida

  11. Preparation of micro-pored silicone elastomer through radiation crosslinking

    International Nuclear Information System (INIS)

    Gao Xiaoling; Gu Mei; Xie Xubing; Huang Wei

    2013-01-01

    The radiation crosslinking was adopted to prepare the micro-pored silicone elastomer, which was performed by vulcanization and foaming respectively. Radiation crosslinking is a new method to prepare micro-pored material with high performance by use of radiation technology. Silicon dioxide was used as filler, and silicone elastomer was vulcanized by electron beams, then the micro-pored material was made by heating method at a high temperature. The effects of absorbed dose and filler content on the performance and morphology were investigated. The structure and distribution of pores were observed by SEM. The results show that the micro-pored silicon elastomer can be prepared successfully by controlling the absorbed dose and filler content. It has a smooth surface similar to a rubber meanwhile the pores are round and unconnected to each other with the minimum size of 14 μm. And the good mechanical performance can be suitable for further uses. (authors)

  12. Alpha radiation detection using silicon memory chips - preliminary studies

    International Nuclear Information System (INIS)

    Pace, R.; Paix, D.; Haskard, M.

    1993-01-01

    Alpha radiation dosage is an important occupational health factor in the mining of uranium and mineral sands. Alpha radiation induced errors in the data of silicon based memory chips provide the foundation for a new type of sensor, with the potential for affordable and prompt measurement of personal alpha doses. With particular reference to Dynamic Random Access Memories (DRAM) this paper introduces the operating principle of a memory based radiation sensor, which is the error mechanism in silicon integrated circuits. 14 refs., 3 figs

  13. Radiation hardening revisited: Role of intracascade clustering

    DEFF Research Database (Denmark)

    Singh, B.N.; Foreman, A.J.E.; Trinkaus, H.

    1997-01-01

    be explained in terms of conventional dispersed-barrier hardening because (a) the grown-in dislocations are not free, and (b) irradiation-induced defect clusters are not rigid indestructible Orowan obstacles. A new model called 'cascade-induced source hardening' is presented where glissile loops produced...... directly in cascades are envisaged to decorate the grown-in dislocations so that they cannot act as dislocation sources. The upper yield stress is related to the breakaway stress which is necessary to pull the dislocation away from the clusters/loops decorating it. The magnitude of the breakaway stress has...

  14. Radiation hard silicon particle detectors for HL-LHC—RD50 status report

    Energy Technology Data Exchange (ETDEWEB)

    Terzo, S., E-mail: Stefano.Terzo@mpp.mpg.de

    2017-02-11

    It is foreseen to significantly increase the luminosity of the LHC by upgrading towards the HL-LHC (High Luminosity LHC). The Phase-II-Upgrade scheduled for 2024 will mean unprecedented radiation levels, way beyond the limits of the silicon trackers currently employed. All-silicon central trackers are being studied in ATLAS, CMS and LHCb, with extremely radiation hard silicon sensors to be employed on the innermost layers. Within the RD50 Collaboration, a massive R&D program is underway across experimental boundaries to develop silicon sensors with sufficient radiation tolerance. We will present results of several detector technologies and silicon materials at radiation levels corresponding to HL-LHC fluences. Based on these results, we will give recommendations for the silicon detectors to be used at the different radii of tracking systems in the LHC detector upgrades. In order to complement the measurements, we also perform detailed simulation studies of the sensors. - Highlights: • The RD50 collaboration investigates the radiation hardness of silicon sensors. • Different approaches to simulate the detector response after irradiation are shown. • HV-CMOS are cost-effective solution for the outer pixel layers at HL-LHC. • 3D and thin planar sensors with slim edges are solutions for innermost layers at HL-LHC. • Sensors with intrinsic gain are investigated to develop ultra-fast silicon detectors.

  15. Solar photovoltaic research and development program of the Air Force Aero Propulsion Laboratory. [silicon solar cell applicable to satellite power systems

    Science.gov (United States)

    Wise, J.

    1979-01-01

    Progress is reported in the following areas: laser weapon effects, solar silicon solar cell concepts, and high voltage hardened, high power system technology. Emphasis is placed on solar cells with increased energy conversion efficiency and radiation resistance characteristics for application to satellite power systems.

  16. Radiation cured silicone rubber articles

    International Nuclear Information System (INIS)

    DuPont, J.G.; Goodwin, P.A.

    1984-01-01

    A process for making radiation cured silicone rubber articles is disclosed wherein a hydroxyl-terminated polysilaxane having a molecular weight from about 50,000 to about 2,000,000, optionally modified by mixing with up to 85% of an end-stopped silicone rubber, is mixed with from about 10 to about 70 parts per hundred of rubber of a finely divided silica filler with a particle size in the reinforcing range and other inert fillers as determined by desired final properties; the composition so prepared is formed into the desired shape at room temperature; the article so formed is precured to improve the mechanical properties of the material with which it is made by exposure to ammonia gas, ammonium hydroxide, or to the vapors or solutions of a volatile amine at room temperature; and the precured article is irradiated with high energy electrons or gamma radiation to effect a permanent cure of the material from which the article is formed

  17. Why semiconductors must be hardened when used in space

    International Nuclear Information System (INIS)

    Winokur, P.S.

    2000-01-01

    The natural space radiation environment presents a great challenge to present and future satellite systems with significant assets in space. Defining requirements for such systems demands knowledge about the space radiation environment and its effects on electronics and optoelectronics technologies, as well as suitable risk assessment of the uncertainties involved. For mission of high radiation levels, radiation-hardened integrated circuits will be required to preform critical mission functions. The most successful systems in space will be those that are best able to blend standard commercial electronics with custom radiation-hardened electronics in a mix that is suitable for the system of interest

  18. Silicon radiation detectors: materials and applications

    International Nuclear Information System (INIS)

    Walton, J.T.; Haller, E.E.

    1982-10-01

    Silicon nuclear radiation detectors are available today in a large variety of sizes and types. This profusion has been made possible by the ever increasing quality and diameter silicon single crystals, new processing technologies and techniques, and innovative detector design. The salient characteristics of the four basic detector groups, diffused junction, ion implanted, surface barrier, and lithium drift are reviewed along with the silicon crystal requirements. Results of crystal imperfections detected by lithium ion compensation are presented. Processing technologies and techniques are described. Two recent novel position-sensitive detector designs are discussed - one in high-energy particle track reconstruction and the other in x-ray angiography. The unique experimental results obtained with these devices are presented

  19. Radiation-hard silicon photonics for high energy physics and beyond

    CERN Multimedia

    CERN. Geneva

    2016-01-01

    Silicon photonics (SiPh) is currently being investigated as a promising technology for future radiation hard optical links. The possibility of integrating SiPh devices with electronics and/or silicon particle sensors as well as an expected very high resistance against radiation damage make this technology particularly interesting for potential use close to the interaction points in future in high energy physics experiments and other radiation-sensitive applications. The presentation will summarize the outcomes of the research on radiation hard SiPh conducted within the ICE-DIP projected.

  20. A PD-SOI based DTI-LOCOS combined cross isolation technique for minimizing TID radiation induced leakage in high density memory

    International Nuclear Information System (INIS)

    Qiao Fengying; Pan Liyang; Wu Dong; Liu Lifang; Xu Jun

    2014-01-01

    In order to minimize leakage current increase under total ionizing dose (TID) radiation in high density memory circuits, a new isolation technique, combining deep trench isolation (DTI) between the wells, local oxidation of silicon (LOCOS) isolation between the devices within the well, and a P-diffused area in order to limit leakage at the isolation edge is implemented in partly-depleted silicon-on-insulator (PD-SOI) technology. This radiation hardening technique can minimize the layout area by more than 60%, and allows flexible placement of the body contact. Radiation hardened transistors and 256 Kb flash memory chips are designed and fabricated in a 0.6 μm PD-SOI process. Experiments show that no obvious increase in leakage current is observed for single transistors under 1 Mrad(Si) radiation, and that the 256 Kb memory chip still functions well after a TID of 100 krad(Si), with only 50% increase of the active power consumption in read mode. (semiconductor devices)

  1. Increased radiation resistance in lithium-counterdoped silicon solar cells

    Science.gov (United States)

    Weinberg, I.; Swartz, C. K.; Mehta, S.

    1984-01-01

    Lithium-counterdoped n(+)p silicon solar cells are found to exhibit significantly increased radiation resistance to 1-MeV electron irradiation when compared to boron-doped n(+)p silicon solar cells. In addition to improved radiation resistance, considerable damage recovery by annealing is observed in the counterdoped cells at T less than or equal to 100 C. Deep level transient spectroscopy measurements are used to identify the defect whose removal results in the low-temperature aneal. It is suggested that the increased radiation resistance of the counterdoped cells is primarily due to interaction of the lithium with interstitial oxygen.

  2. Radiation hardening: study of production velocity and post-irradiation recovery of defect clusters produced by neutron irradiation at 77 K

    International Nuclear Information System (INIS)

    Gonzalez, Hector C.; Miralles, Monica T.

    1999-01-01

    This work includes three basic studies using radiation hardening of Cu single crystals irradiated at 77 K in the RA-1-reactor of CNEA: 1) The initial of a production curve of defect clusters originated during radiation until 5.2 x 10 20 n m 2 . The shape of the curve is compared with those obtained from measurement of resistivity increased (Δρ) with neutronic doses (φt) and the acceptance of the linear dependency of Δρ with Frenkel Pairs concentration (PFs); 2) The isochronal hardening recovery in the temperature interval of stage V (T > 450 K). The existence of the sub-stages Vb (∼ 550 K) and Vc (∼ 587 K), determined for the first time from hardening measurements, are shown and compared with results obtained by other techniques; 3) Isothermal recoveries performed in the temperature interval of the sub-stage Vc to determine phenomenologically the apparent activation energy of the sub-stage. The value obtained was in agreement with the energy for Cu vacancies auto diffusion. (author)

  3. Development of innovative silicon radiation detectors

    CERN Document Server

    Balbuena, JuanPablo

    Silicon radiation detectors fabricated at the IMB-CNM (CSIC) Clean Room facilities using the most innovative techniques in detector technology are presented in this thesis. TCAD simulation comprises an important part in this work as becomes an essential tool to achieve exhaustive performance information of modelled detectors prior their fabrication and subsequent electrical characterization. Radiation tolerance is also investigated in this work using TCAD simulations through the potential and electric field distributions, leakage current and capacitance characteristics and the response of the detectors to the pass of different particles for charge collection efficiencies. Silicon detectors investigated in this thesis were developed for specific projects but also for applications in experiments which can benefit from their improved characteristics, as described in Chapter 1. Double-sided double type columns 3D (3D-DDTC) detectors have been developed under the NEWATLASPIXEL project in the framework of the CERN ...

  4. Radiation hardness of diamond and silicon sensors compared

    CERN Document Server

    de Boer, Wim; Furgeri, Alexander; Mueller, Steffen; Sander, Christian; Berdermann, Eleni; Pomorski, Michal; Huhtinen, Mika

    2007-01-01

    The radiation hardness of silicon charged particle sensors is compared with single crystal and polycrystalline diamond sensors, both experimentally and theoretically. It is shown that for Si- and C-sensors, the NIEL hypothesis, which states that the signal loss is proportional to the Non-Ionizing Energy Loss, is a good approximation to the present data. At incident proton and neutron energies well above 0.1 GeV the radiation damage is dominated by the inelastic cross section, while at non-relativistic energies the elastic cross section prevails. The smaller inelastic nucleon-Carbon cross section and the light nuclear fragments imply that at high energies diamond is an order of magnitude more radiation hard than silicon, while at energies below 0.1 GeV the difference becomes significantly smaller.

  5. General specifications for silicon semiconductors for use in radiation dosimetry

    International Nuclear Information System (INIS)

    Rikner, G.; Grusell, E.

    1987-01-01

    Silicon semiconductor detectors used in radiation dosimetry have different properties, just as e.g. ionisation chambers, affecting the interaction of radiation with matter in the vicinity of the sensitive volume of the detector, e.g. wall materials, and also the collection of the charges liberated in the detector by the radiation. The charge collection depends on impurities, lattice imperfections and other properties of the semiconductor crystal. In this paper the relevant parameters of a silicon semiconductor detector intended for dosimetry are reviewed. The influence of doping material, doping level, various effects of radiation damage, mechanical construction, detector size, statistical noise and connection to the electrometer are discussed. (author)

  6. Paint and binding material to be hardened by ionizing radiations

    International Nuclear Information System (INIS)

    Johnson, O.B.; Labana, S.S.

    1976-01-01

    The invention concerns a paint binding material which can be hardened due to the effect of ionising radiation, consisting of a dispersion of a) an ethylene unsaturated material in b) at least one vinyl monomer. Component (a) is a reaction product of graded rubber particles (0.1 - 4 μm) and an ethylene unsaturated component with a reactive epoxy-, hydroxy- or carbonyl-group, which is connected to the rubber by ester or urethane links. The rubber particles have a core of cross linked elastomer acrylic polymers, an outer shell of reactive groups and an intermediate layer made from the core monomer and the shell. 157 examples explain the manufacturing process. The paint is suitable for covering articles which will later be subject to distortion. (UWI) [de

  7. Efficient, radiation-hardened, 800-keV neutral beam injection system

    International Nuclear Information System (INIS)

    Anderson, O.A.; Cooper, W.S.; Goldberg, D.A.; Ruby, L.; Soroka, L.; Fink, J.H.

    1982-10-01

    Recent advances and new concepts in negative ion generation, transport, acceleration, and neutrailzation make it appear likely that an efficient, radiation-hardened neutral beam injection system could be developed in time for the proposed FED-A tokamak. These new developments include the operation of steady-state H - ion sources at over 5 A per meter of source length, the concept of using strong-focussing electrostatic structures for low-gradient dc acceleration of high-current sheet beams of negative ions and the transport of these beams around corners, and the development of powerful oxygen-iodine chemical lasers which will make possible the efficient conversion of the negative ions to neutrals using a photodetachment scheme in which the ion beam passes through the laser cavity

  8. Jagiellonian University Radiation Damage in Silicon Particle Detectors in High Luminosity Experiments

    CERN Document Server

    Oblakowska-Mucha, A

    2017-01-01

    Radiation damage is nowadays the most serious problem in silicon particle detectors placed in the very harsh radiation environment. This problem will be even more pronounced after the LHC Upgrade because of extremely strong particle fluences never encountered before. In this review, a few aspects of radiation damage in silicon trackers are presented. Among them, the change in the silicon lattice and its influence on the detector performance are discussed. Currently applied solutions and the new ideas for future experiments will be also shown. Most of the results presented in this summary were obtained within the RD50 Collaboration

  9. Particle interaction and displacement damage in silicon devices operated in radiation environments

    International Nuclear Information System (INIS)

    Leroy, Claude; Rancoita, Pier-Giorgio

    2007-01-01

    Silicon is used in radiation detectors and electronic devices. Nowadays, these devices achieving submicron technology are parts of integrated circuits of large to very large scale integration (VLSI). Silicon and silicon-based devices are commonly operated in many fields including particle physics experiments, nuclear medicine and space. Some of these fields present adverse radiation environments that may affect the operation of the devices. The particle energy deposition mechanisms by ionization and non-ionization processes are reviewed as well as the radiation-induced damage and its effect on device parameters evolution, depending on particle type, energy and fluence. The temporary or permanent damage inflicted by a single particle (single event effect) to electronic devices or integrated circuits is treated separately from the total ionizing dose (TID) effect for which the accumulated fluence causes degradation and from the displacement damage induced by the non-ionizing energy-loss (NIEL) deposition. Understanding of radiation effects on silicon devices has an impact on their design and allows the prediction of a specific device behaviour when exposed to a radiation field of interest

  10. SEGR- and SEB-hardened structure with DSPSOI in power MOSFETs

    Science.gov (United States)

    Tang, Zhaohuan; Fu, Xinghua; Yang, Fashun; Tan, Kaizhou; Ma, Kui; Wu, Xue; Lin, Jiexing

    2017-12-01

    Single event irradiation-hardened power MOSFET is the most important device for DC/DC converter in space environment application. Single event gate rupture (SEGR) and single event burnout (SEB), which will degrade the running safety and reliability of spacecraft, are the two typical failure modes in power MOSFETs. In this paper, based on recombination mechanism of interface between oxide and silicon, a novel hardened power MOSFETs structure for SEGR and SEB is proposed. The structure comprises double stagger partial silicon-on-insulator (DSPSOI) layers. Results show that the safety operation area (SOA) of a 130 V N-channel power MOSFET in single event irradiation environment is enhanced by up to 50% when the linear-energy-transfer value of heavy ion is a constant of 98 MeV·cm2/mg in the whole incident track, and the other parameters are almost maintained at the same value. Thus this novel structure can be widely used in designing single event irradiation-hardened power MOSFETs. Project supported by the National Natural Science Foundation of China (No. 61464002), the Grand Science and Technology Special Project in Guizhou Province of China (No. [2015]6006), and the Ministry of Education Open Foundation for Semiconductor Power Device Reliability (No. 010201).

  11. Silicon radiation detector

    International Nuclear Information System (INIS)

    Benc, I.; Kerhart, J.; Kopecky, J.; Krca, P.; Veverka, V.; Weidner, M.; Weinova, H.

    1992-01-01

    The silicon radiation detector, which is designed for the detection of electrons with energies above 500 eV and of radiation within the region of 200 to 1100 nm, comprises a PIN or PNN + type photodiode. The active acceptor photodiode is formed by a detector surface of shallow acceptor diffusion surrounded by a collector band of deep acceptor diffusion. The detector surface of shallow P-type diffusion with an acceptor concentration of 10 15 to 10 17 atoms/cm 3 reaches a depth of 40 to 100 nm. One sixth to one eighth of the collector band width is overlapped by the P + collector band at a width of 150 to 300 μm with an acceptor concentration of 10 20 to 10 21 atoms/cm 3 down a depth of 0.5 to 3 μm. This band is covered with a conductive layer, of NiCr for instance. (Z.S.)

  12. Radiation Hardened Telerobotic Dismantling System Development Final Report CRADA No. TC-1340-96

    Energy Technology Data Exchange (ETDEWEB)

    Smith, C. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Lightman, A. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2017-09-27

    This project was a collaborative effort between the University of California, LLNL and RedZone Robotics, Inc. for the development of radiation-hardened telerobotic dismantling systems for use in applications such as nuclear facility remediation, nuclear accident response, and Chemobyltype remediation. The project supported the design, development, fabrication and testing of a Ukrainian robotic systems. The project was completed on time and within budget. All deliverables were completed. The final project deliverables were consistent with the plans developed in the original project with the exception that the fabricated systems remained in Ukraine.

  13. The use of microhardness tests to determine the radiation hardening of austenitic stainless steel; Zastosowanie pomiarow mikrotwardosci dla okreslenia umocnienia radiacyjnego stali austenitycznej napromienionej neutronami

    Energy Technology Data Exchange (ETDEWEB)

    Hofman, A.; Kochanski, T.; Malczyk, A.

    1994-12-31

    The use of microhardness technique to determine the radiation hardening has been studied. Microhardness measurements have been conducted on austenitic stainless steel OH18N1OT irradiated up to 2x10{sup 19} ncm{sup -2}. It was determined that the increase in microhardness varies directly with the measured increase in the 0.2% offset yield strength and has been found that microhardness technique may be an effective tool to measurements of radiation induced hardening. (author). 18 refs, 3 figs, 3 tabs.

  14. Superconducting (radiation hardened) magnets for mirror fusion devices

    International Nuclear Information System (INIS)

    Henning, C.D.; Dalder, E.N.C.; Miller, J.R.; Perkins, J.R.

    1983-01-01

    Superconducting magnets for mirror fusion have evolved considerably since the Baseball II magnet in 1970. Recently, the Mirror Fusion Test Facility (MFTF-B) yin-yang has been tested to a full field of 7.7 T with radial dimensions representative of a full scale reactor. Now the emphasis has turned to the manufacture of very high field solenoids (choke coils) that are placed between the tandem mirror central cell and the yin-yang anchor-plug set. For MFTF-B the choke coil field reaches 12 T, while in future devices like the MFTF-Upgrade, Fusion Power Demonstration and Mirror Advanced Reactor Study (MARS) reactor the fields are doubled. Besides developing high fields, the magnets must be radiation hardened. Otherwise, thick neutron shields increase the magnet size to an unacceptable weight and cost. Neutron fluences in superconducting magnets must be increased by an order of magnitude or more. Insulators must withstand 10 10 to 10 11 rads, while magnet stability must be retained after the copper has been exposed to fluence above 10 19 neutrons/cm 2

  15. Effect of Radiation on Silicon and Borosilicate Glass

    National Research Council Canada - National Science Library

    Allred, Clark

    2003-01-01

    .... These two glasses are commonly used as substrates for silicon microelectromechanical (MEMS) devices, and radiation-induced compaction in a substrate can have deleterious effects on device performance...

  16. Evaluation of radiation-induced degradation of silicon '0' ring

    International Nuclear Information System (INIS)

    Ikeshima, Yoshiaki; Shiraishi; Tadao; Sato, Ryuichi; Tanaka, Isao; Ichihashi, Yoshinori; Ito, Masayuki.

    1990-12-01

    Currently there is too few available data on mechanical properties of an 'O' ring made of organic material, which is used over an extensive period of time under actual Nuclear Reactor environmental conditions. The 'O' rings which were evaluated were made of Silicon Rubber, and are used to provide water tightness. The 'O' rings also served as a pressure boundary within the nozzle of the in-reactor tube in the Water Loop-2 (OWL-2) at the JMTR in Oarai, Ibaraki. The 'O' rings were subjected to a constant penetrating radiation (up to 3.46 kGy) over a period of thirteen (13) years. The effects on the mechanical properties of a Silicon Rubber 'O' Ring were evaluated after having been used over an extensive period of time in an actual in-reactor tube within a radiation environment; a full thirteen years in durations. In making comparison of the properties of other Silicon Rubber 'O' Rings. It was also found that these other 'O' rings were subject to Gamma Rays for a shorter period, but with the same amount of radiation as the 'O' rings in the reactor were supposedly to have received. The evaluation showed that a Silicon Rubber 'O' Ring could have been used for a period, as much as forty (40) years even with a (absorbed) dose of about 300 kGy, before the life expectancy of such an 'O' ring is fully met. It was also discovered that the mechanical properties of an Ethylene Propylene 'O' Rings (currently used in the new OWL-2 in-reactor tube) were much superior to those of the Silicon Rubber 'O' Rings. The Ethylene Propylene 'O' Rings had a live expectancy which was about three times that of a Silicon Rubber 'O' Rings. (author)

  17. Influences of silicon on the work hardening behavior and hot deformation behavior of Fe–25 wt%Mn–(Si, Al) TWIP steel

    International Nuclear Information System (INIS)

    Li, Dejun; Feng, Yaorong; Song, Shengyin; Liu, Qiang; Bai, Qiang; Ren, Fengzhang; Shangguan, Fengshou

    2015-01-01

    Highlights: • Influence of Si on work hardening behavior of Fe–25 wt%Mn TWIP steel was investigated. • Influence of Si on hot deformation behavior of Fe–25 wt%Mn TWIP steel was studied. • Si blocks dislocation glide and favors mechanical twinning in Fe–25 wt%Mn TWIP steel. • The addition of Si increases the hot deformation activation energy of Fe–25 wt%Mn TWIP steel. • The addition of Si retards the nucleation and growth of DRX grains of Fe–25 wt%Mn TWIP steel. - Abstract: The influence of silicon on mechanical properties and hot deformation behavior of austenitic Fe–25 wt%Mn TWIP steel was investigated by means of the comparison research between 25Mn3Al and 25Mn3Si3Al steel. The results show that the 25Mn3Si3Al steel has higher yield strength and higher hardness than that of 25Mn3Al steel because of the solution strengthening caused by Si atoms and possesses higher uniform deformation ability and tensile strength than that of 25Mn3Al steel due to the higher work hardening ability of 25Mn3Si3Al steel. 25Mn3Si3Al steel presents a clear four-stage curve of work hardening rate in course of cold compression. Quite the opposite, the 25Mn3Al steel presents a monotonic decline curve of work hardening rate. The difference of the work hardening behavior between 25Mn3Al and 25Mn3Si3Al steel can be attributed to the decline of stacking fault energy (SFE) caused by the addition of 3 wt% Si. The dislocation glide plays an important role in the plastic deformation of 25Mn3Al steel even though the mechanical twinning is still one of the main deformation mechanisms. The 3 wt% Si added into the 25Mn3Al steel blocks the dislocation glide and promotes the mechanical twinning, and then the dislocation glide characteristics cannot be observed in cold deformed microstructure of 25Mn3Si3Al steel. The hot compression tests reveal that the hot deformation resistance of the 25Mn3Si3Al steel is significantly higher than that of the 25Mn3Al steel due to the solid

  18. Diamond and silicon pixel detectors in high radiation environments

    Energy Technology Data Exchange (ETDEWEB)

    Tsung, Jieh-Wen

    2012-10-15

    Diamond pixel detector is a promising candidate for tracking of collider experiments because of the good radiation tolerance of diamond. The diamond pixel detector must withstand the radiation damage from 10{sup 16} particles per cm{sup 2}, which is the expected total fluence in High Luminosity Large Hadron Collider. The performance of diamond and silicon pixel detectors are evaluated in this research in terms of the signal-to-noise ratio (SNR). Single-crystal diamond pixel detectors with the most recent readout chip ATLAS FE-I4 are produced and characterized. Based on the results of the measurement, the SNR of diamond pixel detector is evaluated as a function of radiation fluence, and compared to that of planar-silicon ones. The deterioration of signal due to radiation damage is formulated using the mean free path of charge carriers in the sensor. The noise from the pixel readout circuit is simulated and calculated with leakage current and input capacitance to the amplifier as important parameters. The measured SNR shows good agreement with the calculated and simulated results, proving that the performance of diamond pixel detectors can exceed the silicon ones if the particle fluence is more than 10{sup 15} particles per cm{sup 2}.

  19. Diamond and silicon pixel detectors in high radiation environments

    International Nuclear Information System (INIS)

    Tsung, Jieh-Wen

    2012-10-01

    Diamond pixel detector is a promising candidate for tracking of collider experiments because of the good radiation tolerance of diamond. The diamond pixel detector must withstand the radiation damage from 10 16 particles per cm 2 , which is the expected total fluence in High Luminosity Large Hadron Collider. The performance of diamond and silicon pixel detectors are evaluated in this research in terms of the signal-to-noise ratio (SNR). Single-crystal diamond pixel detectors with the most recent readout chip ATLAS FE-I4 are produced and characterized. Based on the results of the measurement, the SNR of diamond pixel detector is evaluated as a function of radiation fluence, and compared to that of planar-silicon ones. The deterioration of signal due to radiation damage is formulated using the mean free path of charge carriers in the sensor. The noise from the pixel readout circuit is simulated and calculated with leakage current and input capacitance to the amplifier as important parameters. The measured SNR shows good agreement with the calculated and simulated results, proving that the performance of diamond pixel detectors can exceed the silicon ones if the particle fluence is more than 10 15 particles per cm 2 .

  20. BUSFET - A Novel Radiation-Hardened SOI Transistor

    International Nuclear Information System (INIS)

    Dodd, P.E.; Draper, B.L.; Schwank, J.R.; Shaneyfelt, M.R.

    1999-01-01

    A partially-depleted SOI transistor structure has been designed that does not require the use of specially-processed hardened buried oxides for total-dose hardness and maintains the intrinsic SEU and dose rate hardness advantages of SOI technology

  1. Radiation Hardening and Verification Procedure for Compact Flip-Flop Design

    Energy Technology Data Exchange (ETDEWEB)

    Kwon, Inyong; Sung, Seung Hwan [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2016-10-15

    For radiation-related applications applying electronic devices in nuclear, space, medicine, and scientific experiment, single event transients (SETs) and single event upsets (SEUs) are become primary concern since they can cause malfunctions in a system by affecting the signal transition and flipping digital bits. The D flip-flop as a register is generally used in digital circuits that require data stability and high speed. For many years, radiation-hardened-by-design (RHBD) circuits have been gradually developed from traditional circuit architectures. One of common methods is to exploit redundancy in an important circuit block to preserve the correct signal. This technique uses a voting process to have a correct output when other duplicated systems fail due to a single event effect (SEE) including SET and SEU. For instance, B. Olson applied the redundancy technique, formally referred the triple modular redundancy (TMR). Other researchers use various error detection and correction (EDAC) algorithms including redundant bits in the storage circuits to detect and correct errors at the system level. practical experiments at radiation exposure facilities. Korea Atomic Energy Research Institute (KAERI) operates a laboratory with high energy radioactive isotope, {sup 60}Co in Jeongeup, Korea. The facility can provide various experiments requiring experimental environment changes by controlling radiation activity and radiated energy. The future direction on RHBD circuits would be integration with the digital DFF presented in this paper and analog front-end units such as OP-amp for charge sensitive or shaping amplifier. Analog-to-digital converters (ADCs) are also major components necessarily imbedded in the most of sensor related electronics. Thus RHBD techniques are inevitably required to protect these circuits from SEE; specifically, SEUs for digital logics and SETs for analog signals. Since most ADCs consist of both analog and digital circuits in their architectures

  2. Experimental studies of radiation damage of silicon detectors

    International Nuclear Information System (INIS)

    Angelescu, T.; Ghete, V.M.; Ghiordanescu, N.; Lazanu, I.; Mihul, A.; Golutvin, I.; Lazanu, S.; Savin, I.; Vasilescu, A.; Biggeri, U.; Borchi, E.; Bruzzi, M.; Li, Z.; Kraner, H.W.

    1994-02-01

    New particle physics experiments are correlated with high luminosity and/or high energy. The new generation of colliding beam machines which will be constructed will make an extrapolation of a factor of 100 in the center of mass energy and of 1000 in luminosity beyond present accelerators. The scientific community hopes that very exciting physics results could be achieved this way, from the solution to the problem of electroweak symmetry breaking to the possible discovery of new, unpredicted phenomena. The particles which compose the radiation field are: electrons, pions, neutrons, protons and photons. It has become evident that the problem of the radiation resistance of detectors in this severe environment is a crucial one. This situation is complicated more by the fact that detectors must work all the run time of the machine, and better all the time of the experiment, without replacement (part or whole). So, studies related to the investigation of the radiation hardness of all detector parts, are developing. The studies are in part material and device characterization after irradiation, and in part technological developments, made in order to find harder, cheaper technologies, for larger surfaces. Semiconductor detectors have proven to be a good choice for vertex and calorimeter. Both fixed target machines and colliders had utilized in the past silicon junction detectors as the whole or part of the detection system. Precision beam hodoscopes and sophisticated trigger devices with silicon are equally used. The associated electronics in located near the detectors, and is subjected to the same radiation fields. Studies of material and device radiation hardness are developing in parallel. Here the authors present results on the radiation hardness of silicon, both as a bulk material and as detectors, to neutron irradiation at high fluences

  3. A theoretical approach to photosynthetically active radiation silicon sensor

    International Nuclear Information System (INIS)

    Tamasi, M.J.L.; Martínez Bogado, M.G.

    2013-01-01

    This paper presents a theoretical approach for the development of low cost radiometers to measure photosynthetically active radiation (PAR). Two alternatives are considered: a) glass optical filters attached to a silicon sensor, and b) dielectric coating on a silicon sensor. The devices proposed are based on radiometers previously developed by the Argentine National Atomic Energy Commission. The objective of this work is to adapt these low cost radiometers to construct reliable instruments for measuring PAR. The transmittance of optical filters and sensor response have been analyzed for different dielectric materials, number of layers deposited, and incidence angles. Uncertainties in thickness of layer deposition were evaluated. - Highlights: • Design of radiometers to measure photosynthetically active radiation • The study has used a filter and a Si sensor to modify spectral response. • Dielectric multilayers on glass and silicon sensor • Spectral response related to different incidence angles, materials and spectra

  4. Low dose radiation damage effects in silicon strip detectors

    International Nuclear Information System (INIS)

    Wiącek, P.; Dąbrowski, W.

    2016-01-01

    The radiation damage effects in silicon segmented detectors caused by X-rays have become recently an important research topic driven mainly by development of new detectors for applications at the European X-ray Free Electron Laser (E-XFEL). However, radiation damage in silicon strip is observed not only after extreme doses up to 1 GGy expected at E-XFEL, but also at doses in the range of tens of Gy, to which the detectors in laboratory instruments like X-ray diffractometers or X-ray spectrometers can be exposed. In this paper we report on investigation of radiation damage effects in a custom developed silicon strip detector used in laboratory diffractometers equipped with X-ray tubes. Our results show that significant degradation of detector performance occurs at low doses, well below 200 Gy, which can be reached during normal operation of laboratory instruments. Degradation of the detector energy resolution can be explained by increasing leakage current and increasing interstrip capacitance of the sensor. Another observed effect caused by accumulation of charge trapped in the surface oxide layer is change of charge division between adjacent strips. In addition, we have observed unexpected anomalies in the annealing process.

  5. Low dose radiation damage effects in silicon strip detectors

    Science.gov (United States)

    Wiącek, P.; Dąbrowski, W.

    2016-11-01

    The radiation damage effects in silicon segmented detectors caused by X-rays have become recently an important research topic driven mainly by development of new detectors for applications at the European X-ray Free Electron Laser (E-XFEL). However, radiation damage in silicon strip is observed not only after extreme doses up to 1 GGy expected at E-XFEL, but also at doses in the range of tens of Gy, to which the detectors in laboratory instruments like X-ray diffractometers or X-ray spectrometers can be exposed. In this paper we report on investigation of radiation damage effects in a custom developed silicon strip detector used in laboratory diffractometers equipped with X-ray tubes. Our results show that significant degradation of detector performance occurs at low doses, well below 200 Gy, which can be reached during normal operation of laboratory instruments. Degradation of the detector energy resolution can be explained by increasing leakage current and increasing interstrip capacitance of the sensor. Another observed effect caused by accumulation of charge trapped in the surface oxide layer is change of charge division between adjacent strips. In addition, we have observed unexpected anomalies in the annealing process.

  6. UV radiation hardness of silicon inversion layer solar cells

    International Nuclear Information System (INIS)

    Hezel, R.

    1990-01-01

    For full utilization of the high spectral response of inversion layer solar cells in the very-short-wavelength range of the solar spectrum sufficient ultraviolet-radiation hardness is required. In addition to the charge-induced passivation achieved by cesium incorporation into the silicon nitride AR coating, in this paper the following means for further drastic reduction of UV light-induced effects in inversion layer solar cells without encapsulation are introduced and interpretations are given: increasing the nitride deposition temperature, silicon surface oxidation at low temperatures, and texture etching and using higher substrate resistivities. High UV radiation tolerance and improvement of the cell efficiency could be obtained simultaneously

  7. Structural defects in monocrystalline silicon: from radiation ones to growing and technological

    International Nuclear Information System (INIS)

    Gerasimenko, N.N.; Pavlyuchenko, M.N.; Dzhamanbalin, K.K.

    2001-01-01

    The systematical review of properties and conditions of radiation structures in monocrystalline silicon including own defects (elementary and complex, disordered fields) as well as defect-impurity formations is presented. The most typical examples of principle effects influence of known defects on radiation-induced processes (phase transformations, diffusion and heteration and others are considered. Experimental facts and models of silicon radiation amorphization have been analyzed in comparison of state of the radiation amorphization radiation problem of metals and alloys. The up-to-date status of the problem of the radiation defects physics are discussed, including end-of-range -, n+-, rod-like- defects. The phenomenon self-organization in crystals with defects has been considered. The examples of directed using radiation defects merged in independent trend - defects engineering - are given

  8. Total dose hardening of buried insulator in implanted silicon-on-insulator structures

    International Nuclear Information System (INIS)

    Mao, B.Y.; Chen, C.E.; Pollack, G.; Hughes, H.L.; Davis, G.E.

    1987-01-01

    Total dose characteristics of the buried insulator in implanted silicon-on-insulator (SOI) substrates have been studied using MOS transistors. The threshold voltage shift of the parasitic back channel transistor, which is controlled by charge trapping in the buried insulator, is reduced by lowering the oxygen dose as well as by an additional nitrogen implant, without degrading the front channel transistor characteristics. The improvements in the radiation characteristics of the buried insulator are attributed to the decrease in the buried oxide thickness or to the presence of the interfacial oxynitride layer formed by the oxygen and nitrogen implants

  9. DEVELOPMENT AND RESEARCH OF ULTRASONIC OSCILLATORY SYSTEM FOR HARDENING OF SPRING PLATE BILLETS

    Directory of Open Access Journals (Sweden)

    V. A. Tomilo

    2015-01-01

    Full Text Available Various schemes of ultrasonic oscillatory system are developed: with a «force nonsensitive» support, with a «force sensitive» support, with the deforming steel balls in bulk. Results of the ultrasonic treatment showed that hardening of a surface of the samples took place when the vibration amplitude of a radiator exceeds a certain level. The level of hardening increases with increase in amplitude of fluctuations of a radiator. Higher level of hardening is registered when the surface is treated by steel balls.

  10. Influence of rare earth elements on radiation defect formation in silicon

    International Nuclear Information System (INIS)

    Nazyrov, D.E.

    2006-01-01

    Full text: It is known that efficiency of form and kinetics annealing of radiation defects influence greatly presence of initial in controlling electrically active or inactive impurities, their concentration and position in a lattice of a semiconductor. From this point of view of impurities of group of rare earths elements (REE) are of great interest, they interact with primary radiation defects creating electrically passive complexes such as . Thus they increase radiation stability of silicon. The purpose of the given work was the investigation of effect of irradiation by γ-quanta 60 Co properties of silicon doped REE-by samarium, gadolinium and erbium. The doping of silicon was carried out by growth process. Concentration of REE - samarium, gadolinium and erbium in silicon according to neutron-activation analysis equaled 10 14 /5·10 18 cm 2 . Silicon doped by phosphorus - 15/50 Ωcm were used as control samples. The results of investigations were obtained from DLTS (deep level transient spectroscopy) measurements, Hall effect and electrical measurements on definition of a resistivity, lifetime of minority carriers of a charge and optically active of concentrations of oxygen and carbon. The optical recharge by the infrared light emitting diode (P=10 mV, λ=0,95 μm) was used for investigation of deep levels (DL) situated in lower half of band gap. In control samples irradiated by the γ-quanta 60 Co with a dose 10 16 / 5·10 18 cm -2 formation DL was found in band, the parameters of which are well-known: A-, E-centers etc. Depending on a dose of an effect of irradiate in an energy spectrum of radiation defects in Si of essential changes, except for concentration is not observed. The deep levels concentration the E c -0,17 eV and E c -0,4 eV in Si is essentially reduced with respect control samples. The comparison the dose of associations of observable levels in irradiated n-Si with similar associations in control samples shows, that a velocity of introduction

  11. Radiation Hardened Electronics Destined For Severe Nuclear Reactor Environments

    Energy Technology Data Exchange (ETDEWEB)

    Holbert, Keith E. [Arizona State Univ., Tempe, AZ (United States); Clark, Lawrence T. [Arizona State Univ., Tempe, AZ (United States)

    2016-02-19

    Post nuclear accident conditions represent a harsh environment for electronics. The full station blackout experience at Fukushima shows the necessity for emergency sensing capabilities in a radiation-enhanced environment. This NEET (Nuclear Energy Enabling Technologies) research project developed radiation hardened by design (RHBD) electronics using commercially available technology that employs commercial off-the-shelf (COTS) devices and present generation circuit fabrication techniques to improve the total ionizing dose (TID) hardness of electronics. Such technology not only has applicability to severe accident conditions but also to facilities throughout the nuclear fuel cycle in which radiation tolerance is required. For example, with TID tolerance to megarads of dose, electronics could be deployed for long-term monitoring, inspection and decontamination missions. The present work has taken a two-pronged approach, specifically, development of both board and application-specific integrated circuit (ASIC) level RHBD techniques. The former path has focused on TID testing of representative microcontroller ICs with embedded flash (eFlash) memory, as well as standalone flash devices that utilize the same fabrication technologies. The standalone flash devices are less complicated, allowing better understanding of the TID response of the crucial circuits. Our TID experiments utilize biased components that are in-situ tested, and in full operation during irradiation. A potential pitfall in the qualification of memory circuits is the lack of rigorous testing of the possible memory states. For this reason, we employ test patterns that include all ones, all zeros, a checkerboard of zeros and ones, an inverse checkerboard, and random data. With experimental evidence of improved radiation response for unbiased versus biased conditions, a demonstration-level board using the COTS devices was constructed. Through a combination of redundancy and power gating, the demonstration

  12. Increasing the radiation resistance of single-crystal silicon epitaxial layers

    Directory of Open Access Journals (Sweden)

    Kurmashev Sh. D.

    2014-12-01

    Full Text Available The authors investigate the possibility of increasing the radiation resistance of silicon epitaxial layers by creating radiation defects sinks in the form of dislocation networks of the density of 109—1012 m–2. Such networks are created before the epitaxial layer is applied on the front surface of the silicon substrate by its preliminary oxidation and subsequent etching of the oxide layer. The substrates were silicon wafers KEF-4.5 and KDB-10 with a diameter of about 40 mm, grown by the Czochralski method. Irradiation of the samples was carried out using electron linear accelerator "Electronics" (ЭЛУ-4. Energy of the particles was 2,3—3,0 MeV, radiation dose 1015—1020 m–2, electron beam current 2 mA/m2. It is shown that in structures containing dislocation networks, irradiation results in reduction of the reverse currents by 5—8 times and of the density of defects by 5—10 times, while the mobility of the charge carriers is increased by 1,2 times. Wafer yield for operation under radiation exposure, when the semiconductor structures are formed in the optimal mode, is increased by 7—10% compared to the structures without dislocation networks. The results obtained can be used in manufacturing technology for radiation-resistant integrated circuits (bipolar, CMOS, BiCMOS, etc..

  13. Electron Beam Induced Radiation Damage of the Semiconductor Radiation Detector based on Silicon

    International Nuclear Information System (INIS)

    Kim, Han Soo; Kim, Yong Kyun; Park, Se Hwan; Haa, Jang Ho; Kang, Sang Mook; Chung, Chong Eun; Cho, Seung Yeon; Park, Ji Hyun; Yoon, Tae Hyung

    2005-01-01

    A Silicon Surface Barrier (SSB) semiconductor detector which is generally used to detect a charged particle such as an alpha particle was developed. The performance of the developed SSB semiconductor detector was measured with an I-V curve and an alpha spectrum. The response for an alpha particle was measured by Pu-238 sources. A SSB semiconductor detector was irradiated firstly at 30sec, at 30μA and secondly 40sec, 40μA with a 2MeV pulsed electron beam generator in KAERI. And the electron beam induced radiation damage of a homemade SSB detector and the commercially available PIN photodiode were investigated. An annealing effect of the damaged SSB and PIN diode detector were also investigated using a Rapid Thermal Annealing (RTA). This data may assist in designing the silicon based semiconductor radiation detector when it is operated in a high radiation field such as space or a nuclear power plant

  14. Radiation damage in lithium-counterdoped N/P silicon solar cells

    Science.gov (United States)

    Hermann, A. M.; Swartz, C. K.; Brandhorst, H. W., Jr.; Weinberg, I.

    1980-01-01

    The radiation resistance and low-temperature annealing properties of lithium-counterdoped n(+)-p silicon solar cells are investigated. Cells fabricated from float zone and Czochralski grown silicon were irradiated with 1 MeV electrons and their performance compared to that of 0.35 ohm-cm control cells. The float zone cells demonstrated superior radiation resistance compared to the control cells, while no improvement was noted for the Czochralski grown cells. Annealing kinetics were found to lie between first and second order for relatively short times, and the most likely annealing mechanism was found to be the diffusion of lithium to defects with the subsequent neutralization of defects by combination with lithium. Cells with zero lithium gradients exhibited the best radiation resistance.

  15. Radiation Damage in Silicon Detectors Caused by Hadronic and Electromagnetic Irradiation

    CERN Document Server

    Fretwurst, E.; Stahl, J.; Pintilie, I.

    2002-01-01

    The report contains various aspects of radiation damage in silicon detectors subjected to high intensity hadron and electromagnetic irradiation. It focuses on improvements for the foreseen LHC applications, employing oxygenation of silicon wafers during detector processing (result from CERN-RD48). An updated survey on hadron induced damage is given in the first article. Several improvements are outlined especially with respect to antiannealing problems associated with detector storage during LHC maintenance periods. Open questions are outlined in the final section, among which are a full understanding of differences found between proton and neutron induced damage, process related effects changing the radiation tolerance in addition to the oxygen content and the lack of understanding the changed detector properties on the basis of damage induced point and cluster defects. In addition to float zone silicon, so far entirely used for detector fabrication,Czochralski silicon was also studied and first promising re...

  16. Silicon radiation detector analysis using back electron beam induced current

    International Nuclear Information System (INIS)

    Guye, R.

    1987-01-01

    A new technique for the observation and analysis of defects in silicon radiation detectors is described. This method uses an electron beam from a scanning electron microscope (SEM) impinging on the rear side of the p + n junction of the silicon detector, which itself is active and detects the electron beam induced current (EBIC). It is shown that this current is a sensitive probe of localized trapping centers, either at the junction surface or somewhere in the volume of the silicon crystal. (orig.)

  17. Silicon sensor probing and radiation studies for the LHCb silicon tracker

    International Nuclear Information System (INIS)

    Lois, Cristina

    2006-01-01

    The LHCb Silicon Tracker (ST) will be built using silicon micro-strip technology. A total of 1400 sensors, with strip pitches of approximately 200μm and three different substrate thicknesses, will be used to cover the sensitive area with readout strips up to 38cm in length. We present the quality assurance program followed by the ST group together with the results obtained for the first batches of sensors from the main production. In addition, we report on an investigation of the radiation hardness of the sensors. Prototype sensors were irradiated with 24GeV/c protons up to fluences equivalent to 20 years of LHCb operation. The damage coefficient for the leakage current was studied, and full depletion voltages were determined

  18. Silicon integrated circuit process

    International Nuclear Information System (INIS)

    Lee, Jong Duck

    1985-12-01

    This book introduces the process of silicon integrated circuit. It is composed of seven parts, which are oxidation process, diffusion process, ion implantation process such as ion implantation equipment, damage, annealing and influence on manufacture of integrated circuit and device, chemical vapor deposition process like silicon Epitaxy LPCVD and PECVD, photolithography process, including a sensitizer, spin, harden bake, reflection of light and problems related process, infrared light bake, wet-etch, dry etch, special etch and problems of etching, metal process like metal process like metal-silicon connection, aluminum process, credibility of aluminum and test process.

  19. Silicon integrated circuit process

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jong Duck

    1985-12-15

    This book introduces the process of silicon integrated circuit. It is composed of seven parts, which are oxidation process, diffusion process, ion implantation process such as ion implantation equipment, damage, annealing and influence on manufacture of integrated circuit and device, chemical vapor deposition process like silicon Epitaxy LPCVD and PECVD, photolithography process, including a sensitizer, spin, harden bake, reflection of light and problems related process, infrared light bake, wet-etch, dry etch, special etch and problems of etching, metal process like metal process like metal-silicon connection, aluminum process, credibility of aluminum and test process.

  20. Radiation Hardened Structured ASIC Platform with Compensation of Delay for Temperature and Voltage Variations for Multiple Redundant Temporal Voting Latch Technology

    Science.gov (United States)

    Ardalan, Sasan (Inventor)

    2018-01-01

    The invention relates to devices and methods of maintaining the current starved delay at a constant value across variations in voltage and temperature to increase the speed of operation of the sequential logic in the radiation hardened ASIC design.

  1. Optical substrate materials for synchrotron radiation beamlines

    International Nuclear Information System (INIS)

    Howells, M.R.; Paquin, R.A.

    1997-06-01

    The authors consider the materials choices available for making optical substrates for synchrotron radiation beam lines. They find that currently the optical surfaces can only be polished to the required finish in fused silica and other glasses, silicon, CVD silicon carbide, electroless nickel and 17-4 PH stainless steel. Substrates must therefore be made of one of these materials or of a metal that can be coated with electroless nickel. In the context of material choices for mirrors they explore the issues of dimensional stability, polishing, bending, cooling, and manufacturing strategy. They conclude that metals are best from an engineering and cost standpoint while the ceramics are best from a polishing standpoint. They then give discussions of specific materials as follows: silicon carbide, silicon, electroless nickel, Glidcop trademark, aluminum, precipitation-hardening stainless steel, mild steel, invar and superinvar. Finally they summarize conclusions and propose ideas for further research

  2. Hardening by means of ionising radiation

    International Nuclear Information System (INIS)

    Spoor, H.; Demmler, K.

    1979-01-01

    The polymerisable ethylic unsaturated mixture can be hardened by means of electron irradiation and used as a corrosion preventive layer. The mixture mainly consists of at least a di-olefinic unsaturated polyester, partial esters of polycarbonic acids, in particular the monoester of dicarbonic acids, with a copolymerizable C-C double bond, and mono-olefine unsaturated hydrocarbons, for example vinyl aromatics. The coatings exhibit good adhesion to the substrate, in particular to metal, and good flexibility. (DG) [de

  3. Study of a design criterion for 316L irradiated represented by a strain hardened material

    International Nuclear Information System (INIS)

    Gouin, H.

    1999-01-01

    The aim of this study is to analyse the consequence of radiation on different structure submitted to imposed displacement loading and for damages due to plastic instability or rupture. The main consequence of radiation is a material hardening with a ductility decrease. This effect is similar to initial mechanical hardening: the mechanical properties (determined on smooth tensile specimen) evolve in the same way while irradiation or mechanical hardening increase. So in this study, radiation hardening is simulated by mechanical hardening (swaging). Tests were carried out for which two damages were considered: plastic instability and rupture. These two damages were studied with initial mechanical hardening (5 tested hammering rate 0, 15, 25, 35 and 45% on 316L stainless steel). Likewise two types of loading were studied: tensile or bending loading on specimens with or without geometrical singularities (notches). From tensile tests, two deformation criteria are proposed for prevention against the two quoted damages. Numerical study is carried out allowing to confirm hypothesis made at the time of the tensile test result interpretation and to validate the rupture criterion by applying on bending test. (author)

  4. Study of radiation hardening in reactor pressure vessel steels

    International Nuclear Information System (INIS)

    Nogiwa, Kimihiro; Nishimura, Akihiko

    2008-01-01

    In order to investigate the dependence of hardening on copper precipitate diameter and density, in-situ transmission electron microscopy (TEM) observations during tensile tests of dislocation gliding through copper rich-precipitates in thermally aged and neutron irradiated Fe-Cu alloys were performed. The obstacle strength has been estimated from the critical bow-out angle, φ, of dislocations. The obstacle distance on the dislocation line measured from in-situ TEM observations were compared with number density and diameter measured by 3D-AP (three dimensional atom probe) and TEM observation. A comparison is made between hardening estimation based on the critical bowing angles and those obtained from conventional tensile tests. (author)

  5. Radiation hardening of oxygen-doped niobium by 14-MeV neutrons

    International Nuclear Information System (INIS)

    Bradley, E.R.; Jones, R.H.

    1983-09-01

    The flow properties of niobium containing 185 and 480 wt ppM oxygen have been studied following irradiation at 300K with T(d,n) neutrons to fluence levels ranging from 6 x 10 20 to 2 x 10 22 m -2 . Two hardening stages connected by a plateau region were observed in the niobium containing 185 wt ppM oxygen. Increasing the oxygen content by 300 wt ppM oxygen shifted the beginning of the high-fluence hardening stage from 6 x 10 21 to 1 x 10 21 m -2 , thereby eliminating the plateau region. This shift resulted in 1.5 times more hardening in the oxygen-doped niobium irradiated to fluence levels above 5 x 10 21 m -2

  6. Evaluation on the Effect of Composition on Radiation Hardening and Embrittlement in Model FeCrAl Alloys

    Energy Technology Data Exchange (ETDEWEB)

    Field, Kevin G. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Briggs, Samuel A. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Edmondson, Philip [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Hu, Xunxiang [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Littrell, Kenneth C. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Howard, Richard [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Parish, Chad M. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Yamamoto, Yukinori [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States)

    2015-09-18

    This report details the findings of post-radiation mechanical testing and microstructural characterization performed on a series of model and commercial FeCrAl alloys to assist with the development of a cladding technology with enhanced accident tolerance. The samples investigated include model alloys with simple ferritic grain structure and two commercial alloys with minor solute additions. These samples were irradiated in the High Flux Isotope Reactor (HFIR) at Oak Ridge National Laboratory (ORNL) up to nominal doses of 7.0 dpa near or at Light Water Reactor (LWR) relevant temperatures (300-400 C). Characterization included a suite of techniques including small angle neutron scattering (SANS), atom probe tomography (APT), and transmission based electron microscopy techniques. Mechanical testing included tensile tests at room temperature on sub-sized tensile specimens. The goal of this work was to conduct detailed characterization and mechanical testing to begin establishing empirical and/or theoretical structure-property relationships for radiation-induced hardening and embrittlement in the FeCrAl alloy class. Development of such relationships will provide insight on the performance of FeCrAl alloys in an irradiation environment and will enable further development of the alloy class for applications within a LWR environment. A particular focus was made on establishing trends, including composition and radiation dose. The report highlights in detail the pertinent findings based on this work. This report shows that radiation hardening in the alloys is primarily composition dependent due to the phase separation in the high-Cr FeCrAl alloys. Other radiation induced/enhanced microstructural features were less dependent on composition and when observed at low number densities, were not a significant contributor to the observed mechanical responses. Pre-existing microstructure in the alloys was found to be important, with grain boundaries and pre-existing dislocation

  7. Radiation-Hardened Circuitry Using Mask-Programmable Analog Arrays. Report 3

    Energy Technology Data Exchange (ETDEWEB)

    Britton, Jr, Charles L. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Shelton, Jacob H. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Ericson, Milton Nance [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Blalock, Benjamin [Univ. of Tennessee, Knoxville, TN (United States)

    2015-03-01

    As the recent accident at Fukushima Daiichi so vividly demonstrated, telerobotic technologies capable of withstanding high radiation environments need to be readily available to enable operations, repair, and recovery under severe accident scenarios when human entry is extremely dangerous or not possible. Telerobotic technologies that enable remote operation in high dose rate environments have undergone revolutionary improvement over the past few decades. However, much of this technology cannot be employed in nuclear power environments because of the radiation sensitivity of the electronics and the organic insulator materials currently in use. This is a report of the activities involving Task 3 of the Nuclear Energy Enabling Technologies (NEET) 2 project Radiation Hardened Circuitry Using Mask-Programmable Analog Arrays [1]. Evaluation of the performance of the system for both pre- and post-irradiation as well as operation at elevated temperature will be performed. Detailed performance of the system will be documented to ensure the design meets requirements prior to any extended evaluation. A suite of tests will be developed which will allow evaluation before and after irradiation and during temperature. Selection of the radiation exposure facilities will be determined in the early phase of the project. Radiation exposure will consist of total integrated dose (TID) up to 200 kRad or above with several intermediate doses during test. Dose rates will be in various ranges determined by the facility that will be used with a target of 30 kRad/hr. Many samples of the pre-commercial devices to be used will have been tested in previous projects to doses of at least 300 kRad and temperatures up to 125C. The complete systems will therefore be tested for performance at intermediate doses. Extended temperature testing will be performed up to the limit of the commercial sensors. The test suite performed at each test point will consist of operational testing of the three basic

  8. Electron-spin-resonance study of radiation-induced paramagnetic defects in oxides grown on (100) silicon substrates

    International Nuclear Information System (INIS)

    Kim, Y.Y.; Lenahan, P.M.

    1988-01-01

    We have used electron-spin resonance to investigate radiation-induced point defects in Si/SiO 2 structures with (100) silicon substrates. We find that the radiation-induced point defects are quite similar to defects generated in Si/SiO 2 structures grown on (111) silicon substrates. In both cases, an oxygen-deficient silicon center, the E' defect, appears to be responsible for trapped positive charge. In both cases trivalent silicon (P/sub b/ centers) defects are primarily responsible for radiation-induced interface states. In earlier electron-spin-resonance studies of unirradiated (100) substrate capacitors two types of P/sub b/ centers were observed; in oxides prepared in three different ways only one of these centers, the P/sub b/ 0 defect, is generated in large numbers by ionizing radiation

  9. X- and gamma-ray N+PP+ silicon detectors with high radiation resistance

    International Nuclear Information System (INIS)

    Petris, M.; Ruscu, R.; Moraru, R.; Cimpoca, V.

    1998-01-01

    We have investigated the use of p-type silicon detectors as starting material for X-and gamma-ray detectors because of several potential benefits it would bring: 1. high purity p-type silicon grown by the float-zone process exhibits better radial dopant uniformity than n-type float-zone silicon; 2. it is free of radiation damage due to the neutron transmutation doping process and behaves better in a radiation field because mainly acceptor like centers are created through the exposure and the bulk material type inversion does not occur as in the n-type silicon. But the p-type silicon, in combination with a passivating layer of silicon dioxide, leads to a more complex detector layout since the positive charge in the oxide causes an inversion in the surface layer under the silicon dioxide. Consequently, it would be expected that N + P diodes have a higher leakage current than P + N ones. All these facts have been demonstrated experimentally. These features set stringent requirements for the technology of p-type silicon detectors. Our work presents two new geometries and an improved technology for p-type high resistivity material to obtain low noise radiation detectors. Test structures were characterized before and after the gamma exposure with a cumulative dose in the range 10 4 - 5 x 10 6 rad ( 60 Co). Results indicate that proposed structures and their technology enable the development of reliable N + PP + silicon detectors. For some samples (0.8 - 12 mm 2 ), extremely low reverse currents were obtained and, in combination with a low noise charge preamplifier, the splitting of 241 Am X-ray lines was possible and also the Mn Kα line (5.9 keV) was extracted from the noise with a 1.9 keV FWHM at the room temperature. An experimental model of a nuclear probe based on these diodes was designed for X-ray detection applications. (authors)

  10. Integration of Radiation-Hard Magnetic Random Access Memory with CMOS ICs

    CERN Document Server

    Cerjan, C J

    2000-01-01

    The research undertaken in this LDRD-funded project addressed the joint development of magnetic material-based nonvolatile, radiation-hard memory cells with Sandia National Laboratory. Specifically, the goal of this project was to demonstrate the intrinsic radiation-hardness of Giant Magneto-Resistive (GMR) materials by depositing representative alloy combinations upon radiation-hardened silicon-based integrated circuits. All of the stated goals of the project were achieved successfully. The necessary films were successfully deposited upon typical integrated circuits; the materials retained their magnetic field response at the highest radiation doses; and a patterning approach was developed that did not degrade the as-fabricated properties of the underlying circuitry. These results establish the feasibility of building radiation-hard magnetic memory cells.

  11. Amorphous silicon based radiation detectors

    International Nuclear Information System (INIS)

    Perez-Mendez, V.; Cho, G.; Drewery, J.; Jing, T.; Kaplan, S.N.; Qureshi, S.; Wildermuth, D.; Fujieda, I.; Street, R.A.

    1991-07-01

    We describe the characteristics of thin(1 μm) and thick (>30μm) hydrogenated amorphous silicon p-i-n diodes which are optimized for detecting and recording the spatial distribution of charged particles, x-rays and γ rays. For x-ray, γ ray, and charged particle detection we can use thin p-i-n photosensitive diode arrays coupled to evaporated layers of suitable scintillators. For direct detection of charged particles with high resistance to radiation damage, we use the thick p-i-n diode arrays. 13 refs., 7 figs

  12. Minimalist fault-tolerance techniques for mitigating single-event effects in non-radiation-hardened microcontrollers

    Science.gov (United States)

    Caldwell, Douglas Wyche

    Commercial microcontrollers--monolithic integrated circuits containing microprocessor, memory and various peripheral functions--such as are used in industrial, automotive and military applications, present spacecraft avionics system designers an appealing mix of higher performance and lower power together with faster system-development time and lower unit costs. However, these parts are not radiation-hardened for application in the space environment and Single-Event Effects (SEE) caused by high-energy, ionizing radiation present a significant challenge. Mitigating these effects with techniques which require minimal additional support logic, and thereby preserve the high functional density of these devices, can allow their benefits to be realized. This dissertation uses fault-tolerance to mitigate the transient errors and occasional latchups that non-hardened microcontrollers can experience in the space radiation environment. Space systems requirements and the historical use of fault-tolerant computers in spacecraft provide context. Space radiation and its effects in semiconductors define the fault environment. A reference architecture is presented which uses two or three microcontrollers with a combination of hardware and software voting techniques to mitigate SEE. A prototypical spacecraft function (an inertial measurement unit) is used to illustrate the techniques and to explore how real application requirements impact the fault-tolerance approach. Low-cost approaches which leverage features of existing commercial microcontrollers are analyzed. A high-speed serial bus is used for voting among redundant devices and a novel wire-OR output voting scheme exploits the bidirectional controls of I/O pins. A hardware testbed and prototype software were constructed to evaluate two- and three-processor configurations. Simulated Single-Event Upsets (SEUs) were injected at high rates and the response of the system monitored. The resulting statistics were used to evaluate

  13. Development of a silicon calorimeter for dosimetry applications in a water-moderated reactor

    International Nuclear Information System (INIS)

    DePriest, Kendall Russell; King, Donald Bryan; Naranjo, Gerald E.; Luker, Spencer Michael; Keltner, Ned R.; Suo-Anttila, Ahti Jorma; Griffin, Patrick Joseph

    2005-01-01

    High fidelity active dosimetry in the mixed neutron/gamma field of a research reactor is a very complex issue. For passive dosimetry applications, the use of activation foils addresses the neutron environment while the use of low neutron response CaF 2 :Mn thermoluminescent dosimeters (TLDs) addresses the gamma environment. While radiation-hardened diamond photoconducting detectors (PCD) have been developed that provide a very precise fast response (picosecond) dosimeter and can provide a time-dependent profile for the radiation environment, the mixed field response of the PCD is still uncertain and this interferes with the calibration of the PCD response. In order to address the research reactor experimenter's need for a dosimeter that reports silicon dose and dose rate at a test location during a pulsed reactor operation, a silicon calorimeter has been developed. This dosimeter can be used by itself to provide a dose in rad(Si) up to a point in a reactor pulsed operation, or, in conjunction with the diamond PCD, to provide a dose rate. This paper reports on the development, testing, and validation of this silicon calorimeter for applications in water-moderated research reactors.

  14. DMILL circuits. The hardened electronics decuples its performances

    International Nuclear Information System (INIS)

    Anon.

    1998-01-01

    Thanks to the DMILL (mixed logic-linear hardening) technology under development at the CEA, MHS, a French company specialized in the fabrication of integrated circuits now produces hardened electronic circuits ten times more resistant to radiations than its competitors. Outside the initial market (several thousands of circuits for the LHC particle accelerator of Geneva), a broad choice of applications is opened to this technology: national defense, space, civil nuclear and medical engineering, and high temperature applications. Short paper. (J.S.)

  15. Photoluminescence in large fluence radiation irradiated space silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Hisamatsu, Tadashi; Kawasaki, Osamu; Matsuda, Sumio [National Space Development Agency of Japan, Tsukuba, Ibaraki (Japan). Tsukuba Space Center; Tsukamoto, Kazuyoshi

    1997-03-01

    Photoluminescence spectroscopy measurements were carried out for silicon 50{mu}m BSFR space solar cells irradiated with 1MeV electrons with a fluence exceeding 1 x 10{sup 16} e/cm{sup 2} and 10MeV protons with a fluence exceeding 1 x 10{sup 13} p/cm{sup 2}. The results were compared with the previous result performed in a relative low fluence region, and the radiation-induced defects which cause anomalous degradation of the cell performance in such large fluence regions were discussed. As far as we know, this is the first report which presents the PL measurement results at 4.2K of the large fluence radiation irradiated silicon solar cells. (author)

  16. Evaluation of the Leon3 soft-core processor within a Xilinx radiation-hardened field-programmable gate array.

    Energy Technology Data Exchange (ETDEWEB)

    Learn, Mark Walter

    2012-01-01

    The purpose of this document is to summarize the work done to evaluate the performance of the Leon3 soft-core processor in a radiation environment while instantiated in a radiation-hardened static random-access memory based field-programmable gate array. This evaluation will look at the differences between two soft-core processors: the open-source Leon3 core and the fault-tolerant Leon3 core. Radiation testing of these two cores was conducted at the Texas A&M University Cyclotron facility and Lawrence Berkeley National Laboratory. The results of these tests are included within the report along with designs intended to improve the mitigation of the open-source Leon3. The test setup used for evaluating both versions of the Leon3 is also included within this document.

  17. Radiation- stimulated adsorption of n-hexane on the surface of silicon

    International Nuclear Information System (INIS)

    Hajiyeva, N.N.

    2014-01-01

    Full text : This paper presents the results of studies of radiation-stimulated adsorption of n-hexane on a silicon surface, obtained by infrared reflection-absorption spectroscopy method. It has been used a monocrystal silicon plate with high reflectance coefficient of the surface. Irradiation of the samples was carried out on gamma-quantum source of 60Co

  18. [Effects of silicon supply on rice growth and methane emission from paddy soil under elevated UV-B radiation].

    Science.gov (United States)

    Meng, Yan; Lou, Yun-sheng; Wu, Lei; Cui, He-yang; Wang, Wei-qing

    2015-01-01

    A pot experiment was conducted to investigate the effects of silicon supply on rice growth and methane (CH4) emission in paddy field under elevated UV-B radiation. The experiment was designed with two UV-B radiation levels, i.e. ambient UV-B (ambient, A) and elevated UV-B radiation (elevated by 20%, E) ; with four silicon supply levels, i.e., Si0 (control, without silicon), Si2 (as sodium silicate, 100 kg SiO2 . hm-2), Si2 (as sodium silicate, 200 kg SiO2 hm-2) and Si3 (as slag fertilizer, 200 kg SiO2 . hm-2). The results indicated that, silicon supply obviously alleviated the depressive effect of elevated UV-B radiation on rice growth, and increased the tiller numbers, chlorophyll content, and shoot and root dry masses. Silicon supply promoted rice growth, which increased with the silicon supply level (sodium silicate). Slag fertilizer was better than*sodium silicate in promoting rice growth. CH4 flux and accumulated CH4emission were obviously increased by elevated UV-B radiation, but significantly decreased by silicon application. CH4 emission was reduced with increasing the silicon supply level. Under the same silicon supply level, slag fertilizer was better than sodium silicate in inhibiting CH4 flux and accumulated CH4 emission. This research suggested that fertilizing slag in rice production was helpful not only in utilizing industrial wastes, but also in significantly mitigating CH4 emissions in rice paddy under elevated UV-B radiation.

  19. Radiation monitoring and beam dump system of the OPAL silicon microvertex detector

    CERN Document Server

    Braibant, S

    1997-01-01

    The OPAL microvertex silicon detector radiation monitoring and beam dump system is described. This system was designed and implemented in order to measure the radiation dose received at every beam crossing and to induce a fast beam dump if the radiation dose exceeds a given threshold.

  20. Method for the preparation of n-i-p type radiation detector from silicon

    International Nuclear Information System (INIS)

    Keleti, J.; Toeroek, T.; Lukacs, J.; Molnar, I.

    1978-01-01

    The patent describes a procedure for the preparation of n-i-p type silicon radiation detectors. The aim was to provide an adaquate procedure for the production of α, β, γ-detectors from silicon available on the market, either p-type single crystal silicon characterised by its boron level. The procedure and the 9 claims are illustrated by two examples. (Sz.J.)

  1. Peculiarities of electronic structure of silicon-on-insulator structures and their interaction with synchrotron radiation

    Directory of Open Access Journals (Sweden)

    Vladimir A. Terekhov

    2015-09-01

    Full Text Available SOI (silicon-on-insulator structures with strained and unstrained silicon layers were studied by ultrasoft X-ray emission spectroscopy and X-ray absorption near edge structure spectroscopy with the use of synchrotron radiation techniques. Analysis of X-ray data has shown a noticeable transformation of the electron energy spectrum and local partial density of states distribution in valence and conduction bands in the strained silicon layer of the SOI structure. USXES Si L2,3 spectra analysis revealed a decrease of the distance between the L2v′ и L1v points in the valence band of the strained silicon layer as well as a shift of the first two maxima of the XANES first derivation spectra to the higher energies with respect to conduction band bottom Ec. At the same time the X-ray standing waves of synchrotron radiation (λ~12–20 nm are formed in the silicon-on-insulator structure with and without strains of the silicon layer. Moreover changing the synchrotron radiation grazing angle θ by 2° leads to a change of the electromagnetic field phase to the opposite.

  2. The effect of radiation intensity on diode characteristics of silicon solar cells

    International Nuclear Information System (INIS)

    Asgerov, Sh.Q; Agayev, M.N; Hasanov, M.H; Pashayev, I.G

    2008-01-01

    In order to explore electro-physical properties of silicon solar cells, diode characteristics and ohmic properties of Al - Ni / (n+) - Si contact has been studied. Diode characteristics have been studied on a wide temperature range and on various radiation intensity, so this gives us the ability to observe the effect of the radiation and the temperature on electro-physical properties of under study solar cells. Volt-Ampere characteristics of the ohmic contacts of the silicon solar cells have been presented. As well as contact resistance and mechanism of current transmission has been identified.

  3. Radiation effects in the infrared absorption and the silicon structure

    International Nuclear Information System (INIS)

    Groza, A.A.; Litovchenko, P.G.; Starchik, M.Yi.

    2006-01-01

    The results of the long-term studies of the silicon irradiated by the high-energy particles are systemised. Using of the electrons, protons, reactor neutrons for irradiation and the wide range of the fluence irradiation have given the possibility to the authors to obtain the information on the character of the formed damages in the lattice of the silicon, to compare the effectiveness of the different damage input depending on the irradiation type, to obtain the information on the radiation damage reconstruction, their impact to the oxygen impurity behaviour, which influences substantially as the silicon properties, as the devices characteristics to be developed on its base

  4. Precipitation hardenable iron-nickel-chromium alloy having good swelling resistance and low neutron absorbence

    International Nuclear Information System (INIS)

    Korenko, M.K.; Merrick, H.F.; Gibson, R.C.

    1982-01-01

    An iron-nickel-chromium age-hardenable alloy suitable for use in fast breeder reactor ducts and cladding utilizes the gamma-double prime strengthening phase and has a morphology of the gamma-double prime phase enveloping the gamma-prime phase and delta phase distributed at or near the grain boundaries. The alloy consists essentially of about 40-50 percent nickel, 7.5-14 percent chromium, 1.5-4 percent niobium, .25-.75 percent silicon, 1-3 percent titanium, .1-.5 percent aluminum, .02-1 percent carbon, .002-.015 percent boron, and the balance iron. Up to 2 percent manganese and up to .01 percent magnesium may be added to inhibit trace element effects; up to .1 percent zirconium may be added to increase radiation swelling resistance; and up to 3 percent molybdenum may be added to increase strength

  5. Formulation comprising silicon microparticles, as a pigment that can absorb visible UV radiation and reflect ir radiation

    OpenAIRE

    Rodríguez, Marie-Isabelle; Fenollosa Esteve, Roberto; Meseguer, Francisco

    2011-01-01

    [EN] The invention relates to a formulation characterised in that it comprises silicon microparticles having a size between 0.010 um and 50 um in diameter, and to the use thereof as a pigment that can absorb visible UV radiation and reflect IR radiation.

  6. Radiation Hardened Structured ASIC Platform for Rapid Chip Development for Very High Speed System on a Chip (SoC) and Complex Digital Logic Systems, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Radiation Hardened Application Specific Integrated Circuits (ASICs) provide for the highest performance, lowest power and size for Space Missions. In order to...

  7. Influence of the radiation type on properties of silicon doped by erbium

    International Nuclear Information System (INIS)

    Nazyrov, D.E.

    2006-01-01

    Full text: It is known that on effectiveness of formation and kinetics of annealing of radiation damages presence causing, uncontrollable electrical of fissile or inactive impurities, the concentration and position in a lattice of the semiconductor strongly influence. From this point of view, the impurities of group of rare earths elements (REE) represent major interest, since interacting with primary radiation imperfections they create electrical passive complexes such as 'impurity + defect', thus raising radiation stability of silicon. The purpose of sectional operation was the investigations of influence such as radiation exposures: in γ-quanta 60 Co and high-velocity electrons with an energy 3,5 MeV on properties of silicon doped REE-erbium. The doping of silicon REE was carried out during cultivation. The concentration REE in silicon, on sectional of a neutron-activation analysis was equaled 10 14 10 18 cm -3 . As control is model the monocrystalline silicon such as KEP-15 50 was investigation. The experimental outcomes are obtained through methods DLTS, IRC, and also at examination of a Hall effect and conductance is model, measuring of concentration optically active of centers of oxygen and carbon. In samples irradiated in the γ-quanta 60 Co in an interval of doses 10 16 -5·10 18 cm -2 and high-velocity electrons from 5·10 13 up to 10 18 el.·cm -2 the formation various DL in a forbidden region is revealed, which parameters are well-known A- and, E-centres etc. Depending on a radiation dose in an energy distribution of radiation imperfections in Si of essential concentration modifications is not observed. The comparison doses of associations detected DL in irradiated n-Si with similar associations in control samples shows, that a velocity of introduction of radiation imperfections (A- and E-centres) and imperfection with a deep level Ec-0,32 eV) in samples containing REE much lower, than in control samples. The lifetime of non-equilibrium charge carriers

  8. Monitoring radiation damage in the LHCb Silicon Tracker

    CERN Multimedia

    Graverini, Elena

    2018-01-01

    The purpose of LHCb is to search for indirect evidence of new physics in decays of heavy hadrons. The LHCb detector is a single-arm forward spectrometer with precise silicon-strip detectors in the regions with highest particle occupancies. The non-uniform exposure of the LHCb sensors makes it an ideal laboratory to study radiation damage effects in silicon detectors. The LHCb Silicon Tracker is composed of an upstream tracker, the TT, and of the inner part of the downstream tracker (IT). Dedicated scans are regularly taken, which allow a precise measurement of the charge collection efficiency (CCE) and the calibration of the operational voltages. The measured evolution of the effective depletion voltage $V_{depl}$ is shown, and compared with the Hamburg model prediction. The magnitudes of the sensor leakage current are also analysed and compared to their expected evolution according to phenomenological models. Our results prove that both the TT and the IT will withstand normal operation until the end of the L...

  9. Contribution to the study of ionizing radiation effects on bipolar technologies: application to the hardening of integrated circuits

    International Nuclear Information System (INIS)

    Briand, R.

    2001-01-01

    The use of analog integrated circuits in radiation environments raises the problem of their behaviour with respect to the different effects induced by particles and radiations. The first chapter of this thesis presents the origins of radiations and the different topologies of bipolar transistors. The effects of ionizing radiations on bipolar components, like cumulative dose, dose rates, and single events, are detailed in three distinct chapters with the same scientifical approach. The simulation of the physical degradation phenomena of the components allows to establish original electrical models coming from the understanding of the induced mechanisms. These models are used to evaluate the degradations occurring in linear analogic circuits. Common and original hardening methods are presented, some of which are applied to bipolar integrated circuit technologies. Finally, experimental laser beam test techniques are presented, which are used to reproduce the dose rate and the single events. (J.S.)

  10. Radiation hardness of silicon detectors - a challenge from high-energy physics

    CERN Document Server

    Lindström, G; Fretwurst, E

    1999-01-01

    An overview of the radiation-damage-induced problems connected with the application of silicon particle detectors in future high-energy physics experiments is given. Problems arising from the expected hadron fluences are summarized and the use of the nonionizing energy loss for normalization of bulk damage is explained. The present knowledge on the deterioration effects caused by irradiation is described leading to an appropriate modeling. Examples are given for a correlation between the change in the macroscopic performance parameters and effects to be seen on the microscopic level by defect analysis. Finally possible ways are out-lined for improving the radiation tolerance of silicon detectors either by operational conditions, process technology or defect engineering.

  11. Silicon Photo-Multiplier Radiation Hardness Tests with a White Neutron Beam

    International Nuclear Information System (INIS)

    Montanari, A.; Tosi, N.; Pietropaolo, A.; Andreotti, M.; Baldini, W.; Calabrese, R.; Cibinetto, G.; Luppi, E.; Cotta Ramusino, A.; Malaguti, R.; Santoro, V.; Tellarini, G.; Tomassetti, L.; De Donato, C.; Reali, E.

    2013-06-01

    We report radiation hardness tests performed, with a white neutron beam, at the Geel Electron Linear Accelerator in Belgium on silicon Photo-Multipliers. These are semiconductor photon detectors made of a square matrix of Geiger-Mode Avalanche photo-diodes on a silicon substrate. Several samples from different manufacturers have been irradiated integrating up to about 6.2 x 10 9 1-MeV-equivalent neutrons per cm 2 . (authors)

  12. Study of the mechanisms involved in the laser superficial hardening process of metallic alloys; Estudo dos mecanismos envolvidos no processo de endurecimento superficial a laser de ligas metalicas

    Energy Technology Data Exchange (ETDEWEB)

    Silva, Edmara Marques Rodrigues da

    2001-07-01

    The laser superficial hardening process of a ferrous alloy (gray cast iron) and of an aluminum-silicon alloy was investigated in this work. These metallic alloys are used in the automobile industry for manufacturing cylinders and pistons, respectively. By application of individual pulses and single tracks, the involved mechanisms during the processing were studied. Variables such as energy density, power density, temporal width, beam diameter on the sample surface, atmosphere of the processing region, overlapping and scanning velocity. The hardened surface was characterized by optical and scanning electronic microscopy, dispersive energy microanalysis, X-ray mapping, X-ray diffraction, and measurements of roughness and Vickers microhardness. Depending on the processing parameters, it is possible to obtain different microstructures. The affected area of gray cast iron, can be hardened by remelting or transformation hardening (total or partial) if the reached temperature is higher or not that of melting temperature. Laser treatment originated new structures such as retained austenite, martensite and, occasionally, eutectic of cellular dendritic structure. Aluminum-silicon alloy does not have phase transformation in solid state, it can be hardened only by remelting. The increase of hardness is a function of the precipitation hardening process, which makes the silicon particles smaller and more disperse in the matrix. Maximal values of microhardness (700-1000 HV) were reached with the laser treatment in gray cast iron samples. The initial microhardness is of 242 HV. For aluminum-silicon alloy, the laser remelting increases the initial microhardness of 128 HV to the range of 160-320 HV. The found results give a new perspective for using the CLA/IPEN's laser in the heat treatment area. Besides providing a higher absorptivity to the materials, compared with the CO{sub 2} laser, and optical fiber access, the superficial hardening with Nd:YAG laser, depending on the

  13. Radiation hard silicon sensors for the CMS tracker upgrade

    CERN Document Server

    Pohlsen, Thomas

    2013-01-01

    At an instantaneous luminosity of $5 \\times 10^{34}$ cm$^{-2}$ s$^{-1}$, the high-luminosity phase of the Large Hadron Collider (HL-LHC) is expected to deliver a total of $3\\,000$ fb$^{-1}$ of collisions, hereby increasing the discovery potential of the LHC experiments significantly. However, the radiation dose of the tracking systems will be severe, requiring new radiation hard sensors for the CMS tracker. The CMS tracker collaboration has initiated a large material investigation and irradiation campaign to identify the silicon material and design that fulfils all requirements for detectors for the HL-LHC. Focussing on the upgrade of the outer tracker region, pad sensors as well as fully functional strip sensors have been implemented on silicon wafers with different material properties and thicknesses. The samples were irradiated with a mixture of neutrons and protons corresponding to fluences as expected for the positions of detector layers in the future tracker. Different proton energies were used for irr...

  14. A brief review of cavity swelling and hardening in irradiated copper and copper alloys

    International Nuclear Information System (INIS)

    Zinkle, S.J.

    1990-01-01

    The literature on radiation-induced swelling and hardening in copper and its alloy is reviewed. Void formation does not occur during irradiation of copper unless suitable impurity atoms such as oxygen or helium are present. Void formation occurs for neutron irradiation temperatures of 180 to 550 degree C, with peak swelling occurring at ∼320 degree C for irradiation at a damage rate of 2 x 10 -7 dpa/s. The post-transient swelling rate has been measured to be ∼0.5%/dpa at temperatures near 400 degree C. Dispersion-strengthened copper has been found to be very resistant to void swelling due to the high sink density associated with the dispersion-stabilized dislocation structure. Irradiation of copper at temperatures below 400 degree C generally causes an increase in strength due to the formation of defect clusters which inhibit dislocation motion. The radiation hardening can be adequately described by Seeger's dispersed barrier model, with a barrier strength for small defect clusters of α ∼ 0.2. The radiation hardening apparently saturates for fluences greater than ∼10 24 n/m 2 during irradiation at room temperature due to a saturation of the defect cluster density. Grain boundaries can modify the hardening behavior by blocking the transmission of dislocation slip bands, leading to a radiation- modified Hall-Petch relation between yield strength and grain size. Radiation-enhanced recrystallization can lead to softening of cold-worked copper alloys at temperatures above 300 degree C

  15. Multiple regression analysis of Jominy hardenability data for boron treated steels

    International Nuclear Information System (INIS)

    Komenda, J.; Sandstroem, R.; Tukiainen, M.

    1997-01-01

    The relations between chemical composition and their hardenability of boron treated steels have been investigated using a multiple regression analysis method. A linear model of regression was chosen. The free boron content that is effective for the hardenability was calculated using a model proposed by Jansson. The regression analysis for 1261 steel heats provided equations that were statistically significant at the 95% level. All heats met the specification according to the nordic countries producers classification. The variation in chemical composition explained typically 80 to 90% of the variation in the hardenability. In the regression analysis elements which did not significantly contribute to the calculated hardness according to the F test were eliminated. Carbon, silicon, manganese, phosphorus and chromium were of importance at all Jominy distances, nickel, vanadium, boron and nitrogen at distances above 6 mm. After the regression analysis it was demonstrated that very few outliers were present in the data set, i.e. data points outside four times the standard deviation. The model has successfully been used in industrial practice replacing some of the necessary Jominy tests. (orig.)

  16. Radiometric assessment of quality of concrete mix with respect to hardened concrete strength

    International Nuclear Information System (INIS)

    Czechowski, J.

    1983-01-01

    The experiments have confirmed the relationship between the intensity of backscattered gamma radiation and the density of fresh concrete, and also between the flow of backscattered fast neutrons and the water content. From the said two parameters it is possible to derive the compression strength of concrete over the determined period of mix hardening, e.g., after 28 days. For a certain composition of concrete it is possible to derive empirical relations between the intensity of backscattered gamma radiation and neutrons and concrete strength after hardening and to construct suitable nomograms. (Ha)

  17. A Demonstrator Analog Signal Processing Circuit in a Radiation Hard SOI-CMOS Technology

    CERN Multimedia

    2002-01-01

    % RD-9 A Demonstrator Analog Signal Processing Circuit in a Radiation Hard SOI-CMOS Technology \\\\ \\\\Radiation hardened SOI-CMOS (Silicon-On-Insulator, Complementary Metal-Oxide- \\linebreak Semiconductor planar microelectronic circuit technology) was a likely candidate technology for mixed analog-digital signal processing electronics in experiments at the future high luminosity hadron colliders. We have studied the analog characteristics of circuit designs realized in the Thomson TCS radiation hard technologies HSOI3-HD. The feature size of this technology was 1.2 $\\mu$m. We have irradiated several devices up to 25~Mrad and 3.10$^{14}$ neutrons cm$^{-2}$. Gain, noise characteristics and speed have been measured. Irradiation introduces a degradation which in the interesting bandwidth of 0.01~MHz~-~1~MHz is less than 40\\%. \\\\ \\\\Some specific SOI phenomena have been studied in detail, like the influence on the noise spectrum of series resistence in the thin silicon film that constitutes the body of the transistor...

  18. Electron bombardment cross-linking of coating materials. Pt.2. Analysis of patent literature on formulating radiation-hardenable binders

    International Nuclear Information System (INIS)

    Mileo, J.-C.

    1976-01-01

    The process of drying paints and varnishes by electron irradiation is analyzed from the chemical standpoint. A review is made of the different methods of producing radiation hardenable resins that have resulted in abundant patent literature. These resins are classified according to the nature of the reactive unsaturations they contain: unsaturations of the maleic ester type; simple (meth)acrylic esters and amides; β-hydroxyl (meth)acrylic esters, their (un)saturated esters and other derivatives; siloxanes; maleimides; allylic unsaturations; saturated resins [fr

  19. \\title{Development of Radiation Damage Models for Irradiated Silicon Sensors Using TCAD Tools}

    CERN Document Server

    Bhardwaj, Ashutosh; Lalwani, Kavita; Ranjan, Kirti; Printz, Martin; Ranjeet, Ranjeet; Eber, Robert; Eichhorn, Thomas; Peltola, Timo Hannu Tapani

    2014-01-01

    Abstract. During the high luminosity upgrade of the LHC (HL-LHC) the CMS tracking system will face a more intense radiation environment than the present system was designed for. In order to design radiation tolerant silicon sensors for the future CMS tracker upgrade it is fundamental to complement the measurement with device simulation. This will help in both the understanding of the device performance and in the optimization of the design parameters. One of the important ingredients of the device simulation is to develop a radiation damage model incorporating both bulk and surface damage. In this paper we will discuss the development of a radiation damage model by using commercial TCAD packages (Silvaco and Synopsys), which successfully reproduce the recent measurements like leakage current, depletion voltage, interstrip capacitance and interstrip resistance, and provides an insight into the performance of irradiated silicon strip sensors.

  20. Production of a nuclear radiation resistant and mechanically tough electrically insulating material

    International Nuclear Information System (INIS)

    Brechna, H.

    1975-01-01

    According to the invention, an electrically insulating material of high mechanical strength and resistance to nuclear radiation may be made of a hardenable plastic material coated on an inorganic supporting tissue. The synthetic resin serving as binder - duroplasts, e.g. epoxide resins, polyester resins or silicon resins - is heated, mixed with a catalyst, a wetting agent and a filler (and, if required, with 0.5-1.5 weight % thixotropic material) and coated, under reduced pressure (o.4 to 0.6 mm Hg), on the supporting tissue whose surface is cleaned before this by heating. It is then hardened. Hardening may also take place directly on the electric conductor to be insulated. One obtains a bubble-free wire coating. The inorganic supporting material is glas fibre tissue, also in combination with mica, while Al 2 O 3 , zirconium, zirconia, magnesium oxide, mica and silica (grain size 10-20 μ). The invention is illustrated by a number of examples. (UWI) [de

  1. Radiation emission phenomena in bent silicon crystals: Theoretical and experimental studies with 120 GeV/c positrons

    International Nuclear Information System (INIS)

    Lietti, D.; Bagli, E.; Baricordi, S.; Berra, A.; Bolognini, D.; Chirkov, P.N.; Dalpiaz, P.; Della Mea, G.; De Salvador, D.; Hasan, S.; Guidi, V.; Maisheev, V.A.

    2012-01-01

    The radiation emission phenomena in bent silicon crystals have been thoroughly investigated at the CERN SPS-H4 beamline. The incoming and outgoing trajectories of charged particles impinging on a silicon strip crystal have been reconstructed by high precision silicon microstrip detectors. A spectrometer method has been exploited to measure the radiation emission spectra both in volume reflection and in channeling. The theoretical method used to evaluate the photon spectra is presented and compared with the experimental results.

  2. A radiation detector fabricated from silicon photodiode.

    Science.gov (United States)

    Yamamoto, H; Hatakeyama, S; Norimura, T; Tsuchiya, T

    1984-12-01

    A silicon photodiode is converted to a low energy charged particle radiation detector. The window thickness of the fabricated detector is evaluated to be 50 micrograms/cm2. The area of the depletion region is 13.2 mm2 and the depth of it is estimated to be about 100 microns. The energy resolution (FWHM) is 14.5 ke V for alpha-particles from 241Am and 2.5 ke V for conversion electrons from 109Cd, respectively.

  3. The secondary hardening phenomenon in strain-hardened MP35N alloy

    International Nuclear Information System (INIS)

    Asgari, S.; El-Danaf, E.; Shaji, E.; Kalidindi, S.R.; Doherty, R.D.

    1998-01-01

    Mechanical testing and microscopy techniques were used to investigate the influence of aging on the structure and strengthening of MP35N alloy. It was confirmed that aging the deformed material at 600 C for 4 h provided additional strengthening, here referred to as secondary hardening, in addition to the primary strain hardening. The secondary hardening phenomenon was shown to be distinctly different from typical age hardening processes in that it only occurred in material deformed beyond a certain cold work level. At moderate strains, aging caused a shift in the entire stress-strain curve of the annealed material to higher stresses while at high strains, it produced shear localization and limited work softening. The secondary hardening increment was also found to be grain size dependent. The magnitude of the secondary hardening appeared to be controlled by the flow stress in the strain hardened material. A model is proposed to explain the observations and is supported by direct experimental evidence. The model is based on formation of h.c.p. nuclei through the Suzuki mechanism, that is segregation of solute atoms to stacking faults, on aging the strain hardened material. The h.c.p. precipitates appear to thicken only in the presence of high dislocation density produced by prior cold work

  4. Effects of initial microstructure and helium production on radiation hardening in F82H Steels

    Energy Technology Data Exchange (ETDEWEB)

    Okubo, N.; Wakai, E.; Takada, F.; Jitsukawa, S. [Japan Atomic Energy Agency, Naga-gun, Ibaraki-ken (Japan); Katoh, Y. [Oak Ridge Noational Laboratory, TN (United States)

    2007-07-01

    Full text of publication follows: Fission neutron irradiation to steels doped with isotope boron-10 is frequently conducted to study effects of the helium production on mechanical properties. The intrinsic mechanical properties of F82H steels could have been changed due to the boron doping. Recently, we reported that co-doping with boron and nitrogen to F82H (F82H+B+N) improved the mechanical properties of F82H doped only with boron. The mechanical properties of F82H+B+N are successfully comparable with the non-doped F82H before irradiation. In order to evaluate the effects of initial microstructure and helium production on radiation hardening, F82H and F82H+B+N were irradiate d Specimens used in this study were standard F82H martensitic steels, F82H steels doped with 60 mass ppm {sup 10}B and 200 ppm N (F82H+10B+N) and F82H steels doped with 60 mass ppm {sup 11}B and 200 ppm N (F82H+11B+N). Initial microstructures were changed by tempering conditions, and the tempering temperatures were at 700, 750 and 780 deg. C. Irradiation was performed at nominally 250 deg. C to 2 dpa in JMTR. Tensile properties were measured for the specimens before and after irradiation. Change of yield stress due to the irradiation in the F82H+11B+N steels depended strongly on the initial microstructure and hardness before irradiation. The radiation hardening due to helium production in the F82H+10B+N steels was less than 60 MPa in these experiments. Size of dimple in the fracture surface of specimen with helium production was larger than that with non-helium production. (authors)

  5. Influence of radiation induced defect clusters on silicon particle detectors

    International Nuclear Information System (INIS)

    Junkes, Alexandra

    2011-10-01

    The Large Hadron Collider (LHC) at the European Organization for Nuclear Research (CERN) addresses some of today's most fundamental questions of particle physics, like the existence of the Higgs boson and supersymmetry. Two large general-purpose experiments (ATLAS, CMS) are installed to detect the products of high energy protonproton and nucleon-nucleon collisions. Silicon detectors are largely employed in the innermost region, the tracking area of the experiments. The proven technology and large scale availability make them the favorite choice. Within the framework of the LHC upgrade to the high-luminosity LHC, the luminosity will be increased to L=10 35 cm -2 s -1 . In particular the pixel sensors in the innermost layers of the silicon trackers will be exposed to an extremely intense radiation field of mainly hadronic particles with fluences of up to Φ eq =10 16 cm -2 . The radiation induced bulk damage in silicon sensors will lead to a severe degradation of the performance during their operational time. This work focusses on the improvement of the radiation tolerance of silicon materials (Float Zone, Magnetic Czochralski, epitaxial silicon) based on the evaluation of radiation induced defects in the silicon lattice using the Deep Level Transient Spectroscopy and the Thermally Stimulated Current methods. It reveals the outstanding role of extended defects (clusters) on the degradation of sensor properties after hadron irradiation in contrast to previous works that treated effects as caused by point defects. It has been found that two cluster related defects are responsible for the main generation of leakage current, the E5 defects with a level in the band gap at E C -0.460 eV and E205a at E C -0.395 eV where E C is the energy of the edge of the conduction band. The E5 defect can be assigned to the tri-vacancy (V 3 ) defect. Furthermore, isochronal annealing experiments have shown that the V 3 defect exhibits a bistability, as does the leakage current. In oxygen

  6. Influence of radiation induced defect clusters on silicon particle detectors

    Energy Technology Data Exchange (ETDEWEB)

    Junkes, Alexandra

    2011-10-15

    The Large Hadron Collider (LHC) at the European Organization for Nuclear Research (CERN) addresses some of today's most fundamental questions of particle physics, like the existence of the Higgs boson and supersymmetry. Two large general-purpose experiments (ATLAS, CMS) are installed to detect the products of high energy protonproton and nucleon-nucleon collisions. Silicon detectors are largely employed in the innermost region, the tracking area of the experiments. The proven technology and large scale availability make them the favorite choice. Within the framework of the LHC upgrade to the high-luminosity LHC, the luminosity will be increased to L=10{sup 35} cm{sup -2}s{sup -1}. In particular the pixel sensors in the innermost layers of the silicon trackers will be exposed to an extremely intense radiation field of mainly hadronic particles with fluences of up to {phi}{sub eq}=10{sup 16} cm{sup -2}. The radiation induced bulk damage in silicon sensors will lead to a severe degradation of the performance during their operational time. This work focusses on the improvement of the radiation tolerance of silicon materials (Float Zone, Magnetic Czochralski, epitaxial silicon) based on the evaluation of radiation induced defects in the silicon lattice using the Deep Level Transient Spectroscopy and the Thermally Stimulated Current methods. It reveals the outstanding role of extended defects (clusters) on the degradation of sensor properties after hadron irradiation in contrast to previous works that treated effects as caused by point defects. It has been found that two cluster related defects are responsible for the main generation of leakage current, the E5 defects with a level in the band gap at E{sub C}-0.460 eV and E205a at E{sub C}-0.395 eV where E{sub C} is the energy of the edge of the conduction band. The E5 defect can be assigned to the tri-vacancy (V{sub 3}) defect. Furthermore, isochronal annealing experiments have shown that the V{sub 3} defect

  7. Simultaneous surface engineering and bulk hardening of precipitation hardening stainless steel

    DEFF Research Database (Denmark)

    Frandsen, Rasmus Berg; Christiansen, Thomas; Somers, Marcel A. J.

    2006-01-01

    This article addresses simultaneous bulk precipitation hardening and low temperature surface engineering of two commercial precipitation hardening stainless steels: Sandvik Nanoflex® and Uddeholm Corrax®. Surface engineering comprised gaseous nitriding or gaseous carburising. Microstructural....... The duration and temperature of the nitriding/carburising surface hardening treatment can be chosen in agreement with the thermal treatment for obtaining optimal bulk hardness in the precipitation hardening stainless steel....... characterisation of the cases developed included X-ray diffraction analysis, reflected light microscopy and micro-hardness testing. It was found that the incorporation of nitrogen or carbon resulted in a hardened case consisting of a combination of (tetragonal) martensite and expanded (cubic) austenite...

  8. Hardening and microstructural evolution of A533b steels irradiated with Fe ions and electrons

    Energy Technology Data Exchange (ETDEWEB)

    Watanabe, H., E-mail: watanabe@riam.kyushu-u.ac.jp [Research Institute for Applied Mechanics, Kyushu University, 6-1, Kasuga-kouenn, Kasugashi, Fukuoka, 816-8580 (Japan); Arase, S. [Interdisciplinary Graduate School of Kyushu University, 6-1, Kasuga-kouenn, Kasugashi, Fukuoka, 816-8580 (Japan); Yamamoto, T.; Wells, P. [Dept. Chemical Engineering, UCSB Engineering II, RM3357, Santa Barbara, CA, 93106-5080 (United States); Onishi, T. [Interdisciplinary Graduate School of Kyushu University, 6-1, Kasuga-kouenn, Kasugashi, Fukuoka, 816-8580 (Japan); Odette, G.R. [Dept. Chemical Engineering, UCSB Engineering II, RM3357, Santa Barbara, CA, 93106-5080 (United States)

    2016-04-01

    Radiation hardening and embrittlement of A533B steels is heavily dependent on the Cu content. In this study, to investigate the effect of copper on the microstructural evolution of these materials, A533B steels with different Cu levels were irradiated with 2.4 MeV Fe ions and 1.0 MeV electrons. Ion irradiation was performed from room temperature (RT) to 350 °C with doses up to 1 dpa. At RT and 290 °C, low dose (<0.1 dpa) hardening trend corresponded with ΔH ∝ (dpa){sup n}, with n initially approximately 0.5 and consistent with a barrier hardening mechanism, but saturating at ≈0.1 dpa. At higher dose levels, the radiation-induced hardening exhibited a strong Cu content dependence at 290 °C, but not at 350 °C. Electron irradiation using high-voltage electron microscopy revealed the growth of interstitial-type dislocation loops and enrichment of Ni, Mn, and Si in the vicinities of pre-existing dislocations at doses for which the radiation-induced hardness due to ion irradiation was prominent.

  9. Epitaxy - a new technology for fabrication of advanced silicon radiation detectors

    International Nuclear Information System (INIS)

    Kemmer, J.; Wiest, F.; Pahlke, A.; Boslau, O.; Goldstrass, P.; Eggert, T.; Schindler, M.; Eisele, I.

    2005-01-01

    Twenty five years after the introduction of the planar process to the fabrication of silicon radiation detectors a new technology, which replaces the ion implantation doping by silicon epitaxy is presented. The power of this new technique is demonstrated by fabrication of silicon drift detectors (SDDs), whereby both the n-type and p-type implants are replaced by n-type and p-type epi-layers. The very first SDDs ever produced with this technique show energy resolutions of 150 eV for 55 Fe at -35 deg C. The area of the detectors is 10 mm 2 and the thickness 300 μm. The high potential of epitaxy for future detectors with integrated complex electronics is described

  10. A hardenability test proposal

    Energy Technology Data Exchange (ETDEWEB)

    Murthy, N.V.S.N. [Ingersoll-Rand (I) Ltd., Bangalore (India)

    1996-12-31

    A new approach for hardenability evaluation and its application to heat treatable steels will be discussed. This will include an overview and deficiencies of the current methods and discussion on the necessity for a new approach. Hardenability terminology will be expanded to avoid ambiguity and over-simplification as encountered with the current system. A new hardenability definition is proposed. Hardenability specification methods are simplified and rationalized. The new hardenability evaluation system proposed here utilizes a test specimen with varying diameter as an alternative to the cylindrical Jominy hardenability test specimen and is readily applicable to the evaluation of a wide variety of steels with different cross-section sizes.

  11. Degradation of the photoluminescence of porous silicon caused by 60Co γ radiation

    International Nuclear Information System (INIS)

    Astrova, E.V.; Emtsev, V.V.; Lebedev, A.A.

    1995-01-01

    Two series of experiments were carried out. In the first, as-grown porous silicon was bombarded with 60 Co γ radiation to a dose ∼ 10 20 cm -2 . The photoluminescence intensity fell off by a factor ∼ 50 as a result, although the peak of the band underwent essentially no shift. In the second series, single-crystal silicon was bombarded to the same dose, and then porous silicon was fabricated on it. The intensity and spectra of these samples were the same as usual. Possible degradation mechanisms are discussed. 12 refs., 2 figs

  12. Comparison of Thermal Creep Strain Calculation Results Using Time Hardening and Strain Hardening Rules

    International Nuclear Information System (INIS)

    Kim, Junehyung; Cheon, Jinsik; Lee, Byoungoon; Lee, Chanbock

    2014-01-01

    One of the design criteria for the fuel rod in PGSFR is the thermal creep strain of the cladding, because the cladding is exposed to a high temperature for a long time during reactor operation period. In general, there are two kind of calculation scheme for thermal creep strain: time hardening and strain hardening rules. In this work, thermal creep strain calculation results for HT9 cladding by using time hardening and strain hardening rules are compared by employing KAERI's current metallic fuel performance analysis code, MACSIS. Also, thermal creep strain calculation results by using ANL's metallic fuel performance analysis code, LIFE-METAL which adopts strain hardening rule are compared with those by using MACSIS. Thermal creep strain calculation results for HT9 cladding by using time hardening and strain hardening rules were compared by employing KAERI's current metallic fuel performance analysis code, MACSIS. Also, thermal creep strain calculation results by using ANL's metallic fuel performance analysis code, LIFE-METAL which adopts strain hardening rule were compared with those by using MACSIS. Tertiary creep started earlier in time hardening rule than in strain hardening rule. Also, calculation results by MACSIS with strain hardening and those obtained by using LIFE-METAL were almost identical to each other

  13. CMOS/SOS 4k Rams hardened to 100 Krads (s:)

    International Nuclear Information System (INIS)

    Napoli, L.S.; Heagerty, W.F.; Smeltzer, R.K.; Yeh, J.L.

    1982-01-01

    Two CMOS/SOS 4K memories were fabricated with a recently developed, hardened SOS process. Memory functionality after radiation doses well in excess of 100 Krads(Si) was demonstrated. The critical device processing steps were identified. The radiationinduced failure mode of the memories is understood in terms of the circuit organization and the radiation behavior of the individual transistors in the memories

  14. Capacity spectroscopy of minority-carrier radiation traps in n-type silicon

    International Nuclear Information System (INIS)

    Kuchinskij, P.V.; Lomako, V.M.; Shakhlevich, L.N.

    1987-01-01

    Minority charge-carrier radiation traps in n-silicon, produced by neutron transmutation doping (NTD) and zone melting method, were studied using unsteady capacity spectroscopy method. Studying the parameters of defects, formed in the lower half of the restricted zone, was performed using minority carrier injection by forward current pulses. Samples were p + -n-structures, produced on the basis of silicon with different oxygen content. It is shown, that a trap with activation energy ≅E v +0.34 eV appears to be the main defect in oxygen p-silicon. Investigation into thermal stability has shown, that centers with E v +0.34 eV and E v +0.27 eV activation energies are annealed within the same temperature interval (300-400 deg C)

  15. Silicon Carbide Power Devices and Integrated Circuits

    Science.gov (United States)

    Lauenstein, Jean-Marie; Casey, Megan; Samsel, Isaak; LaBel, Ken; Chen, Yuan; Ikpe, Stanley; Wilcox, Ted; Phan, Anthony; Kim, Hak; Topper, Alyson

    2017-01-01

    An overview of the NASA NEPP Program Silicon Carbide Power Device subtask is given, including the current task roadmap, partnerships, and future plans. Included are the Agency-wide efforts to promote development of single-event effect hardened SiC power devices for space applications.

  16. Effect of hardening on the crack growth rate of austenitic stainless steels in primary PWR conditions

    International Nuclear Information System (INIS)

    Castano, M.L.; Garcia, M.S.; Diego, G. de; Gomez-Briceno, D.; Francia, L.

    2002-01-01

    Intergranular cracking of non-sensitized materials, found in light water reactor (LWR) components exposed to neutron radiation, has been attributed to Irradiation Assisted Stress Corrosion Cracking (IASCC). Cracking of baffle former bolts, fabricated of AISI-316L and AISI-347, have been reported in some Europeans and US PWR plants. Examinations of removed bolts indicate the intergranular cracking characteristics can be associated with IASCC phenomena. Neutron radiation produce critical modifications of the microstructure and microchemical of stainless steels such hardening due to irradiation and Radiation Induce Segregation (RIS) at grain boundaries, among others. Chromium depletion at grain boundary due to RIS seems to justify the intergranular cracking of irradiated materials, both in plant and in lab tests, at high electrochemical corrosion potential (BWR-NWC environments), but it is not enough to explain cracking at low corrosion potential (BWR-HWC and PWR environments). In these latter conditions, hardening is considered a possible additional mechanism to explain the behavior of irradiated material. Radiation Hardening can be simulated in non irradiated material by mechanical deformation. Although some differences exists in the types of defects produced by radiation and mechanical deformation, it is accepted that the study of the stress corrosion behavior of unirradiated austenitic steels with different hardening levels would contribute to the understanding of IASCC mechanism. In order to evaluate the influence of hardening on the stress corrosion susceptibility of austenitic steels, crack growth rate tests with 316L and 347 stainless steels with nominal yield strengths from 500 to 900 MPa, produced by cold work are being carried out at 340 deg C in PWR conditions. Preliminary results indicate that crack propagation was obtained in the 316Lss and 347ss cold worked, even with a yield strength of 550 MPa. (authors)

  17. Tailoring Thermal Radiative Properties with Doped-Silicon Nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Zhuomin [Georgia Inst. of Technology, Atlanta, GA (United States)

    2017-08-28

    Aligned doped-silicon nanowire (D-SiNW) arrays form a hyperbolic metamaterial in the mid-infrared and have unique thermal radiative properties, such as broadband omnidirectional absorption, low-loss negative refraction, etc. A combined theoretical and experimental investigation will be performed to characterize D-SiNW arrays and other metamaterials for tailoring thermal radiative properties. Near-field thermal radiation between anisotropic materials with hyperbolic dispersions will also be predicted for potential application in energy harvesting. A new kind of anisotropic metamaterial with a hyperbolic dispersion in a broad infrared region has been proposed and demonstrated based on aligned doped-silicon nanowire (D-SiNW) arrays. D-SiNW-based metamaterials have unique thermal radiative properties, such as broadband omnidirectional absorption whose width and location can be tuned by varying the filling ratio and/or doping level. Furthermore, high figure of merit (FOM) can be achieved in a wide spectral region, suggesting that D-SiNW arrays may be used as a negative refraction material with much less loss than other structured materials, such as layered semiconductor materials. We have also shown that D-SiNWs and other nanostructures can significantly enhance near-field thermal radiation. The study of near-field radiative heat transfer between closely spaced objects and the electromagnetic wave interactions with micro/nanostructured materials has become an emerging multidisciplinary field due to its importance in advanced energy systems, manufacturing, local thermal management, and high spatial resolution thermal sensing and mapping. We have performed extensive study on the energy streamlines involving anisotropic metamaterials and the applicability of the effective medium theory for near-field thermal radiation. Graphene as a 2D material has attracted great attention in nanoelectronics, plasmonics, and energy harvesting. We have shown that graphene can be used to

  18. Orientation acoustic radiation of electrons in silicon thick crystal

    International Nuclear Information System (INIS)

    Alejnik, A.N.; Afanas'ev, S.G.; Vorob'ev, S.A.; Zabaev, V.N.; Il'in, S.I.; Kalinin, B.N.; Potylitsyn, A.P.

    1989-01-01

    Results of measuring orientation acoustic radiation of 900 and 500 MeV electrons during their movement along crystallographic axis in thick silicon crystal (h=20 mm thickness) are presented for the first time. Analysis of obtained results shows that dynamic mechanism describes rather completely the main regularities of orientation dependence of the amplitude of acoustic signal occuring under electron motion near crystallographic axis of the crystal. Phenomena of orientation acoustic radiation can be also used for investigation of solid bodies. Orientation both of thin and rather thick monocrystals can be conducted on the basis of dynamic mechanism of elastic wave excitation in crystals

  19. Radiation effects on breakdown in silicon multiguarded diodes

    International Nuclear Information System (INIS)

    Bisello, D.; Da Rold, M.; Franzin, L.; Wheadon, R.

    1996-01-01

    The authors have investigated the current-voltage characteristics of silicon PIN diodes with a number of different multiguard structures. These structures were designed to increase the overall device breakdown voltage. The same measurements were carried out after gamma irradiation at different doses and neutron irradiation at fluences beyond type-inversion. This study is a first step towards defining guard structures optimized for operation in high-radiation environments such as those expected at the LHC

  20. Effect of yield strength on stress corrosion crack propagation under PWR and BWR environments of hardened stainless steels

    Energy Technology Data Exchange (ETDEWEB)

    Castano, M.L.; Garcia, M.S.; Diego, G. de; Gomez-Briceno, D. [CIEMAT, Nuclear Fission Department, Structural Materials Program, Avda. Complutense 22, 28040 Madrid (Spain)

    2004-07-01

    Core components of light water reactor (LWR), mainly made of austenitic stainless steels (SS), subjected to stress and exposed to relatively high fast neutron flux may suffer a cracking process termed as Irradiation Assisted Stress Corrosion Cracking (IASCC). Neutron radiation leads to critical modifications in material characteristics, which can modify their stress corrosion cracking (SCC) response. Current knowledge highlights three fundamental factors, induced by radiation, as primary contributors to IASCC of core materials: Radiation Induced Segregation (RIS) at grain boundaries, Radiation Hardening and Radiolysis. Most of the existing literature on IASCC is focussed on the influence of RIS, mainly chromium depletion, which can promote IASCC in oxidizing environments, such a Boiling Water Reactor (BWR) under normal water chemistry. However, in non-oxidizing environments, such as primary water of Pressurized Water Reactor (PWR) or BWR hydrogen water chemistry, the role played by chromium depletion at grain boundary on IASCC behaviour of highly irradiated material is irrelevant. One important issue with limited study is the effect of radiation induced hardening. The role of hardening on IASCC is became stronger considered, especially for environments where other factors, like micro-chemistry, have no significant influence. To formulate the mechanism of IASCC, a well-established method is to isolate and quantify the effect of individual parameters. The use of unirradiated material and the simulation of the irradiation effects is a procedure used with success for evaluating the influence of irradiation effects. Radiation hardening can be simulated by mechanical deformation and, although some differences exist in the types of defects produced, it is believed that the study of the SCC behaviour of unirradiated materials with different hardening levels would contribute to the understanding of IASCC mechanism. In order to evaluate the influence of hardening on the

  1. Radiation accelerated formation of oxygen and carbon related complexes in silicon

    International Nuclear Information System (INIS)

    Lazrak, A.; Magnea, N.; Pautrat, J.L.

    1984-06-01

    During the pulling of silicon monocrystals by the Czochralsky method, oxygen is incorporated into the lattice. It is known from early works that low temperature annealings (400-1000 0 C) make this oxygen to precipitate and a number of different defects to be generated. In order to check whether the fast diffusivity of an oxygen silicon interstitial complex has to be taken in consideration it was interesting to examinate the possible role of radiation damage on the formation of oxygen related defects. Experimental results of an experiment are presented and discussed

  2. MICROSTRUCTURAL FEATURES EVALUATION OF AGE-HARDENED A 226 CAST ALLOY BY IMAGE ANALYSIS

    Directory of Open Access Journals (Sweden)

    Lenka Kuchariková

    2018-01-01

    Full Text Available Age-hardening provides one of the most widely used mechanisms for the strengthening of aluminum alloys. The age-hardening involves three steps: solution treatment, quenching and aging. The temperature of solution treatment and aging is very important in order to reach desired properties of castings. The optimum temperature of solution treatment and aging led to formation microstructural features in form which does not lead to decreasing properties, but increasing ones. The major microstructural features in A 226 cast alloys which are responsible for increasing properties are: eutectic Si particles, Cu-rich phases, Fe-rich phases and porosity. The increase of properties depends on morphology, size and volume of microstructural features. In order to assess age-hardening influence on microstructural features in A226 cast alloys were used as possibilities of evaluation by means of image analysis. Quantitative analysis decelerate changes in microstructure includes the spheroidization and coarsening of eutectic silicon, gradual disintegration, shortening and thinning of Fe-rich intermetallic phases, the dissolution of precipitates and the precipitation of finer hardening phase (Al2Cu further increase in the hardness and tensile strength in the alloy. Changes of mechanical properties were measured in line with STN EN ISO.

  3. Influence of Cooling Condition on the Performance of Grinding Hardened Layer in Grind-hardening

    Science.gov (United States)

    Wang, G. C.; Chen, J.; Xu, G. Y.; Li, X.

    2018-02-01

    45# steel was grinded and hardened on a surface grinding machine to study the effect of three different cooling media, including emulsion, dry air and liquid nitrogen, on the microstructure and properties of the hardened layer. The results show that the microstructure of material surface hardened with emulsion is pearlite and no hardened layer. The surface roughness is small and the residual stress is compressive stress. With cooling condition of liquid nitrogen and dry air, the specimen surface are hardened, the organization is martensite, the surface roughness is also not changed, but high hardness of hardened layer and surface compressive stress were obtained when grinding using liquid nitrogen. The deeper hardened layer grinded with dry air was obtained and surface residual stress is tensile stress. This study provides an experimental basis for choosing the appropriate cooling mode to effectively control the performance of grinding hardened layer.

  4. Radiation and temperature effects in gallium arsenide, indium phosphide, and silicon solar cells

    Science.gov (United States)

    Weinberg, I.; Swartz, C. K.; Hart, R. E., Jr.; Statler, R. L.

    1987-01-01

    The effects of radiation on performance are determined for both n+p and p+n GaAs and InP cells and for silicon n+p cells. It is found that the radiation resistance of InP is greater than that of both GaAs and Si under 1-MeV electron irradiation. For silicon, the observed decreased radiation resistance with decreased resistivity is attributed to the presence of a radiation-induced boron-oxygen defect. Comparison of radiation damage in both p+n and n+p GaAs cells yields a decreased radiation resistance for the n+p cell attributable to increased series resistance, decreased shunt resistance, and relatively greater losses in the cell's p-region. For InP, the n+p configuration is found to have greater radiation resistance than the p+n cell. The increased loss in this latter cell is attributed to losses in the cell's emitter region. Temperature dependency results are interpreted using a theoretical relation for dVoc/dT, which predicts that increased Voc should result in decreased numerical values for dPm/dT. The predicted correlation is observed for GaAs but not for InP, a result which is attributed to variations in cell processing.

  5. A MGy radiation-hardened sensor instrumentation link for nuclear reactor monitoring and remote handling

    Energy Technology Data Exchange (ETDEWEB)

    Verbeeck, Jens; Cao, Ying [KU Leuven - KUL, Div. LRD-MAGyICS, Kasteelpark Arenberg 10, 3001 Heverlee (Belgium); Van Uffelen, Marco; Mont Casellas, Laura; Damiani, Carlo; Morales, Emilio Ruiz; Santana, Roberto Ranz [Fusion for Energy - F4E, c/Josep,n deg. 2, Torres Diagonal Litoral, Ed. B3, 08019 Barcelona (Spain); Meek, Richard; Haist, Bernhard [Oxford Technologies Ltd. OTL, 7 Nuffield Way, Abingdon OX14 1RL (United Kingdom); De Cock, Wouter; Vermeeren, Ludo [SCK-CEN, Boeretang 200, 2400 Mol (Belgium); Steyaert, Michiel [KU Leuven, ESAT-MICAS, KasteelparkArenberg 10, 3001 Heverlee (Belgium); Leroux, Paul [KU Leuven, ESAT-MICAS, KasteelparkArenberg 10, 3001 Heverlee (Belgium)

    2015-07-01

    Decommissioning, dismantling and remote handling applications in nuclear facilities all require robotic solutions that are able to survive in radiation environments. Recently raised safety, radiation hardness and cost efficiency demands from both the nuclear regulatory and the society impose severe challenges in traditional methods. For example, in case of the dismantling of the Fukushima sites, solutions that survive accumulated doses higher than 1 MGy are mandatory. To allow remote operation of these tools in nuclear environments, electronics were used to be shielded with several centimeters of lead or even completely banned in these solutions. However, shielding electronics always leads to bulky and heavy solutions, which reduces the flexibility of robotic tools. It also requires longer repair time and produces extra waste further in a dismantling or decommissioning cycle. In addition, often in current reactor designs, due to size restrictions and the need to inspect very tight areas there are limitations to the use of shielding. A MGy radiation-hardened sensor instrumentation link developed by MAGyICS provides a solution to build a flexible, easy removable and small I and C module with MGy radiation tolerance without any shielding. Hereby it removes all these pains to implement electronics in robotic tools. The demonstrated solution in this poster is developed for ITER Remote Handling equipments operating in high radiation environments (>1 MGy) in and around the Tokamak. In order to obtain adequately accurate instrumentation and control information, as well as to ease the umbilical management, there is a need of front-end electronics that will have to be located close to those actuators and sensors on the remote handling tool. In particular, for diverter remote handling, it is estimated that these components will face gamma radiation up to 300 Gy/h (in-vessel) and a total dose of 1 MGy. The radiation-hardened sensor instrumentation link presented here, consists

  6. CMOS optimization for radiation hardness

    International Nuclear Information System (INIS)

    Derbenwick, G.F.; Fossum, J.G.

    1975-01-01

    Several approaches to the attainment of radiation-hardened MOS circuits have been investigated in the last few years. These have included implanting the SiO 2 gate insulator with aluminum, using chrome-aluminum layered gate metallization, using Al 2 O 3 as the gate insulator, and optimizing the MOS fabrication process. Earlier process optimization studies were restricted primarily to p-channel devices operating with negative gate biases. Since knowledge of the hardness dependence upon processing and design parameters is essential in producing hardened integrated circuits, a comprehensive investigation of the effects of both process and design optimization on radiation-hardened CMOS integrated circuits was undertaken. The goals are to define and establish a radiation-hardened processing sequence for CMOS integrated circuits and to formulate quantitative relationships between process and design parameters and the radiation hardness. Using these equations, the basic CMOS design can then be optimized for radiation hardness and some understanding of the basic physics responsible for the radiation damage can be gained. Results are presented

  7. Field oxide radiation damage measurements in silicon strip detectors

    Energy Technology Data Exchange (ETDEWEB)

    Laakso, M [Particle Detector Group, Fermilab, Batavia, IL (United States) Research Inst. for High Energy Physics (SEFT), Helsinki (Finland); Singh, P; Shepard, P F [Dept. of Physics and Astronomy, Univ. Pittsburgh, PA (United States)

    1993-04-01

    Surface radiation damage in planar processed silicon detectors is caused by radiation generated holes being trapped in the silicon dioxide layers on the detector wafer. We have studied charge trapping in thick (field) oxide layers on detector wafers by irradiating FOXFET biased strip detectors and MOS test capacitors. Special emphasis was put on studying how a negative bias voltage across the oxide during irradiation affects hole trapping. In addition to FOXFET biased detectors, negatively biased field oxide layers may exist on the n-side of double-sided strip detectors with field plate based n-strip separation. The results indicate that charge trapping occurred both close to the Si-SiO[sub 2] interface and in the bulk of the oxide. The charge trapped in the bulk was found to modify the electric field in the oxide in a way that leads to saturation in the amount of charge trapped in the bulk when the flatband/threshold voltage shift equals the voltage applied over the oxide during irradiation. After irradiation only charge trapped close to the interface is annealed by electrons tunneling to the oxide from the n-type bulk. (orig.).

  8. Radiation hardness properties of full-3D active edge silicon sensors

    Czech Academy of Sciences Publication Activity Database

    Da Via, C.; Hasi, J.; Kenney, C.; Linhart, V.; Parker, S.; Slavíček, T.; Watts, S. J.; Bém, Pavel; Horažďovský, T.; Pospíšil, S.

    2008-01-01

    Roč. 587, 2-3 (2008), s. 243-249 ISSN 0168-9002 Institutional research plan: CEZ:AV0Z10480505 Keywords : silicon detectors * radiation hardness * 3D Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 1.019, year: 2008

  9. Radiation effects in LDD MOS devices

    International Nuclear Information System (INIS)

    Woodruff, R.L.; Adams, J.R.

    1987-01-01

    The purpose of this work is to investigate the response of lightly doped drain (LDD) n-channel transistors to ionizing radiation. Transistors were fabricated with conventional (non-LDD) and lightly doped drain (LDD) structures using both standard (non-hardened) and radiation hardened gate oxides. Characterization of the transistors began with a correlation of the total-dose effects due to 10 keV x-rays with Co-60 gamma rays. The authors find that for the gate oxides and transistor structures investigated in this work, 10 keV x-rays produce more fixed-charge guild-up in the gate oxide, and more interface charge than do Co-60 gamma rays. They determined that the radiation response of LDD transistors is similar to that of conventional (non-LDD) transistors. In addition, both standard and radiation-hardened transistors subjected to hot carrier stress before irradiation show a similar radiation response. After exposure to 1.0 x 10 6 rads(Si), non-hardened transistors show increased susceptibility to hot-carrier graduation, while the radiation-hardened transistors exhibit similar hot-carrier degradation to non-irradiated devices. The authors have demonstrated a fully-integrated radiation hardened process tht is solid to 1.0 x 10 6 rads(Si), and shows promise for achieving 1.0 x 10 7 rad(Si) total-dose capability

  10. Performance characteristics and radiation damage results from the Fermilab E706 silicon microstrip detector system

    Energy Technology Data Exchange (ETDEWEB)

    Engels, E Jr; Mani, S; Orris, D; Shepard, P F; Weerasundara, P D; Choudhary, B C; Joshi, U; Kapoor, V; Shivpuri, R; Baker, W

    1989-07-01

    A charged particle spectrometer containing a 7120-channel silicon microstrip detector system, one component of Fermilab experiment E706 to study direct photon production in hadron-hadron collisions, was utilized in a run in which 6 million events were recorded. We describe the silicon system, provide early results of track and vertex reconstruction, and present data on the radiation damage to the silicon wafers resulting from the narrow high intensity beam. (orig.).

  11. Study of planar pixel sensors hardener to radiations for the upgrade of the ATLAS vertex detector

    International Nuclear Information System (INIS)

    Benoit, M.

    2011-05-01

    In this work, we present a study, using TCAD (Technology Computer-Assisted Design) simulation, of the possible methods of designing planar pixel sensors by reducing their inactive area and improving their radiation hardness for use in the Insertable B-Layer (IBL) project and for SLHC upgrade phase for the ATLAS experiment. Different physical models available have been studied to develop a coherent model of radiation damage in silicon that can be used to predict silicon pixel sensor behavior after exposure to radiation. The Multi-Guard Ring Structure, a protection structure used in pixel sensor design was studied to obtain guidelines for the reduction of inactive edges detrimental to detector operation while keeping a good sensor behavior through its lifetime in the ATLAS detector. A campaign of measurement of the sensor process parameters and electrical behavior to validate and calibrate the TCAD simulation models and results are also presented. A model for diode charge collection in highly irradiated environment was developed to explain the high charge collection observed in highly irradiated devices. A simple planar pixel sensor digitization model to be used in test beam and full detector system is detailed. It allows for easy comparison between experimental data and prediction by the various radiation damage models available. The digitizer has been validated using test beam data for unirradiated sensors and can be used to produce the first full scale simulation of the ATLAS detector with the IBL that include sensor effects such as slim edge and thinning of the sensor. (author)

  12. Overview of radiation damage in silicon detectors - models and defect engineering

    International Nuclear Information System (INIS)

    Watts, S.J.

    1997-01-01

    This paper reviews recent work in the area of radiation damage in silicon detectors. It is not intended as a comprehensive review, but provides a snapshot guide to current ideas and indicates how the subject is expected to develop in the immediate future. (orig.)

  13. Silicon Alloying On Aluminium Based Alloy Surface

    International Nuclear Information System (INIS)

    Suryanto

    2002-01-01

    Silicon alloying on surface of aluminium based alloy was carried out using electron beam. This is performed in order to enhance tribological properties of the alloy. Silicon is considered most important alloying element in aluminium alloy, particularly for tribological components. Prior to silicon alloying. aluminium substrate were painted with binder and silicon powder and dried in a furnace. Silicon alloying were carried out in a vacuum chamber. The Silicon alloyed materials were assessed using some techniques. The results show that silicon alloying formed a composite metal-non metal system in which silicon particles are dispersed in the alloyed layer. Silicon content in the alloyed layer is about 40% while in other place is only 10.5 %. The hardness of layer changes significantly. The wear properties of the alloying alloys increase. Silicon surface alloying also reduced the coefficient of friction for sliding against a hardened steel counter face, which could otherwise be higher because of the strong adhesion of aluminium to steel. The hardness of the silicon surface alloyed material dropped when it underwent a heating cycle similar to the ion coating process. Hence, silicon alloying is not a suitable choice for use as an intermediate layer for duplex treatment

  14. Interaction of alpha radiation with thermally-induced defects in silicon

    International Nuclear Information System (INIS)

    Ali, Akbar; Majid, Abdul

    2008-01-01

    The interaction of radiation-induced defects created by energetic alpha particles and thermally-induced defects in silicon has been studied using a Deep Level Transient Spectroscopy (DLTS) technique. Two thermally-induced defects at energy positions E c -0.48 eV and E c -0.25 eV and three radiation-induced defects E2, E3 and E5 have been observed. The concentration of both of the thermally-induced defects has been observed to increase on irradiation. It has been noted that production rates of the radiation-induced defects are suppressed in the presence of thermally-induced defects. A significant difference in annealing characteristics of thermally-induced defects in the presence of radiation-induced defects has been observed compared to the characteristics measured in pre-irradiated samples

  15. Radiation hardness of silicon detectors manufactured on wafers from various sources

    International Nuclear Information System (INIS)

    Dezillie, B.; Bates, S.; Glaser, M.; Lemeilleur, F.; Leroy, C.

    1997-01-01

    Impurity concentrations in the initial silicon material are expected to play an important role for the radiation hardness of silicon detectors, during their irradiation and for their evolution with time after irradiation. This work reports on the experimental results obtained with detectors manufactured using various float-zone (FZ) and epitaxial-grown material. Preliminary results comparing the changes in leakage current and full depletion voltage of FZ and epitaxial detectors as a function of fluence and of time after 10 14 cm -2 proton irradiation are given. The measurement of charge collection efficiency for epitaxial detectors is also presented. (orig.)

  16. Radiation-hard Silicon Photonics for Future High Energy Physics Experiments

    CERN Document Server

    AUTHOR|(CDS)2089774; Troska, Jan

    Collisions of proton beams in the Large Hadron Collider at CERN produce very high radiation levels in the innermost parts of the particle detectors and enormous amounts of measurement data. Thousands of radiation-hard optical links based on directly-modulated laser diodes are thus installed in the particle detectors to transmit the measurement data to the processing electronics. The radiation levels in the innermost regions of future particle detectors will be much higher than they are now. Alternative solutions to laser-based radiation-hard optical links have to be found since the performance of laser diodes decreases beyond the operation margin of the system when irradiated to sufficiently high radiation levels. Silicon Photonics (SiPh) is currently being investigated as a promising alternative technology. First tests have indeed shown that SiPh Mach-Zehnder modulators (MZMs) are relatively insensitive to a high neutron fluence. However, they showed a strong degradation when exposed to ionizing radiation. ...

  17. Radiative thermal emission from silicon nanoparticles: a reversed story from quantum to classical theory

    International Nuclear Information System (INIS)

    Roura, P.; Costa, J.

    2002-01-01

    Among the rush of papers published after the discovery of visible luminescence in porous silicon, a number of them claimed that an extraordinary behaviour had been found. However, after five years of struggling with increasingly sophisticated but not completely successful models, it was finally demonstrated that it was simply thermal radiation. Here, we calculate thermal radiation emitted by silicon nanoparticles when irradiated in vacuum with a laser beam. If one interprets this radiation as being photoluminescence, its properties appear extraordinary: non-exponential excitation and decay transients and a supralinear dependence on laser power. Within the (quantum) theory of photoluminescence, this behaviour can be interpreted as arising from a non-usual excitation mechanism known as multiphoton excitation. Although this erroneous interpretation has, to some extent, a predictive power, it is unable to give a sound explanation for the quenching of radiation when particles are not irradiated in vacuum but inside a gas. The real story of this error is presented both to achieve a deeper understanding of the radiative thermal emission of nanoparticles and as a matter of reflection on scientific activity. (author)

  18. Silicon P.I.N. Junctions used for studies of radiation damage

    International Nuclear Information System (INIS)

    Lanore, J.

    1964-06-01

    Irradiation of silicon P.I.N. junction has been studied primarily for the purpose of developing a radiation damage dosimeter, but also for the purpose of investigating silicon itself. It is known that the rate of recombination of electrons and holes is a linear function of defects introduced by neutron irradiation. Two methods have been used to measure that rate of recombination: forward characteristic measurements, recovery time measurements. In order to explain how these two parameters depend on recombination rate we have given a theory of the P.I.N. junction. We have also given an idea of the carrier lifetime dependence versus temperature. Annealing effects in the range of 70 to 700 K have also been studied, we found five annealing stages with corresponding activation energies. As an application for these studies, we developed a radiation damage dosimeter with which we made several experiments in facilities such as Naiade or Marias. (author) [fr

  19. Influence of pretreatment temperature cycling on the radiating defect formation in silicon doped by samarium

    International Nuclear Information System (INIS)

    Abdurakhmanov, K.P.; Nazyrov, D.E.

    2006-01-01

    Full text: The raise of thermal and radiation stability as it is known, is one of actual problems of physics semiconductors. Recently it is established, that the rare-earth elements (REE) raise a stability of silicon to exterior action. In this connection the investigation of silicon doped REE by samarium and influence on its properties of heat treatments and radiation exposure is important. In sectional operation the outcomes of investigations of influence of samarium on thermal (600 degree C are reduced; 600 deg. + 900 deg. C; 900 deg. C; 900 deg. C + 600 deg. C; 1100 deg. C; 600 deg. C + 900 deg. C + 1100 deg. C; 900 deg. C + 600 deg. C + 1100 deg. C) thermal defect formation and radiation defect formation (exposure of γ-quanta 60 Co) both in beforehand wrought, and in thermally unfinished samples. After each cycle of heat treatments samples cool fast (throwing off in oil) or slowly (together with the furnace). Doping n-silicon REE by gadolinium and samarium was carried out during cultivation. The concentration of gadolinium and samarium in silicon, on sectional of a neutron-activation analysis was equaled 10 14 - 10 18 cm -3 . As control is model monocrystal silicon such as KEP-15/50. Para-meters of deep levels originating in control and doped REE samples, both past heat treatment or temperature cycling, and irradiated by the γ-quanta are defined by methods of a capacity spectroscopy: DLTS and IRC. The obtained outcomes have shown, that in irradiated with the γ-quanta 60 Co deep levels samples are formed with energies: E C -0,17 eV, E C -0,32 eV, EC-0,41 eV. Thus the parameters of deep levels vary depending on requirements of prestress heat treatment. For example heat treatment at 600 deg. C essentially increments a velocity of introduction of and centre (deep level of E C -0,17 eV), in comparison with a velocity of introduction of this level in samples with prestress heat treatment at 900 deg. C. In samples n-Si doped by samarium effectiveness of formation

  20. Silicon etch process

    International Nuclear Information System (INIS)

    Day, D.J.; White, J.C.

    1984-01-01

    A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)

  1. Silicon photo-multiplier radiation hardness tests with a beam controlled neutron source

    International Nuclear Information System (INIS)

    Angelone, M.; Pillon, M.; Faccini, R.; Pinci, D.; Baldini, W.; Calabrese, R.; Cibinetto, G.; Cotta Ramusino, A.; Malaguti, R.; Pozzati, M.

    2010-01-01

    Radiation hardness tests were performed at the Frascati Neutron Generator on silicon Photo-Multipliers that were made of semiconductor photon detectors built from a square matrix of avalanche photo-diodes on a silicon substrate. Several samples from different manufacturers have been irradiated, integrating up to 7x10 10 1-MeV-equivalent neutrons per cm 2 . Detector performance was recorded during the neutron irradiation, and a gradual deterioration of their properties began after an integrated fluence of the order of 10 8 1-MeV-equivalent neutrons per cm 2 was reached.

  2. Radiation defect formation in two-barrier structures based on silicon

    International Nuclear Information System (INIS)

    Madatov, R.S.; Abbasov, F.P.; Mustafayev, Yu.M.

    2013-01-01

    It was developed a silicon-based photodetector with high integral sensitivity in low-wave spectrum. It was investigated the effect of gamma radiation on the mechanism of current transport in the structure of Schottky barrier type and in transitions. It is shown that the double-barrier structures can improve the photovoltaic parameters of conventional detectors. For the first time it was obtained and studied the characteristics of two-barrier structures created on the same plane. The advantages over conventional structures are shown. The annealing point is changing the structure of radiation defects and leads to their disappearance

  3. Radiation-hardened CMOS integrated circuits

    International Nuclear Information System (INIS)

    Derbenwick, G.F.; Hughes, R.C.

    1977-01-01

    Electronic circuits that operate properly after exposure to ionizing radiation are necessary for nuclear weapon systems, satellites, and apparatus designed for use in radiation environments. The program to develop and theoretically model radiation-tolerant integrated circuit components has resulted in devices that show an improvement in hardness up to a factor of ten thousand over earlier devices. An inverter circuit produced functions properly after an exposure of 10 6 Gy (Si) which, as far as is known, is the record for an integrated circuit

  4. Radiation damage status of the ATLAS silicon strip detectors (SCT)

    CERN Document Server

    Kondo, Takahiko; The ATLAS collaboration

    2017-01-01

    The Silicon microstrip detector system (SCT) of the ATLAS experiment at LHC has been working well for about 7 years since 2010. The innermost layer has already received a few times of 10**13 1-MeV neutron-equivalent fluences/cm2. The evolutions of the radiation damage effects on strip sensors such as leakage current and full depletion voltages will be presented.

  5. A Spacecraft Housekeeping System-on-Chip in a Radiation Hardened Structured ASIC

    Science.gov (United States)

    Suarez, George; DuMonthier, Jeffrey J.; Sheikh, Salman S.; Powell, Wesley A.; King, Robyn L.

    2012-01-01

    Housekeeping systems are essential to health monitoring of spacecraft and instruments. Typically, sensors are distributed across various sub-systems and data is collected using components such as analog-to-digital converters, analog multiplexers and amplifiers. In most cases programmable devices are used to implement the data acquisition control and storage, and the interface to higher level systems. Such discrete implementations require additional size, weight, power and interconnect complexity versus an integrated circuit solution, as well as the qualification of multiple parts. Although commercial devices are readily available, they are not suitable for space applications due the radiation tolerance and qualification requirements. The Housekeeping System-o n-A-Chip (HKSOC) is a low power, radiation hardened integrated solution suitable for spacecraft and instrument control and data collection. A prototype has been designed and includes a wide variety of functions including a 16-channel analog front-end for driving and reading sensors, analog-to-digital and digital-to-analog converters, on-chip temperature sensor, power supply current sense circuits, general purpose comparators and amplifiers, a 32-bit processor, digital I/O, pulse-width modulation (PWM) generators, timers and I2C master and slave serial interfaces. In addition, the device can operate in a bypass mode where the processor is disabled and external logic is used to control the analog and mixed signal functions. The device is suitable for stand-alone or distributed systems where multiple chips can be deployed across different sub-systems as intelligent nodes with computing and processing capabilities.

  6. Radiation stability of proton irradiated zirconium carbide

    International Nuclear Information System (INIS)

    Yang, Yong; Dickerson, Clayton A.; Allen, Todd R.

    2009-01-01

    The use of zirconium carbide (ZrC) is being considered for the deep burn (DB)-TRISO fuel as a replacement for the silicon carbide coating. The radiation stability of ZrC was studied using 2.6 MeV protons, across the irradiation temperature range from 600 to 900degC and to doses up to 1.75 dpa. The microstructural characterization shows that the irradiated microstructure is comprised of a high density of nanometer-sized dislocation loops, while no irradiation induced amorphization or voids are observed. The lattice expansion induced by point defects is found to increase as the dose increases for the samples irradiated at 600 and 800degC, while for the 900degC irradiation, a slight lattice contraction is observed. The radiation hardening is also quantified using a micro indentation technique for the temperature and doses studies. (author)

  7. Effects of radiation damage on the silicon lattice

    Science.gov (United States)

    Dumas, Katherine A.; Lowry, Lynn; Russo, O. Louis

    1987-01-01

    Silicon was irradiated with both proton and electron particle beams in order to investigate changes in the structural and optical properties of the lattice as a result of the radiation damage. Lattice expansions occurred when large strain fields (+0.34 percent) developed after 1- and 3-MeV proton bombardment. The strain was a factor of three less after 1-MeV electron irradiation. Average increases of approximately 22 meV in the 3.46-eV interband energy gap and 14 meV in the Lorentz broadening parameter were measured after the electron irradiation.

  8. Radiation hardening of diagnostics

    International Nuclear Information System (INIS)

    Siemon, R.E.

    1991-01-01

    The world fusion program has advanced to the stage where it is appropriate to construct a number of devices for the purpose of burning DT fuel. In these next-generation experiments, the expected flux and fluence of 14 MeV neutrons and associated gamma rays will pose a significant challenge to the operation and diagnostics of the fusion device. Radiation effects include structural damage to materials such as vacuum windows and seals, modifications to electrical properties such as electrical conductivity and dielectric strength and impaired optical properties such as reduced transparency and luminescence of windows and fiber optics during irradiation. In preparation for construction and operation of these new facilities, the fusion diagnostics community needs to work with materials scientists to develop a better understanding of radiation effects, and to undertake a testing program aimed at developing workable solutions for this multi-faceted problem. A unique facility to help in this regard is the Los Alamos Spallation Radiation Effects Facility, a neutron source located at the beam stop of the world's most powerful accelerator, the Los Alamos Meson Physics Facility (LAMPF). The LAMPF proton beam generates 10 16 neutrons per second because of ''spallation'' reactions when the protons collide with the copper nuclei in the beam stop

  9. Taking SiC Power Devices to the Final Frontier: Addressing Challenges of the Space Radiation Environment

    Science.gov (United States)

    Lauenstein, Jean-Marie; Casey, Megan

    2017-01-01

    Silicon carbide power device technology has the potential to enable a new generation of aerospace power systems that demand high efficiency, rapid switching, and reduced mass and volume in order to expand space-based capabilities. For this potential to be realized, SiC devices must be capable of withstanding the harsh space radiation environment. Commercial SiC components exhibit high tolerance to total ionizing dose but to date, have not performed well under exposure to heavy ion radiation representative of the on-orbit galactic cosmic rays. Insertion of SiC power device technology into space applications to achieve breakthrough performance gains will require intentional development of components hardened to the effects of these highly-energetic heavy ions. This work presents heavy-ion test data obtained by the authors over the past several years for discrete SiC power MOSFETs, JFETs, and diodes in order to increase the body of knowledge and understanding that will facilitate hardening of this technology to space radiation effects. Specifically, heavy-ion irradiation data taken under different bias, temperature, and ion beam conditions is presented for devices from different manufacturers, and the emerging patterns discussed.

  10. Comparison of proton microbeam and gamma irradiation for the radiation hardness testing of silicon PIN diodes

    Science.gov (United States)

    Jakšić, M.; Grilj, V.; Skukan, N.; Majer, M.; Jung, H. K.; Kim, J. Y.; Lee, N. H.

    2013-09-01

    Simple and cost-effective solutions using Si PIN diodes as detectors are presently utilized in various radiation-related applications in which excessive exposure to radiation degrades their charge transport properties. One of the conventional methods for the radiation hardness testing of such devices is time-consuming irradiation with electron beam or gamma-ray irradiation facilities, high-energy proton accelerators, or with neutrons from research reactors. Recently, for the purpose of radiation hardness testing, a much faster nuclear microprobe based approach utilizing proton irradiation has been developed. To compare the two different irradiation techniques, silicon PIN diodes have been irradiated with a Co-60 gamma radiation source and with a 6 MeV proton microbeam. The signal degradation in the silicon PIN diodes for both irradiation conditions has been probed by the IBIC (ion beam induced charge) technique, which can precisely monitor changes in charge collection efficiency. The results presented are reviewed on the basis of displacement damage calculations and NIEL (non-ionizing energy loss) concept.

  11. Hardness measurements of silicon rubber and polyurethane rubber cured by ionizing radiation

    International Nuclear Information System (INIS)

    Basfar, A.A.

    1995-01-01

    This work investigates the hardness of both silicon rubber and polyurethane rubber cured by ionizing radiation. Shore A Hardness is used to characterize the subject elastomers in relation to the crosslinking process. Various formulations of both materials have been investigated in order to achieve the optimum cure conditions desired. A small amount of the curing agent has been incorporated in some formulations in order to reduce the required dose to achieve full cure conditions. Silicon rubber has shown improvements in hardness as absorbed dose is increased, whereas hardness remained constant over a range of absorbed doses for polyurethane rubber

  12. Coating compositions hardenable by ionization beams

    International Nuclear Information System (INIS)

    Chaudhari, D.; Haering, E.; Dobbelstein, A.; Hoselmann, W.

    1976-01-01

    Coating compositions hardenable by ionizing radiation are described which contain as binding agents a mixture of at least 1 unsaturated olefin compound containing urethane groups, and at least 1 further unsaturated olefin compound that may be copolymerized. The unsaturated olefin compound containing the urethane groups is a reaction product of a compound containing carboxylic acid groups and a compound containing at least 1 isocyanate group where the mixture of the two olefins may contain conventional additives of the lacquer industry. 6 claims, no drawings

  13. Skin hardening effect in patients with polymorphic light eruption: comparison of UVB hardening in hospital with a novel home UV-hardening device.

    Science.gov (United States)

    Franken, S M; Genders, R E; de Gruijl, F R; Rustemeyer, T; Pavel, S

    2013-01-01

    An effective prophylactic treatment of patients with polymorphic light eruption (PLE) consists of repeated low, gradually increasing exposures to UVB radiation. This so-called UV(B) hardening induces better tolerance of the skin to sunlight. SunshowerMedical company (Amsterdam) has developed an UV (B) source that can be used during taking shower. The low UV fluence of this apparatus makes it an interesting device for UV hardening. In a group of PLE patients, we compared the effectiveness of the irradiation with SunshowerMedical at home with that of the UVB treatment in the hospital. The PLE patients were randomized for one of the treatments. The hospital treatment consisted of irradiations with broad-band UVB (Waldmann 85/UV21 lamps) twice a week during 6 weeks. The home UV-device was used each day with the maximal irradiation time of 6 min. The outcome assessment was based on the information obtained from patients' dermatological quality of life (DLQI) questionnaires, the ability of both phototherapies to reduce the provocation reaction and from the patients' evaluation of the long-term benefits of their phototherapies. Sixteen patients completed treatment with SunshowerMedical and thirteen completed treatment in hospital. Both types of phototherapy were effective. There was a highly significant improvement in DLQI with either treatment. In most cases, the hardening reduced or even completely suppressed clinical UV provocation of PLE. The patients using SunshowerMedical at home were, however, much more content with the treatment procedure than the patients visiting the dermatological units. Both treatments were equally effective in the induction of skin tolerance to sunlight in PLE patients. However, the home treatment was much better accepted than the treatment in the hospital. © 2011 The Authors. Journal of the European Academy of Dermatology and Venereology © 2011 European Academy of Dermatology and Venereology.

  14. Influence of Silicon-Containing Additives on Concrete Waterproofness Property

    Science.gov (United States)

    Butakova, M. D.; Saribekyan, S. S.; Mikhaylov, A. V.

    2017-11-01

    The article studies the influence of silicon-containing additives on the property of the water resistance of concrete samples. It provides a review of the literature on common approaches and technologies improving concrete waterproofness and reinforced concrete structures. Normal hardening samples were obtained on the basis of concretes containing microsilica, aerosil or ash, or the combinations thereof. This research is aimed at the study of the complex modifier effect r on the basis of metakaolin, superplasticizer and silicon containing additives on the property of concrete water resistance. The need to use a superplasticizer to reduce the water-cement ratio and metakaolin as a hardening accelerator along with the set of strength is substantiated. This article describes a part of the results of the experiment conducted to find alternative options for colmatizing expensive additives used in the concreting foundations of private house-building. The implementation of the scientific work will not only clarify this area but will also broaden the knowledge of such additive as aerosol.

  15. Micro-discharge noise and radiation damage of silicon microstrip sensors

    International Nuclear Information System (INIS)

    Ohsugi, T.; Iwata, Y.; Ohyama, H.; Ohmoto, T.; Yoshikawa, M.; Handa, T.; Kurino, K.; Fujita, K.; Kitabayashi, H.; Tamura, N.; Hatakenaka, T.; Maeohmichi, M.; Takahata, M.; Nakao, M.; Iwasaki, H.; Kohriki, T.; Terada, S.; Unno, Y.; Takashima, R.; Yamamoto, K.; Yamamura, K.

    1996-01-01

    We have examined experimentally some existing ideas for improving the radiation hardness of silicon microstrip sensors. We confirm that the extended electrode and the deep implant-strip proposed on the basis of simulation studies works effectively to suppress micro-discharge as well as junction breakdown of the bias or guard ring. For an integrated coupling capacitor a double layer structure of SiO 2 and Si 3 N 4 provides better radiation hardness than that of single SiO 2 coupling in our design conditions. The onset voltage of the micro-discharge on the bias/guard ring has been studied for an extended electrode and a floating guard ring. (orig.)

  16. Silicon-on-insulator field effect transistor with improved body ties for rad-hard applications

    Science.gov (United States)

    Schwank, James R.; Shaneyfelt, Marty R.; Draper, Bruce L.; Dodd, Paul E.

    2001-01-01

    A silicon-on-insulator (SOI) field-effect transistor (FET) and a method for making the same are disclosed. The SOI FET is characterized by a source which extends only partially (e.g. about half-way) through the active layer wherein the transistor is formed. Additionally, a minimal-area body tie contact is provided with a short-circuit electrical connection to the source for reducing floating body effects. The body tie contact improves the electrical characteristics of the transistor and also provides an improved single-event-upset (SEU) radiation hardness of the device for terrestrial and space applications. The SOI FET also provides an improvement in total-dose radiation hardness as compared to conventional SOI transistors fabricated without a specially prepared hardened buried oxide layer. Complementary n-channel and p-channel SOI FETs can be fabricated according to the present invention to form integrated circuits (ICs) for commercial and military applications.

  17. Silicon detectors operating beyond the LHC collider conditions: scenarios for radiation fields and detector degradation

    International Nuclear Information System (INIS)

    Lazanu, I.; Lazanu, S.

    2004-01-01

    Particle physics makes its greatest advances with experiments at the highest energies. The way to advance to a higher energy regime is through hadron colliders, or through non-accelerator experiments, as for example the space astroparticle missions. In the near future, the Large Hadron Collider (LHC) will be operational, and beyond that, its upgrades: the Super-LHC (SLHC) and the hypothetical Very Large Hadron Collider (VLHC). At the present time, there are no detailed studies for future accelerators, except those referring to LHC. For the new hadron collider LHC and some of its updates in luminosity and energy, the silicon detectors could represent an important option, especially for the tracking system and calorimetry. The main goal of this paper is to analyse the expected long-time degradation of the silicon as material and for silicon detectors, during continuous radiation, in these hostile conditions. The behaviour of silicon in relation to various scenarios for upgrade in energy and luminosity is discussed in the frame of a phenomenological model developed previously by the authors and now extended to include new mechanisms, able to explain and give solutions to discrepancies between model predictions and detector behaviour after hadron irradiation. Different silicon material parameters resulting from different technologies are considered to evaluate what materials are harder to radiation and consequently could minimise the degradation of device parameters in conditions of continuous long time operation. (authors)

  18. Effects of plasma-deposited silicon nitride passivation on the radiation hardness of CMOS integrated circuits

    International Nuclear Information System (INIS)

    Clement, J.J.

    1980-01-01

    The use of plasma-deposited silicon nitride as a final passivation over metal-gate CMOS integrated circuits degrades the radiation hardness of these devices. The hardness degradation is manifested by increased radiation-induced threshold voltage shifts caused principally by the charging of new interface states and, to a lesser extent, by the trapping of holes created upon exposure to ionizing radiation. The threshold voltage shifts are a strong function of the deposition temperature, and show very little dependence on thickness for films deposited at 300 0 C. There is some correlation between the threshold voltage shifts and the hydrogen content of the PECVD silicon nitride films used as the final passivation layer as a function of deposition temperature. The mechanism by which the hydrogen contained in these films may react with the Si/SiO 2 interface is not clear at this point

  19. Preparation of Self Hardening-modelling Polyurethane for Wood Repairing and Cracks Injection

    International Nuclear Information System (INIS)

    Meligi, G.A.; Elnahas, H.H.; Ammar, A.H.

    2014-01-01

    Self hardening composite as a modelling clay was prepared from polyurethane, two parts (A) and (B) where (A) contains polyol (polyether), vinyl acetate versatic ester copolymer (VAcVe) and magnesium silicate or wood powder and (B) contains toluene diisocyanate (TDI) as a hardening agent. The two parts mixed thoroughly giving soft putty like feel, open working time 1-2 h and cures hard overnight (24 h full cure). Factors affecting working time and full cure were evaluated. Also, measurements of surface hardness, compressive strength, scanning electron microscopy (SEM), water absorption and effect of ionizing radiation were studied. The suggestion for using the prepared polyurethane composite as clay dries as hard as a rock in the field of wood repair and cracks injection for building walls were recommended. Keywords: Polyurethane, modelling clay, radiation, wood repair and cracks injection.

  20. Radiation hard silicon detectors - developments by the RD48(ROSE) collaboration

    Czech Academy of Sciences Publication Activity Database

    Lindström, G.; Kohout, Z.; Pospíšil, S.; Šícho, Petr; Sopko, B.; Vrba, Václav; Wilhelm, I.

    2001-01-01

    Roč. 466, č. 2 (2001), s. 308-326 ISSN 0168-9002 R&D Projects: GA MŠk LN00A006 Institutional research plan: CEZ:AV0Z1010920 Keywords : silicon detectors * radiation hardness * defect engineering * non ionizing energy los Subject RIV: BF - Elementary Particles and High Energy Physics Impact factor: 1.026, year: 2001

  1. Performance of 3-D architecture silicon sensors after intense proton irradiation

    CERN Document Server

    Parker, S I

    2001-01-01

    Silicon detectors with a three-dimensional architecture, in which the n- and p-electrodes penetrate through the entire substrate, have been successfully fabricated. The electrodes can be separated from each other by distances that are less than the substrate thickness, allowing short collection paths, low depletion voltages, and large current signals from rapid charge collection. While no special hardening steps were taken in this initial fabrication run, these features of three dimensional architectures produce an intrinsic resistance to the effects of radiation damage. Some performance measurements are given for detectors that are fully depleted and working after exposures to proton beams with doses equivalent to that from slightly more than ten years at the B-layer radius (50 mm) in the planned Atlas detector at the Large Hadron Collider at CERN. (41 refs).

  2. Effects of silicon application on diurnal variations of physiological properties of rice leaves of plants at the heading stage under elevated UV-B radiation

    Science.gov (United States)

    Lou, Yun-sheng; Wu, Lei; Lixuan, Ren; Meng, Yan; Shidi, Zhao; Huaiwei, Zhu; Yiwei, Zhang

    2016-02-01

    We investigated the effects of silicon (Si) application on diurnal variations of photosynthetic and transpiration physiological parameters in potted rice ( Oryza sativa L. cv Nanjing 45) at the heading stage. The plants were subjected to two UV-B radiation levels, i.e., reference UV-B (A, ambient, 12.0 kJ m-2 day-1) and elevated UV-B radiation (E, a 20 % higher dose of UV-B than the reference, 14.4 kJ m-2 day-1), and four Si application levels, i.e., Si0 (no silicon supplementation, 0 kg SiO2 ha-1), Si1 (sodium silicate, 100 kg SiO2 ha-1), Si2 (sodium silicate, 200 kg SiO2 ha-1), and Si3 (slag silicon fertilizer, 200 kg SiO2 ha-1). Compared with the reference, elevated UV-B radiation decreased the diurnal mean values of the net photosynthetic rate ( Pn), intercellular carbon dioxide (CO2) concentration ( Ci), transpiration rate ( Tr), stomatal conductivity ( Gs), and water use efficiency (WUE) by 11.3, 5.5, 10.4, 20.3, and 6.3 %, respectively, in plants not supplemented with silicon (Si0), and decreased the above parameters by 3.8-5.5, 0.7-4.8, 4.0-8.7, 7.4-20.2, and 0.7-5.9 %, respectively, in plants treated with silicon (Si1, Si2, and Si3), indicating that silicon application mitigates the negative effects of elevated UV-B radiation. Under elevated UV-B radiation, silicon application (Si1, Si2, and Si3) increased the diurnal mean values of Pn, Ci, Gs, and WUE by 16.9-28.0, 3.5-14.3, 16.8-38.7, and 29.0-51.2 %, respectively, but decreased Tr by 1.9-10.8 %, compared with plants not treated with silicon (E+Si0), indicating that silicon application mitigates the negative effects of elevated UV-B radiation by significantly increasing the P n, C i, G s, and WUE and decreasing the T r of rice. Evident differences existed in mitigating the depressive effects of elevated UV-B radiation on diurnal variations of physiological parameters among different silicon application treatments, exhibiting as Si3>Si2>Si1>Si0. In addition to recycling steel industrial wastes, the

  3. Effects of silicon application on diurnal variations of physiological properties of rice leaves of plants at the heading stage under elevated UV-B radiation.

    Science.gov (United States)

    Lou, Yun-sheng; Wu, Lei; Lixuan, Ren; Meng, Yan; Shidi, Zhao; Huaiwei, Zhu; Yiwei, Zhang

    2016-02-01

    We investigated the effects of silicon (Si) application on diurnal variations of photosynthetic and transpiration physiological parameters in potted rice (Oryza sativa L. cv Nanjing 45) at the heading stage. The plants were subjected to two UV-B radiation levels, i.e., reference UV-B (A, ambient, 12.0 kJ m(-2) day(-1)) and elevated UV-B radiation (E, a 20% higher dose of UV-B than the reference, 14.4 kJ m(-2) day(-1)), and four Si application levels, i.e., Si0 (no silicon supplementation, 0 kg SiO2 ha(-1)), Si1 (sodium silicate, 100 kg SiO2 ha(-1)), Si2 (sodium silicate, 200 kg SiO2 ha(-1)), and Si3 (slag silicon fertilizer, 200 kg SiO2 ha(-1)). Compared with the reference, elevated UV-B radiation decreased the diurnal mean values of the net photosynthetic rate (Pn), intercellular carbon dioxide (CO2) concentration (Ci), transpiration rate (Tr), stomatal conductivity (Gs), and water use efficiency (WUE) by 11.3, 5.5, 10.4, 20.3, and 6.3%, respectively, in plants not supplemented with silicon (Si0), and decreased the above parameters by 3.8-5.5, 0.7-4.8, 4.0-8.7, 7.4-20.2, and 0.7-5.9%, respectively, in plants treated with silicon (Si1, Si2, and Si3), indicating that silicon application mitigates the negative effects of elevated UV-B radiation. Under elevated UV-B radiation, silicon application (Si1, Si2, and Si3) increased the diurnal mean values of Pn, Ci, Gs, and WUE by 16.9-28.0, 3.5-14.3, 16.8-38.7, and 29.0-51.2%, respectively, but decreased Tr by 1.9-10.8%, compared with plants not treated with silicon (E+Si0), indicating that silicon application mitigates the negative effects of elevated UV-B radiation by significantly increasing the P n, C i, G s, and WUE and decreasing the T r of rice. Evident differences existed in mitigating the depressive effects of elevated UV-B radiation on diurnal variations of physiological parameters among different silicon application treatments, exhibiting as Si3>Si2>Si1>Si0. In addition to recycling steel industrial wastes, the

  4. Effects of solute elements on hardening of thermally-aged RPV model alloys

    International Nuclear Information System (INIS)

    Dohi, Kenji; Nishida, Kenji; Nomoto, Akiyoshi; Soneda, Naoki; Liu, Li; Sekimura, Naoto; Li Zhengcao

    2012-01-01

    The investigation of effects of solute elements on the copper-enriched cluster, which is a cause of radiation embrittlement of reactor pressure vessel steels, is needed in order to understand the mechanism of the hardening and the cluster formation. The dependence of the hardness change and the formation of thermally-aged Fe-Cu model alloys doped Ni, Si and Mn on aging time are investigated using Vickers harness tester and three dimensional atom probe. Ni addition suppresses hardening, and Si addition accelerates hardening slightly at the initial stage of the aging. Mn addition accelerates hardening much more but does not almost affect the peak hardness. Ni and Si addition increase the number density and the size of the cluster, while Mn addition remarkably increases the number density and the size of the cluster at the initial stage of the aging. In addition, there is no clear correlation between the square root of the volume fraction of the clusters and the hardness change for all of the alloys. The reasons are considered to be the decrease in the solute hardening caused by the cluster formation and the difference in the shear modulus of the cluster due to the difference in the chemical composition of the cluster. (author)

  5. A non-linear kinematic hardening function

    International Nuclear Information System (INIS)

    Ottosen, N.S.

    1977-05-01

    Based on the classical theory of plasticity, and accepting the von Mises criterion as the initial yield criterion, a non-linear kinematic hardening function applicable both to Melan-Prager's and to Ziegler's hardening rule is proposed. This non-linear hardening function is determined by means of the uniaxial stress-strain curve, and any such curve is applicable. The proposed hardening function considers the problem of general reversed loading, and a smooth change in the behaviour from one plastic state to another nearlying plastic state is obtained. A review of both the kinematic hardening theory and the corresponding non-linear hardening assumptions is given, and it is shown that material behaviour is identical whether Melan-Prager's or Ziegler's hardening rule is applied, provided that the von Mises yield criterion is adopted. (author)

  6. Laser transformation hardening effect on hardening zone features and surface hardness of tool steel AISI D2

    Directory of Open Access Journals (Sweden)

    D. Lesyk

    2017-06-01

    Full Text Available The relationship of technological input regimes of the laser transformation hardening on change the hardening depth, hardening width, and hardening angle, as well as surface hardness of the tool steel AISI D2 using multifactor experiment with elements of the analysis of variance and regression equations was determined. The laser transformation hardening process implemented by controlling the heating temperature using Nd:YAG fiber laser with scanner, pyrometer and proportional-integral-differential controller. The linear and quadratic regression models are developed, as well as response surface to determine the effect of the heating temperature and feed rate of the treated surface on the energy density of the laser beam, hardening depths, hardening width, hardening angle, and surface hardness are designed. The main effect on the energy density of the laser beam has a velocity laser treatment, on the other hand, the main effect on the geometrical parameters of the laser hardened zone and surface hardness has temperature heating are shown. The optimum magnitudes of the heating temperature (1270 °C and feed rate of the treated surface (90 mm/min for laser transformation hardening of the tool steel AISI D2 using fiber laser with scanner were defined.

  7. Modeling copper precipitation hardening and embrittlement in a dilute Fe-0.3at.%Cu alloy under neutron irradiation

    Science.gov (United States)

    Bai, Xian-Ming; Ke, Huibin; Zhang, Yongfeng; Spencer, Benjamin W.

    2017-11-01

    Neutron irradiation in light water reactors can induce precipitation of nanometer sized Cu clusters in reactor pressure vessel steels. The Cu precipitates impede dislocation gliding, leading to an increase in yield strength (hardening) and an upward shift of ductile-to-brittle transition temperature (embrittlement). In this work, cluster dynamics modeling is used to model the entire Cu precipitation process (nucleation, growth, and coarsening) in a Fe-0.3at.%Cu alloy under neutron irradiation at 300°C based on the homogenous nucleation mechanism. The evolution of the Cu cluster number density and mean radius predicted by the modeling agrees well with experimental data reported in literature for the same alloy under the same irradiation conditions. The predicted precipitation kinetics is used as input for a dispersed barrier hardening model to correlate the microstructural evolution with the radiation hardening and embrittlement in this alloy. The predicted radiation hardening agrees well with the mechanical test results in the literature. Limitations of the model and areas for future improvement are also discussed in this work.

  8. Influence of radiation on photo-electric characteristics of silicon photo cells with optical coverings

    International Nuclear Information System (INIS)

    Madatov, R.S.; Safarov, N.A.; Gasymova, V.G.; Abdurragimov, A.A.; Allahverdiev, A.M.

    2003-01-01

    In the given work results of measurements volt-ampere and spectral characteristics of silicon photo cells with optical coverings ZnS+Nd 2 O 3 irradiated accelerated electrons with energy 4.5 MeV are carried out. Elements have been made by diffusion of phosphorus in p-silicon with specific resistance 2 Ω·cm. Under condition of illumination from source AMI the photocurrent of short circuit made 40 mA/cm 2 , and a photo voltage of idling 0.52 V, efficiency made 15 %. To receive low reflection in wide area of spectral sensitivity and by that as much as possible to increase efficiency of elements with the help of two-layer coverings. The irradiation of samples was made on linear accelerator EL4-6 at room temperature. It is received, that with increase in a dose of an irradiation the Photocurrent and photo voltage decreases, and speed reduction of a photo-current is stronger, than photo voltage. The critical integrated stream for these elements makes 4·10 12 el/cm 2 . In all researched samples radiating reduction of a voltage of idling in an interval of 10 10 -10 14 el/cm 2 makes 8-10 %. The analysis of spectral characteristics of the irradiated samples show, that reduction of a photocurrent in long-wave areas of a spectrum is connected by creation of radiating defects in a base part of an element. The increase in a critical stream in silicon solar elements with optical a covering in comparison with elements without a covering is connected with low concentration of defects in the base, created with electron. Thus, on the basis of complex research of influence on radiating stability silicon solar elements us it is established, that two-layer coverings not only increases efficiency of photo cells, but also considerably raise value of an integrated stream electrons, that is equivalent to increase in service life of the elements working in conditions of radiation

  9. Development of radiation hard microstrip detectors for the CBM silicon tracking system

    Energy Technology Data Exchange (ETDEWEB)

    Chatterji, Sudeep [GSI, Darmstadt (Germany)

    2010-07-01

    Radiation damage in Silicon microstrip detectors is of the one main concerns for the development of the Silicon Tracking System (STS) in the planned Compressed Baryonic Matter (CBM) experiment at FAIR. The STS will consist of Double Sided Silicon Strip Detectors (DSSD) having pitch around 60 {mu}m, width 20 {mu}m, stereo angle of {+-}7.5{sup 0} on n and p sides with double metallization on either side making it challenging to fabricate.We are using 3-dimensional TCAD simulation tools from SYNOPSYS to carry out process (using Sentaurus Process) and device (using Sentaurus Device) simulations.We have simulated the impact of radiation damage in DSSDs by changing the effective carrier concentration (N{sub eff}) with fluence using the Hamburg model. The change in minority carrier life time has been taken into account using the Kraners model and the Perugia trap model has been used to simulate the traps. We have also extracted macroscopic parameters like Coupling Capacitance, Interstrip Capacitance (both DC and AC), Interstrip Resistance of DSSDs using Mixed Mode simulation (using SPICE with Sentaurus Device) and studied the variation of these parameters with fluence. The simulation results have been compared to the experimental results. We also simulated transients by passing a Heavy Ion through a DSSD and studied the charge collection performance.

  10. Superheat effect on bainite steel hardenability

    International Nuclear Information System (INIS)

    Kubachek, V.V.; Sklyuev, P.V.

    1978-01-01

    The bainite hardenability of 34KhN1M and 35 KhN1M2Ph steels has been investigated by the end-face hardening technique. It is established that, as the temperature of austenitization rises from 900 to 1280 deg C, the temperature of bainite transformation increases and bainite hardenability of the steels falls off. A repeated slow heating to 900 deg C of previously overheated 34KhN1M steel breaks up grain, lowers the temperature of the bainite transformation and raises the hardenability to values obtained with ordinary hardening from 900 deg C. A similar heating of previously overheated 35KhN1M2Ph steel is accompanied by restoration of initial coarse grains and maintenance of both the elevated bainite transformation temperature and to lower hardenability corresponding to hardening from the temperature of previous overheating

  11. Testing of bulk radiation damage of n-in-p silicon sensors for very high radiation environments

    Czech Academy of Sciences Publication Activity Database

    Hara, K.; Affolder, A.A.; Allport, P.P.; Bates, R.; Betancourt, C.; Böhm, Jan; Brown, H.; Buttar, C.; Carter, J. R.; Casse, G.; Mikeštíková, Marcela

    2011-01-01

    Roč. 636, č. 1 (2011), "S83"-"S89" ISSN 0168-9002 R&D Projects: GA MŠk LA08032 Institutional research plan: CEZ:AV0Z10100502 Keywords : p-bulk silicon * microstrip * charge collection * radiation damage Subject RIV: BF - Elementary Particles and High Energy Physics Impact factor: 1.207, year: 2011 http://dx.doi.org/10.1016/j.nima.2010.04.090

  12. Temperature dependence of the radiation induced change of depletion voltage in silicon PIN detectors

    International Nuclear Information System (INIS)

    Ziock, H.J.; Holzscheiter, K.; Morgan, A.; Palounek, A.P.T.; Ellison, J.; Heinson, A.P.; Mason, M.; Wimpenny, S.J.; Barberis, E.; Cartiglia, N.; Grillo, A.; O'Shaughnessy, K.; Rahn, J.; Rinaldi, P.; Rowe, W.A.; Sadrozinski, H.F.W.; Seiden, A.; Spencer, E.; Webster, A.; Wichmann, R.; Wilder, M.; Coupal, D.; Pal, T.

    1993-01-01

    The silicon microstrip detectors that will be used in the SDC experiment at the Superconducting Super Collider (SSC) will be exposed to very large fluences of charged particles, neutrons, and gammas. The authors present a study of how temperature affects the change in the depletion voltage of silicon PIN detectors damaged by radiation. They study the initial radiation damage and the short-term and long-term annealing of that damage as a function of temperature in the range from -10 degrees C to +50 degrees C, and as a function of 800 MeV proton fluence up to 1.5 x 10 14 p/cm 2 . They express the pronounced temperature dependencies in a simple model in terms of two annealing time constants which depend exponentially on the temperature

  13. Silicon-lithium nuclear radiation detectors. Kremnii-litievye detektory yadernogo izlucheniya

    Energy Technology Data Exchange (ETDEWEB)

    Azimov, S.A.; Muminov, R.A.; Shamirzaev, S.Kh.; Yafasov, A.Ya.

    1981-01-01

    A presentation is made of the physical principles underlying the design, building, and technology of silicon-lithium detectors and ways of improving them. Criteria of nuclear radiation control and selection and the connection between radiation and detector properties are discussed. A study is made of the effect that various defects have on the process of charge collection and formation of amplitude spectra during the recording of various types of particles. A detailed examination is made of the optimal technological systems for making detectors with high energy and time resolutions, and features of producing high-quality detectors which employ the use of ion-laser and other methods of modern semiconductor technology. 322 references, 102 figures, 2 tables.

  14. Influence of Hardening Model on Weld Residual Stress Distribution

    Energy Technology Data Exchange (ETDEWEB)

    Mullins, Jonathan; Gunnars, Jens (Inspecta Technology AB, Stockholm (Sweden))

    2009-06-15

    This study is the third stage of a project sponsored by the Swedish Radiation Safety Authority (SSM) to improve the weld residual stress modelling procedures currently used in Sweden. The aim of this study was to determine which material hardening model gave the best agreement with experimentally measured weld residual stress distributions. Two girth weld geometries were considered: 19mm and 65mm thick girth welds with Rin/t ratios of 10.5 and 2.8, respectively. The FE solver ABAQUS Standard v6.5 was used for analysis. As a preliminary step some improvements were made to the welding simulation procedure used in part one of the project. First, monotonic stress strain curves and a mixed isotropic/kinematic hardening model were sourced from the literature for 316 stainless steel. Second, more detailed information was obtained regarding the geometry and welding sequence for the Case 1 weld (compared with phase 1 of this project). Following the preliminary step, welding simulations were conducted using isotropic, kinematic and mixed hardening models. The isotropic hardening model gave the best overall agreement with experimental measurements; it is therefore recommended for future use in welding simulations. The mixed hardening model gave good agreement for predictions of the hoop stress but tended to under estimate the magnitude of the axial stress. It must be noted that two different sources of data were used for the isotropic and mixed models in this study and this may have contributed to the discrepancy in predictions. When defining a mixed hardening model it is difficult to delineate the relative contributions of isotropic and kinematic hardening and for the model used it may be that a greater isotropic hardening component should have been specified. The kinematic hardening model consistently underestimated the magnitude of both the axial and hoop stress and is not recommended for use. Two sensitivity studies were also conducted. In the first the effect of using a

  15. Influence of Hardening Model on Weld Residual Stress Distribution

    International Nuclear Information System (INIS)

    Mullins, Jonathan; Gunnars, Jens

    2009-06-01

    This study is the third stage of a project sponsored by the Swedish Radiation Safety Authority (SSM) to improve the weld residual stress modelling procedures currently used in Sweden. The aim of this study was to determine which material hardening model gave the best agreement with experimentally measured weld residual stress distributions. Two girth weld geometries were considered: 19mm and 65mm thick girth welds with Rin/t ratios of 10.5 and 2.8, respectively. The FE solver ABAQUS Standard v6.5 was used for analysis. As a preliminary step some improvements were made to the welding simulation procedure used in part one of the project. First, monotonic stress strain curves and a mixed isotropic/kinematic hardening model were sourced from the literature for 316 stainless steel. Second, more detailed information was obtained regarding the geometry and welding sequence for the Case 1 weld (compared with phase 1 of this project). Following the preliminary step, welding simulations were conducted using isotropic, kinematic and mixed hardening models. The isotropic hardening model gave the best overall agreement with experimental measurements; it is therefore recommended for future use in welding simulations. The mixed hardening model gave good agreement for predictions of the hoop stress but tended to under estimate the magnitude of the axial stress. It must be noted that two different sources of data were used for the isotropic and mixed models in this study and this may have contributed to the discrepancy in predictions. When defining a mixed hardening model it is difficult to delineate the relative contributions of isotropic and kinematic hardening and for the model used it may be that a greater isotropic hardening component should have been specified. The kinematic hardening model consistently underestimated the magnitude of both the axial and hoop stress and is not recommended for use. Two sensitivity studies were also conducted. In the first the effect of using a

  16. Extracting material response from simple mechanical tests on hardening-softening-hardening viscoplastic solids

    Science.gov (United States)

    Mohan, Nisha

    Compliant foams are usually characterized by a wide range of desirable mechanical properties. These properties include viscoelasticity at different temperatures, energy absorption, recoverability under cyclic loading, impact resistance, and thermal, electrical, acoustic and radiation-resistance. Some foams contain nano-sized features and are used in small-scale devices. This implies that the characteristic dimensions of foams span multiple length scales, rendering modeling their mechanical properties difficult. Continuum mechanics-based models capture some salient experimental features like the linear elastic regime, followed by non-linear plateau stress regime. However, they lack mesostructural physical details. This makes them incapable of accurately predicting local peaks in stress and strain distributions, which significantly affect the deformation paths. Atomistic methods are capable of capturing the physical origins of deformation at smaller scales, but suffer from impractical computational intensity. Capturing deformation at the so-called meso-scale, which is capable of describing the phenomenon at a continuum level, but with some physical insights, requires developing new theoretical approaches. A fundamental question that motivates the modeling of foams is `how to extract the intrinsic material response from simple mechanical test data, such as stress vs. strain response?' A 3D model was developed to simulate the mechanical response of foam-type materials. The novelty of this model includes unique features such as the hardening-softening-hardening material response, strain rate-dependence, and plastically compressible solids with plastic non-normality. Suggestive links from atomistic simulations of foams were borrowed to formulate a physically informed hardening material input function. Motivated by a model that qualitatively captured the response of foam-type vertically aligned carbon nanotube (VACNT) pillars under uniaxial compression [2011,"Analysis of

  17. Synchrotron radiation total reflection x-ray fluorescence analysis; of polymer coated silicon wafers

    International Nuclear Information System (INIS)

    Brehm, L.; Kregsamer, P.; Pianetta, P.

    2000-01-01

    It is well known that total reflection x-ray fluorescence (TXRF) provides an efficient method for analyzing trace metal contamination on silicon wafer surfaces. New polymeric materials used as interlayer dielectrics in microprocessors are applied to the surface of silicon wafers by a spin-coating process. Analysis of these polymer coated wafers present a new challenge for TXRF analysis. Polymer solutions are typically analyzed for bulk metal contamination prior to application on the wafer using inductively coupled plasma mass spectrometry (ICP-MS). Questions have arisen about how to relate results of surface contamination analysis (TXRF) of a polymer coated wafer to bulk trace analysis (ICP-MS) of the polymer solutions. Experiments were done to explore this issue using synchrotron radiation (SR) TXRF. Polymer solutions were spiked with several different concentrations of metals. These solutions were applied to silicon wafers using the normal spin-coating process. The polymer coated wafers were then measured using the SR-TXRF instrument set-up at the Stanford Synchrotron Radiation Laboratory (SSRL). Several methods of quantitation were evaluated. The best results were obtained by developing calibration curves (intensity versus ppb) using the spiked polymer coated wafers as standards. Conversion of SR-TXRF surface analysis results (atoms/cm 2 ) to a volume related concentration was also investigated. (author)

  18. Radiation Effects of n-type, Low Resistivity, Spiral Silicon Drift Detector Hybrid Systems

    International Nuclear Information System (INIS)

    Chen, W.; De Geronimo, G.; Carini, G.A.; Gaskin, J.A.; Keister, J.W.; Li, S.; Li, Z.; Ramsey, B.D.; Siddons, D.P.; Smith, G.C.; Verbitskaya, E.

    2011-01-01

    We have developed a new thin-window, n-type, low-resistivity, spiral silicon drift detector (SDD) array - to be used as an extraterrestrial X-ray spectrometer (in varying environments) for NASA. To achieve low-energy response, a thin SDD entrance window was produced using a previously developed method. These thin-window devices were also produced on lower resistivity, thinner, n-type, silicon material, effectively ensuring their radiation hardness in anticipation of operation in potentially harsh radiation environments (such as found around the Jupiter system). Using the Indiana University Cyclotron Facility beam line RERS1, we irradiated a set of suitable diodes up to 5 Mrad and the latest iteration of our ASICs up to 12 Mrad. Then we irradiated two hybrid detectors consisting of newly, such-produced in-house (BNL) SDD chips bonded with ASICs with doses of 0.25 Mrad and 1 Mrad. Also we irradiated another hybrid detector consisting of previously produced (by KETEK) on n-type, high-resistivity SDD chip bonded with BNL's ASICs with a dose of 1 Mrad. The measurement results of radiated diodes (up to 5 Mrad), ASICs (up to 12 Mrad) and hybrid detectors (up to 1 Mrad) are presented here.

  19. Study of the radiation damage of silicon photomultipliers

    Energy Technology Data Exchange (ETDEWEB)

    Nitschke, Michael; Chmill, Valery; Garutti, Erika; Klanner, Robert; Schwandt, Joern [Institute for Experimental Physics, Hamburg University, Luruper Chaussee 149, D-22761 Hamburg (Germany)

    2016-07-01

    Radiation damage significantly changes the performance of silicon photomultipliers (SiPM). In this work, we first have characterized KETEK SiPMs with a pixel size of 15 x 15 μm{sup 2} using I-V (current-voltage), C/G-V/f (capacitance/impedance-voltage/frequency) and Q-V (charge-voltage) measurements with and without illumination with blue light of 470 nm from an LED. The SiPM parameters determined are DCR (dark count rate), relative PDE (photon detection efficiency), G (Gain), XT (cross-talk), Geiger breakdown characteristics, C{sub pix} (pixel capacitance) and R{sub q} (quenching resistance). Following this first characterization, the SiPMs were irradiated using reactor neutrons with fluences of 10{sup 9}, 10{sup 10}, 10{sup 11}, 5 . 10{sup 11}, and 10{sup 12} n/cm{sup 2}. Afterwards, the same measurements were repeated, and the dependence of the SiPM parameters on neutron fluence was determined. The results are used to optimize the radiation tolerance of SiPMs.

  20. Oxygen defect processes in silicon and silicon germanium

    KAUST Repository

    Chroneos, A.

    2015-06-18

    Silicon and silicon germanium are the archetypical elemental and alloy semiconductor materials for nanoelectronic, sensor, and photovoltaic applications. The investigation of radiation induced defects involving oxygen, carbon, and intrinsic defects is important for the improvement of devices as these defects can have a deleterious impact on the properties of silicon and silicon germanium. In the present review, we mainly focus on oxygen-related defects and the impact of isovalent doping on their properties in silicon and silicon germanium. The efficacy of the isovalent doping strategies to constrain the oxygen-related defects is discussed in view of recent infrared spectroscopy and density functional theory studies.

  1. Oxygen defect processes in silicon and silicon germanium

    KAUST Repository

    Chroneos, A.; Sgourou, E. N.; Londos, C. A.; Schwingenschlö gl, Udo

    2015-01-01

    Silicon and silicon germanium are the archetypical elemental and alloy semiconductor materials for nanoelectronic, sensor, and photovoltaic applications. The investigation of radiation induced defects involving oxygen, carbon, and intrinsic defects is important for the improvement of devices as these defects can have a deleterious impact on the properties of silicon and silicon germanium. In the present review, we mainly focus on oxygen-related defects and the impact of isovalent doping on their properties in silicon and silicon germanium. The efficacy of the isovalent doping strategies to constrain the oxygen-related defects is discussed in view of recent infrared spectroscopy and density functional theory studies.

  2. [Effects of silicon supply on diurnal variations of physiological properties at rice heading stage under elevated UV-B radiation].

    Science.gov (United States)

    Wu, Lei; Lou, Yun-sheng; Meng, Yan; Wang, Wei-qing; Cui, He-yang

    2015-01-01

    A pot experiment was conducted to investigate the effects of silicon (Si) supply on diurnal variations of photosynthesis and transpiration-related physiological parameters at rice heading stage under elevated UV-B radiation. The experiment was designed with two UV-B radiation levels, i.e. ambient UV-B. (ambient, A) and elevated UV-B (elevated by 20%, E), and four Si supply levels, i.e. Sio (control, 0 kg SiO2 . hm-2), Si, (sodium silicate, 100 kg SiO2 . hm-2), Si2 (sodium silicate, 200 kg SiO2 . hm2), Si3 (slag fertilizer, 200 kg SiO2 . hm-2). The results showed that, compared with ambient UV-B radiation, elevated UV-B radiation decreased the net photosynthesis rate (Pn) , intercellular CO2 concentration (Ci), transpiration rate (Tr), stomatal conductivity (gs) and water use efficiency (WUE) by 11.3%, 5.5%, 10.4%, 20.3% and 6.3%, respectively, in the treatment without Si supply (Si, level), and decreased the above parameters by 3.8%-5.5%, 0.7%-4.8%, 4.0%-8.7%, 7.4%-20.2% and 0.7%-5.9% in the treatments with Si supply (Si1, Si2 and Si3 levels) , respectively. Namely, elevated UV-B radiation decreased the photosynthesis and transpiration-related physiological parameters, but silicon supply could obviously mitigate the depressive effects of elevated UV-B radiation. Under elevated UV-B radiation, compared with control (Si0 level), silicon supply increased Pn, Ci, gs and WUE by 16.9%-28.0%, 3.5%-14.3%, 16.8% - 38.7% and 29.0% - 51.2%, respectively, but decreased Tr by 1.9% - 10.8% in the treatments with Si supply (Si1 , Si2 and Si3 levels). That is, silicon supply could mitigate the depressive effects of elevated UV-B radiation through significantly increasingnP., CigsgK and WUE, but decreasing T,. However, the difference existed in ameliorating the depressive effects of elevated UV-B radiation on diurnal variations of physiological parameters among the treatments of silicon supply, with the sequence of Si3>Si2>1i >Si0. This study suggested that fertilizing slag was

  3. Enhancement of deposition rate at cryogenic temperature in synchrotron radiation excited deposition of silicon film

    International Nuclear Information System (INIS)

    Nara, Yasuo; Sugita, Yoshihiro; Ito, Takashi; Kato, Hiroo; Tanaka, Ken-ichiro

    1989-01-01

    The authors have investigated the synchrotron radiation excited deposition of silicon films on the SiO 2 substrate by using SiH 4 /He mixture gas at BL-12C at Photon Factory. They used VUV light from the multilayer mirror with the center photon energy from 97 to 123eV, which effectively excites L-core electrons of silicon. Substrate temperature was widely varied from -178 degree C to 500 degree C. At -178 degree C, the deposition rate was as high as 400nm/200mAHr (normalized at the storage ring current at 200mA). As increasing the substrate temperature, the deposition rate was drastically decreased. The number of deposited silicon atoms is estimated to be 4 to 50% of incident photons, while the number of photo generated species in the gas phase within the mean free path from the surface is calculated as few as about 10 -3 of incident photons. These experimental results show that the deposition reaction is governed by the dissociation of surface adsorbates by the synchrotron radiation

  4. Effect of Projectile Materials on Foreign Object Damage of a Gas-Turbine Grade Silicon Nitride

    Science.gov (United States)

    Choi, Sung R.; Racz, Zsolt; Bhatt, Ramakrishna T.; Brewer, David N.; Gyekenyesi, John P.

    2005-01-01

    Foreign object damage (FOD) behavior of AS800 silicon nitride was determined using four different projectile materials at ambient temperature. The target test specimens rigidly supported were impacted at their centers by spherical projectiles with a diameter of 1.59 mm. Four different types of projectiles were used including hardened steel balls, annealed steel balls, silicon nitride balls, and brass balls. Post-impact strength of each target specimen impacted was determined as a function of impact velocity to better understand the severity of local impact damage. The critical impact velocity where target specimens fail upon impact was highest with brass balls, lowest with ceramic ball, and intermediate with annealed and hardened steel balls. Degree of strength degradation upon impact followed the same order as in the critical impact velocity with respect to projectile materials. For steel balls, hardened projectiles yielded more significant impact damage than annealed counterparts. The most important material parameter affecting FOD was identified as hardness of projectiles and was correlated in terms of critical impact velocity, impact deformation, and impact load.

  5. Fabrication and electrical characterization of polyaniline-silicon heterojunction for gamma radiation dosimetry application

    International Nuclear Information System (INIS)

    Laranjeira, Jane Maria Goncalves

    2004-08-01

    In this work a technique has been developed to fabricate high quality polyaniline-silicon heterojunction diodes for use as gas and/or ionizing radiation sensors. Polyaniline thin films (40 nm thick) produced by spin-coating on silicon substrates, were the active part of the junction structure. The devices presented excellent reproducibility of their electrical characteristics with high rectification ratio, 60,000 at ±1.0 V, and typical reverse current at - 1.0 V of 3 nA at 295 K. A G/I x G plot has been used to analyze the current-voltage characteristics, yielding typical series resistance of 4 kΩ ± 5% and ideality factor in a range of 1,9 ± 0.5%. The heterojunction diode presents high sensitivity to gamma radiation in the dose range of 3 x 10 -2 to 7 kGy with a linear response in the forward and reverse bias. The excellent electrical characteristics together with the linear response with the dose, strongly suggest the application of this device for spectrometry or dosimetry of high doses of gamma radiation. These devices presented high sensitivity to gas moistures such as ammonia, nitric acid and trichloroethylene. In both cases the sensitivity was observed through shifts of the current-voltage curves, which can be easily monitored to provide a calibration curve of the sensor either as a radiation dosimeter or as a gas sensor for use in applications for gas monitoring or radiation dosimetry. Several aspects of the reliability physics of silicon-polyaniline heterojunction, such as degradation effects induced by local heating, charge trapping and temperature changes, have been discussed. These results further confirm the quality of the devices electrical characteristics and their suitability for radiation and gas sensors applications. Another interesting results presented in this work was the use of polyemeraldine nanofilms (thickness in the range 30-50 nm) deposited by 'spin coating' on glass substrates as an optical dosimeter for gamma radiation based on the

  6. Radiation damage and annealing of lithium-doped silicon solar cells

    Science.gov (United States)

    Statler, R. L.

    1971-01-01

    Evidence has been presented that a lithium-diffused crucible-grown silicon solar cell can be made with better efficiency than the flight-quality n p 10 ohms-cm solar cell. When this lithium cell is exposed to a continuous radiation evironment at 60 C (electron spectrum from gamma rays) it has a higher power output than the N/P cell after a fluence equivalent to 1 MeV. A comparison of annealing of proton- and electron-damage in this lithium cell reveals a decidedly faster rate of recovery and higher level of recoverable power from the proton effects. Therefore, the lithium cell shows a good potential for many space missions where the proton flux is a significant fraction of the radiation field to be encountered.

  7. Coherent bremsstrahlung and channeling radiation from electrons of one to three MeV in silicon and gold

    International Nuclear Information System (INIS)

    Watson, J.E.

    1981-01-01

    The observation of sharp peaks in the x-ray spectrum from 1 to 3 MeV electrons striking thin single crystals of silicon and gold is reported. These peaks were observed in the range 1 to 25 keV. The peaks are of two different origins, both direct results of the periodic nature of the target crystals. The first kind of radiation is caused by the interference of incoming and scattered electron wave functions. Because of the periodicity of the target material there is a coherence effect for certain bremsstrahlung wave vectors. This coherent bremsstrahlung, though well known at very high electron energies, has never been adequately studied at electron energies below several hundred MeV. Detailed agreement between theoretical prediction and observation in silicon is shown. The second kind of radiation is caused by electrons channeled along major crystal axes. The electrons enter certain quantized orbits as they channel and may emit photons as a consequence of transitions between the various orbits. Observations of channeling radiation for various crystal axes in silicon are presented. Both phenomena were observed in gold, the first such observation for any metallic target

  8. Evidence of Dopant Type-Inversion and Other Radiation Damage Effects of the CDF Silicon Detectors

    Energy Technology Data Exchange (ETDEWEB)

    Martinez-Ballarin, Roberto [Univ. of the Basque Country, Leioa (Spain)

    2010-06-01

    The aim of this document is to study the effect of radiation damage on the silicon sensors. The reflection of the effect of radiation can be observed in two fundamental parameters of the detector: the bias current and the bias voltage. The leakage current directly affects the noise, while the bias voltage is required to collect the maximum signal deposited by the charged particle.

  9. Radiation hard silicon microstrip detectors for Tevatron experiments

    International Nuclear Information System (INIS)

    Korjenevski, Sergey

    2004-01-01

    The Silicon Microstrip Tracking detectors at the CDF and D0 experiments have now been operating for almost three years at Fermilab. These detectors were designed originally for an integrated luminosity of 2fb -1 . As the expected luminosity for Run IIb at the Tevatron collider was initially envisioned to reach 15fb -1 , radiation tolerances of both devices were revisited, culminating in proposals for new systems. With reduced expectations for total luminosity at ∼6fb -1 , the full detector-replacement projects were terminated. The CDF detector is expected nevertheless to cope efficiently with the lower anticipated dose, however, the D0 experiment is planning a smaller-scale project: a Layer-0 (L0) upgrade of the silicon tracker (D0SMT). The new device will fit between the beam line and the inner layer of the current Tracker. Built of single-sided sensors, this upgrade is expected to perform well in the harsh radiation environment, and be able to withstand an integrated luminosity of 15fb -1 . Prototypes of Run IIb sensors were irradiated using 10MeV protons at the tandem Van de Graaff at the James R. McDonald Laboratory at Kansas State University. A fit to the 10MeV proton data yields a damage parameter αp=11x10-17Acm. This is consistent with results from RD48 (αp=9.9x10-17Acm). The scaling of damage to 1MeV neutron fluence uses a hardness factor (κ) derived from the non-ionizing components of the energy loss (NEIL). NEIL predicts a hardness factor of 3.87 for 10MeV protons. We obtained an experimental value of this factor of 2.54, or 34% smaller than scaling predictions from NEIL

  10. Study on immobilizations of ovine anti-human IgG and MCAb against EHF on radiation-modified silicone films

    International Nuclear Information System (INIS)

    Guo Jinhui; Ha Hongfei; Zhang Yuhua

    1990-01-01

    Films of silicone (silastic) were grafted by monomer acrylamide vis γ-radiation technology and then the ovine anti-human IgG, Epidemic hemorrhagic fever (EHF)-MCAb were immobilized on the silastic-AAM films with different grafting yields passthrough associate reactions. Measruements of relationships between grafting yields. Contents of immobilized antibodies and immunoactivities for immobilized silastic-AAM films were performed by using 125 I method ELISA method was used to measure the immunoactivities for the immobilized monoantibody. The results showed that the antibodies used can be immobilized on radiation-grafted silicone films and this immobilization method has its potential significance in clinical practice

  11. Study on immobilizations of ovine anti-human IgG and MCAb against EHF on radiation-modified silicone films

    Energy Technology Data Exchange (ETDEWEB)

    Jinhui, Guo; Hongfei, Ha; Yuhua, Zhang [Beijing Univ., BJ (China). Dept. of Technical Physics

    1990-08-01

    Films of silicone (silastic) were grafted by monomer acrylamide vis {gamma}-radiation technology and then the ovine anti-human IgG, Epidemic hemorrhagic fever (EHF)-MCAb were immobilized on the silastic-AAM films with different grafting yields passthrough associate reactions. Measruements of relationships between grafting yields. Contents of immobilized antibodies and immunoactivities for immobilized silastic-AAM films were performed by using {sup 125}I method ELISA method was used to measure the immunoactivities for the immobilized monoantibody. The results showed that the antibodies used can be immobilized on radiation-grafted silicone films and this immobilization method has its potential significance in clinical practice.

  12. Hardened over-coating fuel particle and manufacture of nuclear fuel using its fuel particle

    International Nuclear Information System (INIS)

    Yoshimuda, Hideharu.

    1990-01-01

    Coated-fuel particles comprise a coating layer formed by coating ceramics such as silicon carbide or zirconium carbide and carbons, etc. to a fuel core made of nuclear fuel materials. The fuel core generally includes oxide particles such as uranium, thorium and plutonium, having 400 to 600 μm of average grain size. The average grain size of the coated-fuel particle is usually from 800 to 900 μm. The thickness of the coating layer is usually from 150 to 250 μm. Matrix material comprising a powdery graphite and a thermosetting resin such as phenol resin, etc. is overcoated to the surface of the coated-fuel particle and hardened under heating to form a hardened overcoating layer to the coated-fuel particle. If such coated-fuel particles are used, cracks, etc. are less caused to the coating layer of the coated-fuel particles upon production, thereby enabling to prevent the damages to the coating layer. (T.M.)

  13. Changes in Structural Characteristics of Hypoeutectic Al-Si Cast Alloy after Age Hardening

    Directory of Open Access Journals (Sweden)

    Lenka HURTALOVÁ

    2012-09-01

    Full Text Available The contribution describes influence of the age-hardening consist of solution treatment at 515 °C with holding time 4 hours, water quenching at 40 °C and artificial aging at different temperature 150 °C, 170 °C and 190 °C with different holding time 2, 4, 8, 16 and 32 hours on mechanical properties (tensile strength and Brinell hardness and changes in morphology of eutectic Si, Fe-rich and Cu-rich intermetallic phases in secondary (recycled AlSi9Cu3 cast alloy. A combination of different analytical techniques (light microscopy upon black-white and colour etching, scanning electron microscopy (SEM upon deep etching and energy dispersive X-ray analysis (EDX were therefore been used for the identification of the various phases. Quantitative study of changes in morphology of eutectic Si, Cu-rich and Fe-rich phases was carried out using Image Analyzer software NIS-Elements. Mechanical properties were measured in line with EN ISO. Age-hardening led to changes in microstructure include the spheroidization and coarsening of eutectic silicon, gradual disintegration, shortening and thinning of Fe- rich intermetallic phases, the dissolution of precipitates and the precipitation of finer hardening phase (Al2Cu further increase in the hardness and tensile strength in the alloy.DOI: http://dx.doi.org/10.5755/j01.ms.18.3.2430

  14. Neutron Radiation Effect On 2N2222 And NTE 123 NPN Silicon Bipolar Junction Transistors

    International Nuclear Information System (INIS)

    Oo, Myo Min; Rashid, N K A Md; Hasbullah, N F; Karim, J Abdul; Zin, M R Mohamed

    2013-01-01

    This paper examines neutron radiation with PTS (Pneumatic Transfer System) effect on silicon NPN bipolar junction transistors (2N2222 and NTE 123) and analysis of the transistors in terms of electrical characterization such as current gain after neutron radiation. The key parameters are measured with Keithley 4200SCS. Experiment results show that the current gain degradation of the transistors is very sensitive to neutron radiation. The neutron radiation can cause displacement damage in the bulk layer of the transistor structure. The current degradation is believed to be governed by increasing recombination current between the base and emitter depletion region

  15. Amorphous silicon pixel radiation detectors and associated thin film transistor electronics readout

    International Nuclear Information System (INIS)

    Perez-Mendez, V.; Cho, G.; Drewery, J.; Jing, T.; Kaplan, S.N.; Mireshghi, A.; Wildermuth, D.; Goodman, C.; Fujieda, I.

    1992-07-01

    We describe the characteristics of thin (1 μm) and thick (> 30 μm) hydrogenated amorphous silicon p-i-n diodes which are optimized for detecting and recording the spatial distribution of charged particles, x-ray, γ rays and thermal neutrons. For x-ray, γ ray, and charged particle detection we can use thin p-i-n photosensitive diode arrays coupled to evaporated layers of suitable scintillators. For thermal neutron detection we use thin (2∼5 μm) gadolinium converters on 30 μm thick a-Si:H diodes. For direct detection of minimum ionizing particles and others with high resistance to radiation damage, we use the thick p-i-n diode arrays. Diode and amorphous silicon readouts as well as polysilicon pixel amplifiers are described

  16. Radiation hard silicon microstrip detectors for use in ATLAS at CERN

    Energy Technology Data Exchange (ETDEWEB)

    Johansen, Lars Gimmestad

    2005-07-01

    The Large Hadron Collider (LHC) at CERN (Geneva, Switzerland) will accelerate protons in colliding beams to a center of mass energy of 14 TeV at very high luminosities. The ATLAS detector is being built to explore the physics in this unprecedented energy range. Tracking of charged particles in high-energy physics (HEP) experiments requires a high spatial resolution and fast signal readout, all with as little material as possible. Silicon microstrip detectors meet these requirements well and have been chosen for the Semiconductor Tracker (SCT) which is part of the inner tracking system of ATLAS and has a total area of 61 m2. During the 10 years of operation at LHC, the total fluence received by the detectors is sufficiently large that they will suffer a severe degradation from radiation induced damage. The damage affects both the physics performance of the detectors as well as their operability and a great challenge has been to develop radiation hard detectors for this environment. An extensive irradiation programme has been carried out where detectors of various designs, including defect engineering by oxygen enriched silicon, have been irradiated to the expected fluence. A subsequent thermal annealing period is included to account for a realistic annual maintenance schedule at room temperature, during which the radiation induced defects alter the detector properties significantly. This thesis presents work that has been carried out in the Bergen ATLAS group with results both from the irradiation programme and from detector testing during the module production. (Author)

  17. Radiation hard silicon microstrip detectors for use in ATLAS at CERN

    International Nuclear Information System (INIS)

    Johansen, Lars Gimmestad

    2005-06-01

    The Large Hadron Collider (LHC) at CERN (Geneva, Switzerland) will accelerate protons in colliding beams to a center of mass energy of 14 TeV at very high luminosities. The ATLAS detector is being built to explore the physics in this unprecedented energy range. Tracking of charged particles in high-energy physics (HEP) experiments requires a high spatial resolution and fast signal readout, all with as little material as possible. Silicon microstrip detectors meet these requirements well and have been chosen for the Semiconductor Tracker (SCT) which is part of the inner tracking system of ATLAS and has a total area of 61 m2. During the 10 years of operation at LHC, the total fluence received by the detectors is sufficiently large that they will suffer a severe degradation from radiation induced damage. The damage affects both the physics performance of the detectors as well as their operability and a great challenge has been to develop radiation hard detectors for this environment. An extensive irradiation programme has been carried out where detectors of various designs, including defect engineering by oxygen enriched silicon, have been irradiated to the expected fluence. A subsequent thermal annealing period is included to account for a realistic annual maintenance schedule at room temperature, during which the radiation induced defects alter the detector properties significantly. This thesis presents work that has been carried out in the Bergen ATLAS group with results both from the irradiation programme and from detector testing during the module production. (Author)

  18. New silicon photodiodes for detection of the 1064nm wavelength radiation

    Science.gov (United States)

    Wegrzecki, Maciej; Piotrowski, Tadeusz; Puzewicz, Zbigniew; Bar, Jan; Czarnota, Ryszard; Dobrowolski, Rafal; Klimov, Andrii; Kulawik, Jan; Kłos, Helena; Marchewka, Michał; Nieprzecki, Marek; Panas, Andrzej; Seredyński, Bartłomiej; Sierakowski, Andrzej; Słysz, Wojciech; Synkiewicz, Beata; Szmigiel, Dariusz; Zaborowski, Michał

    2016-12-01

    In this paper a concept of a new bulk structure of p+-υ-n+ silicon photodiodes optimized for the detection of fast-changing radiation at the 1064 nm wavelength is presented. The design and technology for two types of quadrant photodiodes, the 8-segment photodiode and the 32-element linear photodiode array that were developed according to the concept are described. Electric and photoelectric parameters of the photodiodes mentioned above are presented.

  19. Surface Effects in Segmented Silicon Sensors

    OpenAIRE

    Kopsalis, Ioannis

    2017-01-01

    Silicon detectors in Photon Science and Particle Physics require silicon sensors with very demanding specifications. New accelerators like the European X-ray Free Electron Laser (EuXFEL) and the High Luminosity upgrade of the Large Hadron Collider (HL-LHC), pose new challenges for silicon sensors, especially with respect to radiation hardness. High radiation doses and fluences damage the silicon crystal and the SiO2 layers at the surface, thus changing the sensor properties and limiting their...

  20. Radiation-tolerant delta-sigma time-to-digital converters

    CERN Document Server

    Cao, Ying; Steyaert, Michiel

    2015-01-01

    This book focuses on the design of a Mega-Gray (a standard unit of total ionizing radiation) radiation-tolerant ps-resolution time-to-digital converter (TDC) for a light detection and ranging (LIDAR) system used in a gamma-radiation environment. Several radiation-hardened-by-design (RHBD) techniques are demonstrated throughout the design of the TDC and other circuit techniques to improve the TDC's resolution in a harsh environment are also investigated. Readers can learn from scratch how to design a radiation-tolerant IC. Information regarding radiation effects, radiation-hardened design techniques and  measurements are organized in such a way that readers can easily gain a thorough understanding of the topic. Readers will also learn the design theory behind the newly proposed delta-sigma TDC. Readers can quickly acquire knowledge about the design of radiation-hardened bandgap voltage references and low-jitter relaxation oscillators, which are introduced in the content from a designer's perspective.   · �...

  1. Radiation effects on microelectronics

    International Nuclear Information System (INIS)

    Gover, J.E.

    1987-01-01

    Applications of radiation-hardened microelectronics in nuclear power systems include (a) light water reactor (LWR) containment building, postaccident instrumentation that can operate through the beta and gamma radiation released in a design basis loss-of-coolant accident; (b) advanced LWR instrumentation and control systems employing distributed digital integrated circuit (IC) technology to achieve a high degree of artificial intelligence and thereby reduce the probability of operator error under accident conditions; (c) instrumentation, command, control and communication systems for space nuclear power applications that must operate during the neutron and gamma-ray core leakage environments as well as the background electron, proton, and heavy charged particle environments of space; and (d) robotics systems designed for the described functions. Advanced microelectronics offer advantages in cost and reliability over alternative approaches to instrumentation and control. No semiconductor technology is hard to all classes of radiation effects phenomena. As the effects have become better understood, however, significant progress has been made in hardening IC technology. Application of hardened microelectronics to nuclear power systems has lagged military applications because of the limited market potential of hardened instruments and numerous institutional impediments

  2. Design Methodologies and to Combat Radiation Induced Corruption in FPGAs and SoCs, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Traditional radiation hardened by process (RHBP) and radiation hardened by design (RHBD) techniques have seen success in mitigating the effects of radiation induced...

  3. Working hardening modelization in zirconium alloys

    International Nuclear Information System (INIS)

    Sanchez, P.; Pochettino, Alberto A.

    1999-01-01

    Working hardening effects on mechanical properties and crystallographic textures formation in Zr-based alloys are studied. The hardening mechanisms for different grain deformations and topological conditions of simple crystal yield are considered. Results obtained show that the differences in the cold rolling textures (L and T textures) can be related with hardening microstructural parameters. (author)

  4. Practical aspects of systems hardening

    International Nuclear Information System (INIS)

    Shepherd, W.J.

    1989-01-01

    Applications of hardening technology in a practical system require a balance between the factors governing affordability, producibility, and survivability of the finished design. Without careful consideration of the top-level system operating constraints, a design engineer may find himself with a survivable but overweight, unproductive, expensive design. This paper explores some lessons learned in applying hardening techniques to several laser communications programs and is intended as an introductory guide to novice designers faced with the task of hardening a space system

  5. Silicon PIN diode hybrid arrays for charged particle detection: Building blocks for vertex detectors at the SSC

    International Nuclear Information System (INIS)

    Kramer, G.; Gaalema, S.; Shapiro, S.L.; Dunwoodie, W.M.; Arens, J.F.; Jernigan, J.G.

    1989-05-01

    Two-dimensional arrays of solid state detectors have long been used in visible and infrared systems. Hybrid arrays with separately optimized detector and readout substrates have been extensively developed for infrared sensors. The characteristics and use of these infrared readout chips with silicon PIN diode arrays produced by MICRON SEMICONDUCTOR for detecting high-energy particles are reported. Some of these arrays have been produced in formats as large as 512 /times/ 512 pixels; others have been radiation hardened to total dose levels beyond 1 Mrad. Data generation rates of 380 megasamples/second have been achieved. Analog and digital signal transmission and processing techniques have also been developed to accept and reduce these high data rates. 9 refs., 15 figs., 2 tabs

  6. Measurement of synchrotron radiation from the NBS SURF II using a silicon radiometer

    International Nuclear Information System (INIS)

    Schaefer, A.R.

    1980-01-01

    A project is described in which the synchrotron radiation output from the NBS storage ring known as SURF II, is measured using a well characterized silicon based radiometer. This device consists of a silicon photodiode coupled with two interference filters to restrict the spectral response to a finite and convenient spectral region for the measurement. Considerations required for the characterization of the radiometer will be discussed. The absolute radiant flux from the storage ring is also calculable from various machine parameters. A measurement of the number of circulating electrons will be derived from electron counting techniques at low levels. This will yield an important intercomparison between the synchrotron flux measurements determined in two entirely different ways. (orig.)

  7. Commercial power silicon devices as possible routine dosimeters for radiation processing

    International Nuclear Information System (INIS)

    Fuochi, P.G.; Lavalle, M.; Gombia, E.; Mosca, R.; Kovacs, A.V.; Hargittai, P.; Vitanza, A.; Patti, A.

    2001-01-01

    The use of silicon devices as possible radiation dosimeters has been investigated in this study. A bipolar power transistor in TO126 plastic packaging has been selected. Irradiations, with doses in the range from 50 Gy up to 5 kGy, have been performed at room temperature using different radiation sources ( 60 Co g source, 2.5, 4 and 12 MeV electron accelerators). Few irradiations with g rays were also done at different temperatures. A physical parameter, T, related to the charge carrier lifetime, has been found to change as a function of irradiation dose. This change is radiation energy dependent. Long term stability of the electron irradiated transistors has been checked by means of a reliability test ('high temperature reverse bias', HTRB) at 150 deg. C for 1000 h. Deep level transient spectroscopy (DLTS) measurements have been performed on the irradiated devices to identify the recombination centres introduced by the radiation treatment. The results obtained confirm that these transistors could be used as routine radiation dosimeters in a certain dose range. More work needs to be done particularly with g rays in the low dose region (50-200 Gy) and with low energy electrons. (author)

  8. Behavior of adhesion forces of silicone adhesive sealants and mastic butyl under the influence of ionizing radiation

    International Nuclear Information System (INIS)

    Costa, Wanderley da

    2012-01-01

    Adhesives are products that can keep materials together by bonds between the surfaces. Sealants are products that can keep filled a space between two surfaces, through a barrier that is configured as a 'bridge' between the two surfaces. The mastic is a product made of a mixture of substances with the primary butyl polymer, with the consistency of a mass not dried that can be used as a sealant. The polysiloxane, also known as silicone are the most important synthetic polymers with inorganic structure, and are matrices of silicone adhesive sealants. To demonstrate the behavior of the adhesive forces of these products under different conditions, we used five different techniques. These products were subjected to two different conditions to verify the behavior of adhesion, one at the environmental condition and another under the ionizing radiation. The results showed not only differences between products (silicone and mastic), but also that the adhesive forces have different behaviors under the conditions which the samples were subjected. With this was reached the goal of this study that aspired show the differences between the mastic and silicone, this last one is often considered - erroneously - the same as mastic. Thus it was proven that: 1. silicone can be regarded as an adhesive and a sealant at ambient conditions, 2. mastic improves substantially adhesion in an environment of ionizing radiation and this property can be an excellent alternative to the adhesive market. (author)

  9. Radiation sensitivity of integrated circuits Pt. 1

    International Nuclear Information System (INIS)

    Bereczkine Kerenyi, Ilona

    1986-01-01

    The cosmic ray sensitivity of CMOS integrated circuits are overviewed in three parts. The aim is to analyze the effects of ionizing radiation on the degradation of electronic parameters, the effects of the electric state during irradiation, and the radiation hardening of ICs. In this Part 1 a general introduction of the response of semiconductors to cosmic radiation is given, and the radiation tolerance and hardening of small-scale integrated CMOS ICs is analyzed in detail. The devices include various basic inverters and simple gate ICs. (R.P.)

  10. Solution hardening and strain hardening at elevated temperatures

    International Nuclear Information System (INIS)

    Kocks, U.F.

    1982-10-01

    Solutes can significantly increase the rate of strain hardening; as a consequence, the saturation stress, at which strain hardening tends to cease for a given temperature and strain rate, is increased more than the yield stress: this is the major effect of solutes on strength at elevated temperatures, especially in the regime where dynamic strain-aging occurs. It is shown that local solute mobility can affect both the rate of dynamic recovery and the dislocation/dislocation interaction strength. The latter effect leads to multiplicative solution strengthening. It is explained by a new model based on repeated dislocation unlocking, in a high-temperature limit, which also rationalizes the stress dependence of static and dynamic strain-aging, and may help explain the plateau of the yield stress at elevated temperatures. 15 figures

  11. Silicon Power MOSFETs

    Science.gov (United States)

    Lauenstein, Jean-Marie; Casey, Megan; Campola, Michael; Ladbury, Raymond; Label, Kenneth; Wilcox, Ted; Phan, Anthony; Kim, Hak; Topper, Alyson

    2017-01-01

    Recent work for the NASA Electronic Parts and Packaging Program Power MOSFET task is presented. The Task technology focus, roadmap, and partners are given. Recent single-event effect test results on commercial, automotive, and radiation hardened trench power MOSFETs are summarized with an emphasis on risk of using commercial and automotive trench-gate power MOSFETs in space applications.

  12. Hardening Azure applications

    CERN Document Server

    Gaurav, Suraj

    2015-01-01

    Learn what it takes to build large scale, mission critical applications -hardened applications- on the Azure cloud platform. This 208 page book covers the techniques and engineering principles that every architect and developer needs to know to harden their Azure/.NET applications to ensure maximum reliability and high availability when deployed at scale. While the techniques are implemented in .NET and optimized for Azure, the principles here will also be valuable for users of other cloud-based development platforms. Applications come in a variety of forms, from simple apps that can be bui

  13. Custom high-reliability radiation-hard CMOS-LSI circuit design

    International Nuclear Information System (INIS)

    Barnard, W.J.

    1981-01-01

    Sandia has developed a custom CMOS-LSI design capability to provide high reliability radiation-hardened circuits. This capability relies on (1) proven design practices to enhance reliability, (2) use of well characterized cells and logic modules, (3) computer-aided design tools to reduce design time and errors and to standardize design definition, and (4) close working relationships with the system designer and technology fabrication personnel. Trade-offs are made during the design between circuit complexity/performance and technology/producibility for high reliability and radiation-hardened designs to result. Sandia has developed and is maintaining a radiation-hardened bulk CMOS technology fabrication line for production of prototype and small production volume parts

  14. An analysis of radiation effects on electronics and soi-mos devices as an alternative

    International Nuclear Information System (INIS)

    Ikraiam, F. A.

    2013-01-01

    The effects of radiation on semiconductors and electronic components are analyzed. The performance of such circuitry depends upon the reliability of electronic devices where electronic components will be unavoidably exposed to radiation. This exposure can be detrimental or even fatal to the expected function of the devices. Single event effects (SEE), in particular, which lead to sudden device or system failure and total dose effects can reduce the lifetime of electronic devices in such systems are discussed. Silicon-on-insulator (SOI) technology is introduced as an alternative for radiation-hardened devices. I-V Characteristics Curves for SOI-MOS devices subjected to a different total radiation doses are illustrated. In addition, properties of some semiconductor materials such as diamond, diamond-like carbon films, SiC, GaP, and AlGaN/GaN are compared with those of SOI devices. The recognition of the potential usefulness of SOI-MOS semiconductor materials for harsh environments is discussed. A summary of radiation effects, impacts and mitigation techniques is also presented. (authors)

  15. Prediction of the Response of the Commercial BPW34FS Silicon p-i-n Diode Used as Radiation Monitoring Sensors up to Very High Fluences

    CERN Document Server

    Mekki, J; Glaser, M; Moll, M; Dusseau, L

    2010-01-01

    The effect of radiation damage on Silicon p-i-n diodes has been studied. I-V characteristics of BPW34FS silicon p-i-n diodes irradiated with 24 GeV/c protons up to 6.3 x 10(15) n(eq)/cm(2) have been measured and analyzed. A parameterization predicting the radiation response in the fluence range relevant for the use of the diodes as radiation monitors in Super-LHC experiments is presented.

  16. Radiation Damage Studies of Silicon Photomultipliers

    CERN Document Server

    Bohn, P; Hazen, E.; Heering, A.; Rohlf, J.; Freeman, J.; Los, Sergey V.; Cascio, E.; Kuleshov, S.; Musienko, Y.; Piemonte, C.

    2008-01-01

    We report on the measurement of the radiation hardness of silicon photomultipliers (SiPMs) manufactured by Fondazione Bruno Kessler in Italy (1 mm$^2$ and 6.2 mm$^2$), Center of Perspective Technology and Apparatus in Russia (1 mm$^2$ and 4.4 mm$^2$), and Hamamatsu Corporation in Japan (1 mm$^2$). The SiPMs were irradiated using a beam of 212 MeV protons at Massachusetts General Hospital, receiving fluences of up to $3 \\times 10^{10}$ protons per cm$^2$ with the SiPMs at operating voltage. Leakage currents were read continuously during the irradiation. The delivery of the protons was paused periodically to record scope traces in response to calibrated light pulses to monitor the gains, photon detection efficiencies, and dark counts of the SiPMs. The leakage current and dark noise are found to increase with fluence. Te leakage current is found to be proportional to the mean square deviation of the noise distribution, indicating the dark counts are due to increased random individual pixel activation, while SiPM...

  17. Investigation on the improved radiation hardness of silicon detectors with high oxygen concentration

    International Nuclear Information System (INIS)

    Moll, M.; Fretwurst, E.; Lindstroem, G.

    2000-01-01

    We present an investigation on the influence of the oxygen concentration on radiation-induced changes in the effective doping concentration of silicon detectors. Diodes fabricated from silicon with interstitial oxygen content ranging from below 2x10 14 to 9x10 17 cm -3 have been irradiated with fast neutrons up to a fluence of 2x10 15 cm -2 . Our main interest focused on the so-called stable damage component in the change of the effective doping concentration being of prime importance for the application of silicon detectors in high-energy physics experiments. We demonstrate, that with a high oxygen enrichment the donor removal is appreciably reduced, reaching a value of only 10% of the initial doping concentration for [O i ]=9x10 17 cm -3 , while for normal detector grade material with [O i ] below 5x10 16 cm -3 that value is 60-90%. Furthermore, we show that the fluence proportional introduction of stable acceptors is independent of the oxygen concentration with an averaged introduction rate of (1.49±0.03)x10 -2 cm -1 . Only one material was found exhibiting a significantly smaller value of about 0.6x10 -2 cm -1 and thus indicating the possibility to suppress the radiation-induced acceptor creation by material modification. Finally, we show that the experimental findings disagree in several important aspects with predictions made by microscopic defect kinetics models, leaving the physical background of some of the measured data as an open question

  18. Development of a hardened X-ray imager for the Megajoule Laser radiative environment

    International Nuclear Information System (INIS)

    Rousseau, A.

    2014-01-01

    Thermonuclear fusion experiments are led on Megajoule class laser facility by imploding a capsule filled with Deuterium and Tritium. In this context, it is necessary to diagnose the core size and the shape of the compressed target in order to provide valuable information and identify reasons for failure. State of the art X-ray imaging diagnostics cannot realize measurements without being perturbed by the nuclear background. The diagnostic that has been designed in this thesis combine high spatial resolution X-ray imaging at high energy and radiation tolerance to nuclear background. We have first guaranteed, theoretically and experimentally, survivability of X ray multilayer coating to energetic neutrons irradiation. Consequently, we have design the X-ray imaging system in order to achieve 5 μm resolution in a spectral range up to 95 keV. The X-ray image has then been converted into visible light in order to be easily transferred through a hardened optical relay to a protected area where the optical analyser is set. This analyser, combining light amplifier and pixelised detector, has also been studied and a novel method has been developed to reduce nuclear related transient perturbations on the device. This by parts design associated with Monte-Carlo Simulation (GEANT4) and experimental campaign on FCI facility (OMEGA) led to a coherent diagnostic architecture which will sustain high level of nuclear perturbation. (author) [fr

  19. Radiation damage and defect behavior in proton irradiated lithium-counterdoped n+p silicon solar cells

    Science.gov (United States)

    Stupica, John; Goradia, Chandra; Swartz, Clifford K.; Weinberg, Irving

    1987-01-01

    Two lithium-counterdoped n+p silicon solar cells with different lithium concentrations were irradiated by 10-MeV protons. Cell performance was measured as a function of fluence, and it was found that the cell with the highest concentration of lithium had the highest radiation resistance. Deep level transient spectroscopy which showed two deep level defects that were lithium related. Relating the defect energy levels obtained from this study with those from earlier work using 1-MeV electron irradiation shows no correlation of the defect energy levels. There is one marked similarity: the absence of the boron-interstitial-oxygen-interstitial defect. This consistency strengthens the belief that lithium interacts with oxygen to prevent the formation of the boron interstitial-oxygen interstitial defect. The results indicate that, in general, addition of lithium in small amounts to the p-base of a boron doped silicon solar cell such that the base remains p-type, tends to increase the radiation resistance of the cell.

  20. Advantages of dry hardened cask storage over wet storage for spent nuclear fuel

    Energy Technology Data Exchange (ETDEWEB)

    Romanato, Luiz Sergio, E-mail: romanato@ctmsp.mar.mil.b [Centro Tecnologico da Marinha em Sao Paulo (CTMSP), Sao Paulo, SP (Brazil). Dept. da Qualidade

    2011-07-01

    Pools are generally used to store and maintain spent nuclear fuel assemblies for cooling, after removed from reactors. After three to five years stored in the pools, spent fuel can be reprocessed or sent to a final disposition in a geological repository and handled as radioactive waste or sent to another site waiting for future solution. Spent fuel can be stored in dry or wet installations, depending on the method adopted by the nuclear plant. If this storage were exclusively wet, at the installation decommissioning in the future, another solution for storage will need to be found. Today, after a preliminary cooling, the spent fuel assemblies can be removed from the pool and sent to dry hardened storage installations. This kind of storage does not need complex radiation monitoring and it is safer than wet storage. Brazil has two nuclear reactors in operation, a third reactor is under construction and they use wet spent fuel storage . Dry hardened casks use metal or both metal and concrete for radiation shielding and they are safe, especially during an earthquake. An earthquake struck Japan on March 11, 2011 damaging Fukushima Daiichi nuclear power plant. The occurrence of earthquakes in Brazil is very small but dry casks can resist to other events, including terrorist acts, better than pools. This paper shows the advantages of dry hardened cask storage in comparison with the wet storage (water pools) for spent nuclear fuel. (author)

  1. Advantages of dry hardened cask storage over wet storage for spent nuclear fuel

    International Nuclear Information System (INIS)

    Romanato, Luiz Sergio

    2011-01-01

    Pools are generally used to store and maintain spent nuclear fuel assemblies for cooling, after removed from reactors. After three to five years stored in the pools, spent fuel can be reprocessed or sent to a final disposition in a geological repository and handled as radioactive waste or sent to another site waiting for future solution. Spent fuel can be stored in dry or wet installations, depending on the method adopted by the nuclear plant. If this storage were exclusively wet, at the installation decommissioning in the future, another solution for storage will need to be found. Today, after a preliminary cooling, the spent fuel assemblies can be removed from the pool and sent to dry hardened storage installations. This kind of storage does not need complex radiation monitoring and it is safer than wet storage. Brazil has two nuclear reactors in operation, a third reactor is under construction and they use wet spent fuel storage . Dry hardened casks use metal or both metal and concrete for radiation shielding and they are safe, especially during an earthquake. An earthquake struck Japan on March 11, 2011 damaging Fukushima Daiichi nuclear power plant. The occurrence of earthquakes in Brazil is very small but dry casks can resist to other events, including terrorist acts, better than pools. This paper shows the advantages of dry hardened cask storage in comparison with the wet storage (water pools) for spent nuclear fuel. (author)

  2. Hydrogenated amorphous silicon radiation detectors: Material parameters; radiation hardness; charge collection

    International Nuclear Information System (INIS)

    Qureshi, S.

    1991-01-01

    Properties of hydrogenated amorphous silicon p-i-n diodes relevant to radiation detection applications were studied. The interest in using this material for radiation detection applications in physics and medicine was motivated by its high radiation hardness and the fact that it can be deposited over large area at relatively low cost. Thick, fully depleted a-Si:H diodes are required for sufficient energy deposition by a charged particle and better signal to noise ratio. A sizeable electric field is essential for charge collection in a -Si:H diodes. The large density of ionized defects that exist in the i layer when the diode is under DC bias causes the electric field to be uniform. Material parameters, namely carrier mobility and lifetime and the ionized defect density in thick a-Si:H p-i-n diodes were studied by the transient photoconductivity method. The increase in diode leakage current with reverse bias over the operating bias was consistent with the Poole-Frenkel effect, involving excitation of carriers from neutral defects. The diode noise over the operating voltage range was completely explained in terms of the shot noise component for CR-(RC) 4 (pseudo-Gaussian) shaping at 3 μs shaping time and the noise component at 0 V bias (delta and thermal noise) added in quadrature. Irradiation with 1 Mev neutrons produced no significant degradation in leakage current and noise at fluences exceeding 4 x 10 14 cm -2 . Irradiation with 1.4 Mev proton fluence of 1 x 10 14 cm -2 decreased carrier lifetime by a factor of ∼4. Degradation in leakage current and noise became significant at proton fluence of ∼10 13 cm -2

  3. Investigation on the improved radiation hardness of silicon detectors with high oxygen concentration

    CERN Document Server

    Moll, Michael; Lindström, G

    2000-01-01

    We present an investigation on the influence of the oxygen concentration on radiation-induced changes in the effective doping concentration of silicon detectors. Diodes fabricated from silicon with interstitial oxygen content ranging from below 2*10/sup 14/ to 9*10/sup 17/ cm/sup -3/ have been irradiated with fast neutrons up to a fluence of 2*10/sup 15/ cm/sup -2/. Our main interest focused on the so-called stable damage component in the change of the effective doping concentration being of prime importance for the application of silicon detectors in high-energy physics experiments. We demonstrate, that with a high oxygen enrichment the donor removal is appreciably reduced, reaching a value of only 10601130f the initial doping concentration for [O/sub i/]=9*10/sup 17/ cm/sup -3/, while for normal detector grade material with [O/sub i/] below 5*10/sup 16/ cm /sup -3/ that value is 60-90Furthermore, we show that the fluence proportional introduction of stable acceptors is independent of the oxygen concentratio...

  4. Study of a design criterion for 316L irradiated represented by a strain hardened material; Etude d'un critere de dimensionnement d'un acier 316L irradie represente par un materiau ecroui

    Energy Technology Data Exchange (ETDEWEB)

    Gouin, H

    1999-07-01

    The aim of this study is to analyse the consequence of radiation on different structure submitted to imposed displacement loading and for damages due to plastic instability or rupture. The main consequence of radiation is a material hardening with a ductility decrease. This effect is similar to initial mechanical hardening: the mechanical properties (determined on smooth tensile specimen) evolve in the same way while irradiation or mechanical hardening increase. So in this study, radiation hardening is simulated by mechanical hardening (swaging). Tests were carried out for which two damages were considered: plastic instability and rupture. These two damages were studied with initial mechanical hardening (5 tested hammering rate 0, 15, 25, 35 and 45% on 316L stainless steel). Likewise two types of loading were studied: tensile or bending loading on specimens with or without geometrical singularities (notches). From tensile tests, two deformation criteria are proposed for prevention against the two quoted damages. Numerical study is carried out allowing to confirm hypothesis made at the time of the tensile test result interpretation and to validate the rupture criterion by applying on bending test. (author)

  5. Understanding of radiation effect on sinks in aluminum materials for research reactors

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Sang Il; Kim, Ji Hyun [UNIST, Daejeon (Korea, Republic of)

    2015-05-15

    Aluminum and its alloy are widely used in structural materials for research reactor such as guide tube and cladding because of its physical properties such as high thermal conductivity, neutron economy and corrosion resistant properties. Although aluminum and its alloy have excellent characteristic, radiation induced hardening and swelling are still important safety concern. From microstructural analysis, it was confirmed that dislocation loop, void and precipitate are major sinks which induced swelling and hardening. Among these defects, precipitation such as Mg{sub 2}Si and Si were generated by reaction between alloy elements and transmutations. Therefore, radiation induced swelling and hardening can be predicted by analyzing these defect. However, quantitative analysis of these defects has not been done by computational tools. Therefore, it is unclear that specific mechanism of alloy element effects on the irradiation swelling and hardening in aluminum alloys. Historically, radiation induced phenomena such as swelling, growth and hardening is simulated by Mean Field Radiation Damage Theory (MFRDT). From the MFRDT, reactions of irradiation defect and sink are calculated and then sink density is evolved at each type of sinks. The aim of this study is understanding of radiation effect on sink behavior. From the simplified reaction mechanism, defect concentration, sink density and irradiation hardening are calculated at each sink type. Transmutation effect was mostly dominant and dislocation loop and void effect were negligible.

  6. Influence of Microstructure and Process Conditions on Simultaneous Low-Temperature Surface Hardening and Bulk Precipitation Hardening of Nanoflex®

    DEFF Research Database (Denmark)

    Bottoli, Federico; Winther, Grethe; Christiansen, Thomas L.

    2015-01-01

    Precipitation hardening martensitic stainless steel Nanoflex was low-temperature nitrided or nitrocarburized. In these treatments, simultaneous hardening of the bulk, by precipitation hardening, and the surface by dissolving nitrogen/carbon can be obtained because the treatment temperatures...... and times for these essentially different hardening mechanisms are compatible. The effect of the processing history of the steel on the nitrided/nitrocarburized case was investigated by varying the amounts of austenite and martensite through variation of the degree of plastic deformation by tensile strain...... consisting of martensite results in the deepest nitrided case, while a shallow case develops on a microstructure consisting of austenite. For an initial microstructure consisting of both martensite and austenite a non-uniform case depth is achieved. Simultaneous bulk and surface hardening is only possible...

  7. Devising Strain Hardening Models Using Kocks–Mecking Plots—A Comparison of Model Development for Titanium Aluminides and Case Hardening Steel

    Directory of Open Access Journals (Sweden)

    Markus Bambach

    2016-08-01

    Full Text Available The present study focuses on the development of strain hardening models taking into account the peculiarities of titanium aluminides. In comparison to steels, whose behavior has been studied extensively in the past, titanium aluminides possess a much larger initial work hardening rate, a sharp peak stress and pronounced softening. The work hardening behavior of a TNB-V4 (Ti–44.5Al–6.25Nb–0.8Mo–0.1B alloy is studied using isothermal hot compression tests conducted on a Gleeble 3500 simulator, and compared to the typical case hardening steel 25MoCrS4. The behavior is analyzed with the help of the Kocks-Mecking plots. In contrast to steel the TNB-V4 alloy shows a non-linear course of θ (i.e., no stage-III hardening initially and exhibits neither a plateau (stage IV hardening nor an inflection point at all deformation conditions. The present paper describes the development and application of a methodology for the design of strain hardening models for the TNB-V4 alloy and the 25CrMoS4 steel by taking the course of the Kocks-Mecking plots into account. Both models use different approaches for the hardening and softening mechanisms and accurately predict the flow stress over a wide range of deformation conditions. The methodology may hence assist in further developments of more sophisticated physically-based strain hardening models for TiAl-alloys.

  8. Microstructure and grain size effects on irradiation hardening of low carbon steel for reactor tanks

    International Nuclear Information System (INIS)

    Milasin, N.

    1964-05-01

    Irradiation hardening of steel for reactor pressure vessels has been studied extensively during the past few years. A great number of experimental results concerning the behaviour of these steels in the radiation field and several review papers (1,2) have been published. Most of the papers deal with the effects of specific metallurgical factors or irradiation conditions (temperature, flux) on irradiation hardening and embrittlement. In addition, a number of experiments are performed to give evidence on the mechanism of irradiation hardening of these steels. However, this mechanism is still unknown due to the complexity of steel as a system. Among different methods used in radiation damage studies, the changes of mechanical properties have been mainly investigated. By using Hall-Petch's empirical relation, σ y =σ i +k y d -1/2 between lower yield stress, σ y , and grain size, 2d, the information about the effect of irradiation on the parameters σ i and k y is obtained. Taking as a base interpretation of σ i and k y given by Petch and his co-workers it has been concluded that radiation does not change the stress to start slip but that it increase the friction that opposes the passage of free dislocations across a slip plane. In attempting to apply Hall-Petch's relation to one unirradiated ferritic steel with a carbon content higher than 0.15% some difficulties were encountered. The results obtained indicate that the influence of grain size can not be isolated from other factors introduced by the treatments used to produce different grain sizes. This paper deals with a similar problem in the case of irradiated steel. The results obtained give the changes of the mechanical properties of steel in neutron irradiation field as a function of microstructure and grain size. In addition, the mechanical properties of irradiated steel are measured after annealing at 150 deg C and 450 deg C. On the basis of the experimental results obtained the relative microstructure and

  9. Hydrogen embrittlement susceptibility of laser-hardened 4140 steel

    Energy Technology Data Exchange (ETDEWEB)

    Tsay, L.W.; Lin, Z.W. [Nat. Taiwan Ocean Univ., Keelung (Taiwan). Inst. of Mater. Eng.; Shiue, R.K. [Institute of Materials Sciences and Engineering, National Dong Hwa University, Hualien, Taiwan (Taiwan); Chen, C. [Institute of Materials Sciences and Engineering, National Taiwan University, Taipei, Taiwan (Taiwan)

    2000-10-15

    Slow strain rate tensile (SSRT) tests were performed to investigate the susceptibility to hydrogen embrittlement of laser-hardened AISI 4140 specimens in air, gaseous hydrogen and saturated H{sub 2}S solution. Experimental results indicated that round bar specimens with two parallel hardened bands on opposite sides along the loading axis (i.e. the PH specimens), exhibited a huge reduction in tensile ductility for all test environments. While circular-hardened (CH) specimens with 1 mm hardened depth and 6 mm wide within the gauge length were resistant to gaseous hydrogen embrittlement. However, fully hardened CH specimens became susceptible to hydrogen embrittlement for testing in air at a lower strain rate. The strength of CH specimens increased with decreasing the depth of hardened zones in a saturated H{sub 2}S solution. The premature failure of hardened zones in a susceptible environment caused the formation of brittle intergranular fracture and the decrease in tensile ductility. (orig.)

  10. Porous silicon: X-rays sensitivity

    International Nuclear Information System (INIS)

    Gerstenmayer, J.L.; Vibert, Patrick; Mercier, Patrick; Rayer, Claude; Hyvernage, Michel; Herino, Roland; Bsiesy, Ahmad

    1994-01-01

    We demonstrate that high porosity anodically porous silicon is radioluminescent. Interests of this study are double. Firstly: is the construction of porous silicon X-rays detectors (imagers) possible? Secondly: is it necessary to protect silicon porous based optoelectronic systems from ionising radiations effects (spatial environment)? ((orig.))

  11. Epitaxial silicon detectors for particle tracking-Radiation tolerance at extreme hadron fluences

    International Nuclear Information System (INIS)

    Lindstroem, Gunnar; Dolenc, Irena; Fretwurst, Eckhart; Hoenniger, Frank; Kramberger, Gregor; Moll, Michael; Nossarzewska, Elsbieta; Pintilie, Ioana; Roeder, Ralf

    2006-01-01

    Diodes processed on n-type epitaxial silicon with a thickness of 25, 50 and 75 μm had been irradiated with reactor neutrons and high-energy protons (24 GeV/c) up to integrated fluences of Φ eq =10 16 cm -2 . Systematic experiments on radiation-induced damage effects revealed the following results: in contrast to standard and oxygen-enriched float zone (FZ) silicon devices no space charge sign inversion was observed after irradiation. It is shown that the radiation-generated concentration of deep acceptors, dominating the behavior of n-type FZ diodes, is compensated by creation of shallow donors. Thus a positive space charge is maintained throughout the irradiation up to the highest fluence and even during prolonged elevated-temperature annealing cycles. Defect analysis studies using thermally stimulated current measurements attribute the effect to a damage-induced shallow donor at E C -0.23 eV. It is argued that, as in the case of thermal donors, oxygen dimers, out diffusing from the Cz substrate during the diode processing, are responsible precursers. Results from extensive annealing experiments at elevated temperatures are verified by comparison with prolonged room-temperature annealing. These results showed that in contrast to FZ detectors, which always have to be cooled, room-temperature storage during beam off periods of future elementary particle physics experiments would even be beneficial for n-type epi-silicon detectors. A dedicated experiment at CERN-PS had successfully proven this expectation. It was verified, that in such a scenario the depletion voltage for the epi-detector could always be kept at a moderate level throughout the full S-LHC operation (foreseen upgrade of the large hadron collider). Practically no difference with respect to FZ-silicon devices was found in the damage-induced bulk generation current. The charge trapping measured with 90 Sr electrons (mip's) is also almost identical to what was expected. A charge collection efficiency of

  12. Epitaxial silicon detectors for particle tracking-Radiation tolerance at extreme hadron fluences

    Energy Technology Data Exchange (ETDEWEB)

    Lindstroem, Gunnar [Institute for Experimental Physics, University of Hamburg, Hamburg, 22761 (Germany)]. E-mail: gunnar.lindstroem@desy.de; Dolenc, Irena [Jozef Stefan Institute, University of Ljubljana, Ljubljana, 100 (Slovenia); Fretwurst, Eckhart [Institute for Experimental Physics, University of Hamburg, Hamburg, 22761 (Germany); Hoenniger, Frank [Institute for Experimental Physics, University of Hamburg, Hamburg, 22761 (Germany); Kramberger, Gregor [Jozef Stefan Institute, University of Ljubljana, Ljubljana, 100 (Slovenia); Moll, Michael [CERN, Geneva, 1211 (Switzerland); Nossarzewska, Elsbieta [ITME, Institute for Electronocs Materials Technology, Warsaw, 01919 (Poland); Pintilie, Ioana [National Institute of Materials Physics, Bucharest, 077125 (Romania); Roeder, Ralf [CiS Institute for Microsensors gGmbH, Erfurt, 99099 (Germany)

    2006-11-30

    Diodes processed on n-type epitaxial silicon with a thickness of 25, 50 and 75 {mu}m had been irradiated with reactor neutrons and high-energy protons (24 GeV/c) up to integrated fluences of {phi} {sub eq}=10{sup 16} cm{sup -2}. Systematic experiments on radiation-induced damage effects revealed the following results: in contrast to standard and oxygen-enriched float zone (FZ) silicon devices no space charge sign inversion was observed after irradiation. It is shown that the radiation-generated concentration of deep acceptors, dominating the behavior of n-type FZ diodes, is compensated by creation of shallow donors. Thus a positive space charge is maintained throughout the irradiation up to the highest fluence and even during prolonged elevated-temperature annealing cycles. Defect analysis studies using thermally stimulated current measurements attribute the effect to a damage-induced shallow donor at E {sub C}-0.23 eV. It is argued that, as in the case of thermal donors, oxygen dimers, out diffusing from the Cz substrate during the diode processing, are responsible precursers. Results from extensive annealing experiments at elevated temperatures are verified by comparison with prolonged room-temperature annealing. These results showed that in contrast to FZ detectors, which always have to be cooled, room-temperature storage during beam off periods of future elementary particle physics experiments would even be beneficial for n-type epi-silicon detectors. A dedicated experiment at CERN-PS had successfully proven this expectation. It was verified, that in such a scenario the depletion voltage for the epi-detector could always be kept at a moderate level throughout the full S-LHC operation (foreseen upgrade of the large hadron collider). Practically no difference with respect to FZ-silicon devices was found in the damage-induced bulk generation current. The charge trapping measured with {sup 90}Sr electrons (mip's) is also almost identical to what was expected

  13. Effect of radiation induced defects and incompatibility elastic stresses on the diffusion of ion implantated boron in silicon at the pulse annealing

    International Nuclear Information System (INIS)

    Stel'makh, V.F.; Suprun-Belevich, Yu.R.; Chelyadinskij, A.R.

    1987-01-01

    For determination of radiation defects effect on diffusion of the implanted boron in silicon at the pulse annealing, silicon crystals, implanted with boron, preliminary irradiated by silicon ions of different flows for checked defects implantation, were investigated. Silicon crystals additionally implanted by Ge + ions were investigated to research the effect of the incompatibility elastic stresses, emerging in implanted structures due to lattice periods noncoincidence in matrix and alloyed layers, on implanted boron diffusion. It is shown, that abnormally high values of boron diffusion coefficients in silicon at the pulse annealing are explained by silicon interstitial atom participation in redistribution of diffusing boron atoms by two diffusion channels - interstitial and vacation - and by incompatibility elastic stresses effect on diffusion

  14. On residual stresses and fatigue of laser hardened steels

    International Nuclear Information System (INIS)

    Lin, Ru.

    1992-01-01

    This thesis deals with studies on residual stresses and fatigue properties of laser-transformation hardened steels. Two types of specimens, cylinders and fatigue specimens were used in the studies. The cylinders, made of Swedish steels SS 2244 and SS 2258 which correspond to AISI 4140 and AISI 52100 respectively, were locally hardened by a single scan of laser beam in the longitudinal direction, with various laser parameters. Residual stress distributions across the hardened tracks were measured by means of X-ray diffraction. The origins of residual stresses were investigated and discussed. For the fatigue specimens, including smooth and notched types made of Swedish steels SS 2244, SS 2225 and SS 1572 (similar to AISI 4140, AISI 4130 and AISI 1035, respectively), laser hardening was carried out in the gauge section. The residual stress field induced by the hardening process and the fatigue properties by plane bending fatigue test were studied. In order to investigate the stability of the residual stress field, stress measurements were also made on specimens being loaded near the fatigue limits for over 10 7 cycles. Further the concept of local fatigue strength was employed to correlate quantitatively the effect of hardness and residual stress field on the fatigue limits. In addition a group of smooth specimens of SS 2244 was induction hardened and the hardening results were compared with the corresponding laser hardened ones in terms of residual stress and fatigue behaviour. It has been found that compressive stresses exist in the hardened zone of all the specimens studied. The laser hardening condition, the specimen and how the hardening is carried out can significantly affect the residual stress field. Laser hardening can greatly improve the fatigue properties by inducing a hardened and compressed surface layer. (112 refs.)(au)

  15. On residual stresses and fatigue of laser hardened steels

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Ru.

    1992-01-01

    This thesis deals with studies on residual stresses and fatigue properties of laser-transformation hardened steels. Two types of specimens, cylinders and fatigue specimens were used in the studies. The cylinders, made of Swedish steels SS 2244 and SS 2258 which correspond to AISI 4140 and AISI 52100 respectively, were locally hardened by a single scan of laser beam in the longitudinal direction, with various laser parameters. Residual stress distributions across the hardened tracks were measured by means of X-ray diffraction. The origins of residual stresses were investigated and discussed. For the fatigue specimens, including smooth and notched types made of Swedish steels SS 2244, SS 2225 and SS 1572 (similar to AISI 4140, AISI 4130 and AISI 1035, respectively), laser hardening was carried out in the gauge section. The residual stress field induced by the hardening process and the fatigue properties by plane bending fatigue test were studied. In order to investigate the stability of the residual stress field, stress measurements were also made on specimens being loaded near the fatigue limits for over 10[sup 7] cycles. Further the concept of local fatigue strength was employed to correlate quantitatively the effect of hardness and residual stress field on the fatigue limits. In addition a group of smooth specimens of SS 2244 was induction hardened and the hardening results were compared with the corresponding laser hardened ones in terms of residual stress and fatigue behaviour. It has been found that compressive stresses exist in the hardened zone of all the specimens studied. The laser hardening condition, the specimen and how the hardening is carried out can significantly affect the residual stress field. Laser hardening can greatly improve the fatigue properties by inducing a hardened and compressed surface layer. (112 refs.)(au).

  16. Photon-phonon laser on crystalline silicon: a feasibility study

    International Nuclear Information System (INIS)

    Zadernovsky, A A

    2015-01-01

    We discuss a feasibility of photon-phonon laser action in bulk silicon with electron population inversion. It is well known, that only direct gap semiconductors are used as an active medium in optical lasers. In indirect gap semiconductors, such as crystalline silicon, the near-to-gap radiative electron transitions must be assisted by emission or absorption of phonons to conserve the momentum. The rate of such two-quantum transitions is much less than in direct gap semiconductors, where the similar radiative transitions are single-quantum. As a result, the quantum efficiency of luminescence in silicon is too small to get it as a laser material. Numerous proposals to overcome this problem are aimed at increasing the rate of radiative recombination. We suggest enhancing the quantum efficiency of luminescence in silicon by stimulating the photon part of the two-quantum transitions by light from an appropriate external laser source. This allows us to obtain initially an external-source-assisted lasing in silicon and then a true photon-phonon lasing without any external source of radiation. Performed analysis revealed a number of requirements to the silicon laser medium (temperature, purity and perfection of crystals) and to the intensity of stimulating radiation. We discuss different mechanisms that may hinder the implementation of photon-phonon lasing in silicon

  17. Characterization and calibration of radiation-damaged double-sided silicon strip detectors

    Energy Technology Data Exchange (ETDEWEB)

    Kaya, L. [Institut für Kernphysik, Universität zu Köln, D-50937 Köln (Germany); Vogt, A., E-mail: andreas.vogt@ikp.uni-koeln.de [Institut für Kernphysik, Universität zu Köln, D-50937 Köln (Germany); Reiter, P.; Birkenbach, B.; Hirsch, R.; Arnswald, K.; Hess, H.; Seidlitz, M.; Steinbach, T.; Warr, N.; Wolf, K. [Institut für Kernphysik, Universität zu Köln, D-50937 Köln (Germany); Stahl, C.; Pietralla, N. [Institut für Kernphysik, Technische Universität Darmstadt, D-64291 Darmstadt (Germany); Limböck, T.; Meerholz, K. [Physikalische Chemie, Universität zu Köln, D-50939 Köln (Germany); Lutter, R. [Maier-Leibnitz-Laboratorium, Ludwig-Maximilians-Universität München, D-85748 Garching (Germany)

    2017-05-21

    Double-sided silicon strip detectors (DSSSD) are commonly used for event-by-event identification of charged particles as well as the reconstruction of particle trajectories in nuclear physics experiments with stable and radioactive beams. Intersecting areas of both p- and n-doped front- and back-side segments form individual virtual pixel segments allowing for a high detector granularity. DSSSDs are employed in demanding experimental environments and have to withstand high count rates of impinging nuclei. The illumination of the detector is often not homogeneous. Consequently, radiation damage of the detector is distributed non-uniformly. Position-dependent incomplete charge collection due to radiation damage limits the performance and lifetime of the detectors, the response of different channels may vary drastically. Position-resolved charge-collection losses between front- and back-side segments are investigated in an in-beam experiment and by performing radioactive source measurements. A novel position-resolved calibration method based on mutual consistency of p-side and n-side charges yields a significant enhancement of the energy resolution and the performance of radiation-damaged parts of the detector.

  18. Application of silicon carbide to synchrotron-radiation mirrors

    International Nuclear Information System (INIS)

    Takacs, P.Z.; Hursman, T.L.; Williams, J.T.

    1983-09-01

    Damage to conventional mirror materials exposed to the harsh synchrotron radiation (SR) environment has prompted the SR user community to search for more suitable materials. Next-generation insertion devices, with their attendant flux increases, will make the problem of mirror design even more difficult. A parallel effort in searching for better materials has been underway within the laser community for several years. The technology for dealing with high thermal loads is highly developed among laser manufacturers. Performance requirements for laser heat exchangers are remarkably similar to SR mirror requirements. We report on the application of laser heat exchanger technology to the solution of typical SR mirror design problems. The superior performance of silicon carbide for laser applications is illustrated by various material trades studies, and its superior performance for SR applications is illustrated by means of model calculations

  19. Radiation-induced bistable centers with deep levels in silicon n{sup +}–p structures

    Energy Technology Data Exchange (ETDEWEB)

    Lastovskii, S. B., E-mail: lastov@ifttp.bas-net.by [Scientific and Practical Materials Research Center of the National Academy of Sciences of Belarus (Belarus); Markevich, V. P. [Manchester University, Photon Science Institute (United Kingdom); Yakushevich, H. S.; Murin, L. I. [Scientific and Practical Materials Research Center of the National Academy of Sciences of Belarus (Belarus); Krylov, V. P. [Vladimir State University (Russian Federation)

    2016-06-15

    The method of deep level transient spectroscopy is used to study electrically active defects in p-type silicon crystals irradiated with MeV electrons and α particles. A new radiation-induced defect with the properties of bistable centers is determined and studied. After keeping the irradiated samples at room temperature for a long time or after their short-time annealing at T ∼ 370 K, this defect does not display any electrical activity in p-type silicon. However, as a result of the subsequent injection of minority charge carriers, this center transforms into the metastable configuration with deep levels located at E{sub V} + 0.45 and E{sub V} + 0.54 eV. The reverse transition to the main configuration occurs in the temperature range of 50–100°C and is characterized by the activation energy ∼1.25 eV and a frequency factor of ∼5 × 10{sup 15} s{sup –1}. The determined defect is thermally stable at temperatures as high as T ∼ 450 K. It is assumed that this defect can either be a complex of an intrinsic interstitial silicon atom with an interstitial carbon atom or a complex consisting of an intrinsic interstitial silicon atom with an interstitial boron atom.

  20. Laser Surface Hardening of Groove Edges

    Science.gov (United States)

    Hussain, A.; Hamdani, A. H.; Akhter, R.; Aslam, M.

    2013-06-01

    Surface hardening of groove-edges made of 3Cr13 Stainless Steel has been carried out using 500 W CO2 laser with a rectangular beam of 2.5×3 mm2. The processing speed was varied from 150-500 mm/min. It was seen that the hardened depth increases with increase in laser interaction time. A maximum hardened depth of around 1mm was achieved. The microhardness of the transformed zone was 2.5 times the hardness of base metal. The XRD's and microstructural analysis were also reported.

  1. A Brief Review of Heavy-Ion Radiation Degradation and Failure of Silicon UMOS Power Transistors

    Directory of Open Access Journals (Sweden)

    Kenneth F. Galloway

    2014-09-01

    Full Text Available Silicon VDMOS power MOSFET technology is being supplanted by UMOS (or trench power MOSFET technology. Designers of spaceborne power electronics systems incorporating this newer power MOSFET technology need to be aware of several unique threats that this technology may encounter in space. Space radiation threats to UMOS power devices include vulnerabilities to SEB, SEGR, and microdose. There have been relatively few studies presented or published on the effects of radiation on this device technology. The S-O-A knowledge of UMOS power device degradation and failure under heavy-ion exposure is reviewed.

  2. Quantification of radiation induced crosslinking in a commercial, toughened silicone rubber, TR-55, by 1H MQ-NMR

    Energy Technology Data Exchange (ETDEWEB)

    Maxwell, R; Chinn, S; Alviso, C; Harvey, C A; Giuliani, J; Wilson, T; Cohenour, R

    2008-11-10

    Radiation induced degradation in a commercial, filled silicone composite has been studied by SPME/GC-MS, DMA, DSC, swelling, and Multiple Quantum NMR. Analysis of volatile and semivolatile species indicates degradation via decomposition of the peroxide curing catalyst and radiation induced backbiting reactions. DMA, swelling, and spin-echo NMR analysis indicate a increase in crosslink density of near 100% upon exposure to a cumulative dose of 250 kGray. Analysis of the sol-fraction via Charlseby-Pinner analysis indicates a ratio of chain scission to crosslinking yields of 0.38, consistent with the dominance of the crosslinking observed by DMA, swelling and spin-echo NMR and the chain scissioning reactions observed by MS analysis. Multiple Quantum NMR has revealed a bimodal distribution of residual dipolar couplings near 1 krad/sec and 5 krad/sec in an approximately 90:10 ratio, consistent with bulk network chains and chains associated with the filler surface. Upon exposure to radiation, the mean {Omega}{sub d} for both domains and the width of both domains both increased. The MQ NMR analysis provided increase insight into the effects of ionizing radiation on the network structure of silicone polymers.

  3. Annealing of radiation-induced defects in silicon in a simplified phenomenological model

    International Nuclear Information System (INIS)

    Lazanu, S.; Lazanu, I.

    2001-01-01

    The concentration of primary radiation-induced defects has been previously estimated considering both the explicit mechanisms of the primary interaction between the incoming particle and the nuclei of the semiconductor lattice, and the recoil energy partition between ionisation and displacements, in the frame of the Lindhard theory. The primary displacement defects are vacancies and interstitials that are essentially unstable in silicon. They interact via migration, recombination, annihilation or produce other defects. In the present work, the time evolution of the concentration of defects induced by pions in medium and high resistivity silicon for detectors is modelled, after irradiation. In some approximations, the differential equations representing the time evolution processes could be decoupled. The theoretical equations so obtained are solved analytically in some particular cases, with one free parameter, for a wide range of particle fluences and/or for a wide energy range of incident particles, for different temperatures; the corresponding stationary solutions are also presented

  4. Miniature silicon photodiodes for photon and electron radiation dosimetry in therapeutical applications

    International Nuclear Information System (INIS)

    Gilar, O.; Petr, I.

    1986-01-01

    The silicon diode is manufactured from P type silicon, the P layer is implanted with boron atoms and the N layer with phosphorus atoms. The diode dimensions are 2x2x0.2 mm. It is encased in elastic tissue-equivalent material. The electrodes are from an Al foil. The diode can be used as an in-vivo dosemeter in human body cavities. When irradiated, it supplies information on the instantaneous dose rate at a given point and on the dose cumulated over a certain time. Its current response to gamma radiation kerma rate is linear, directional sensitivity is isotropic. Temperature sensitivity of the photodiode is shown graphically for the range 20 to 40 degC, and the depth dose distribution measured in a water phantom is given for 6, 12 and 20 MeV photons and electrons. The diode energy dependence shows increased sensitivity to low-energy photons. (M.D.)

  5. Hardening Embrittlement and Non-Hardening Embrittlement of Welding-Heat-Affected Zones in a Cr-Mo Low Alloy Steel

    Directory of Open Access Journals (Sweden)

    Yu Zhao

    2018-06-01

    Full Text Available The embrittlement of heat affected zones (HAZs resulting from the welding of a P-doped 2.25Cr-1Mo steel was studied by the analysis of the fracture appearance transition temperatures (FATTs of the HAZs simulated under a heat input of 45 kJ/cm with different peak temperatures. The FATTs of the HAZs both with and without tempering increased with the rise of the peak temperature. However, the FATTs were apparently lower for the tempered HAZs. For the as-welded (untempered HAZs, the FATTs were mainly affected by residual stress, martensite/austenite (M/A islands, and bainite morphology. The observed embrittlement is a hardening embrittlement. On the other hand, the FATTs of the tempered HAZs were mainly affected by phosphorus grain boundary segregation, thereby causing a non-hardening embrittlement. The results demonstrate that the hardening embrittlement of the as-welded HAZs was more severe than the non-hardening embrittlement of the tempered HAZs. Consequently, a post-weld heat treatment should be carried out if possible so as to eliminate the hardening embrittlement.

  6. X-ray beam hardening correction for measuring density in linear accelerator industrial computed tomography

    International Nuclear Information System (INIS)

    Zhou Rifeng; Wang Jue; Chen Weimin

    2009-01-01

    Due to X-ray attenuation being approximately proportional to material density, it is possible to measure the inner density through Industrial Computed Tomography (ICT) images accurately. In practice, however, a number of factors including the non-linear effects of beam hardening and diffuse scattered radiation complicate the quantitative measurement of density variations in materials. This paper is based on the linearization method of beam hardening correction, and uses polynomial fitting coefficient which is obtained by the curvature of iron polychromatic beam data to fit other materials. Through theoretical deduction, the paper proves that the density measure error is less than 2% if using pre-filters to make the spectrum of linear accelerator range mainly 0.3 MeV to 3 MeV. Experiment had been set up at an ICT system with a 9 MeV electron linear accelerator. The result is satisfactory. This technique makes the beam hardening correction easy and simple, and it is valuable for measuring the ICT density and making use of the CT images to recognize materials. (authors)

  7. Design of a radiation hard silicon pixel sensor for X-ray science

    Energy Technology Data Exchange (ETDEWEB)

    Schwandt, Joern

    2014-06-15

    At DESY Hamburg the European X-ray Free-Electron Laser (EuXFEL) is presently under construction. The EuXFEL has unique properties with respect to X-ray energy, instantaneous intensity, pulse length, coherence and number of pulses/sec. These properties of the EuXFEL pose very demanding requirements for imaging detectors. One of the detector systems which is currently under development to meet these challenges is the Adaptive Gain Integrating Pixel Detector, AGIPD. It is a hybrid pixel-detector system with 1024 x 1024 p{sup +} pixels of dimensions 200 μm x 200 μm, made of 16 p{sup +}nn{sup +}- silicon sensors, each with 10.52 cm x 2.56 cm sensitive area and 500 μm thickness. The particular requirements for the AGIPD are a separation between noise and single photons down to energies of 5 keV, more than 10{sup 4} photons per pixel for a pulse duration of less than 100 fs, negligible pile-up at the EuXFEL repetition rate of 4.5 MHz, operation for X-ray doses up to 1 GGy, good efficiency for X-rays with energies between 5 and 20 keV, and minimal inactive regions at the edges. The main challenge in the sensor design is the required radiation tolerance and high operational voltage, which is required to reduce the so-called plasma effect. This requires a specially optimized sensor. The X-ray radiation damage results in a build-up of oxide charges and interface traps which lead to a reduction of the breakdown voltage, increased leakage current, increased interpixel capacitances and charge losses. Extensive TCAD simulations have been performed to understand the impact of X-ray radiation damage on the detector performance and optimize the sensor design. To take radiation damage into account in the simulation, radiation damage parameters have been determined on MOS capacitors and gate-controlled diodes as function of dose. The optimized sensor design was fabricated by SINTEF. Irradiation tests on test structures and sensors show that the sensor design is radiation hard and

  8. Bake hardening of nanograin AA7075 aluminum alloy

    International Nuclear Information System (INIS)

    Dehghani, Kamran

    2011-01-01

    Highlights: ► The bake hardening behavior of AA7075 was studied and compared with its coarse-grain counterpart. ► Nanograin AA7075 exhibited 88–100% increase in bake hardenability. ► Nanograin AA7075 exhibited 36–38% increase in final yield strength after baking. ► Maximum bake hardenability and final yield stress were about 185 MPa and 719 MPa. - Abstract: In the present work, the bake hardening of nanostructured AA7075 aluminum alloy was compared with that of its coarse-grain counterpart. Surface severe plastic deformation (SSPD) was used to produce nanograin layers on both surfaces of workpieces. The nanostructured layers were characterized using scanning electron microscopy (SEM) and atomic force microscopy (AFM) techniques. The thickness of nanostructured layer, having the grains of 50–110 nm, was about 75 μm on each side of workpiece. The bake hardenability of nanograin and coarse-grain AA7075 was then compared by pre-straining to 2, 4 and 6% followed by baking at 100 °C and 200 °C for 20 min. Comparing to coarse-grain case, there was about 88–100% increase in bake hardenability and about 36–38% increase in yield strength after the bake hardening of present nanograin AA7075. Such an increase in bake hardenability and strength was achieved when the thickness of two nanograin layers was about only one-tenth of the whole thickness.

  9. Twenty-fold plasmon-induced enhancement of radiative emission rate in silicon nanocrystals embedded in silicon dioxide

    International Nuclear Information System (INIS)

    Gardelis, S; Gianneta, V.; Nassiopoulou, A.G

    2016-01-01

    We report on a 20-fold enhancement of the integrated photoluminescence (PL) emission of silicon nanocrystals, embedded in a matrix of silicon dioxide, induced by excited surface plasmons from silver nanoparticles, which are located in the vicinity of the silicon nanocrystals and separated from them by a silicon dioxide layer of a few nanometers. The electric field enhancement provided by the excited surface plasmons increases the absorption cross section and the emission rate of the nearby silicon nanocrystals, resulting in the observed enhancement of the photoluminescence, mainly attributed to a 20-fold enhancement in the emission rate of the silicon nanocrystals. The observed remarkable improvement of the PL emission makes silicon nanocrystals very useful material for photonic, sensor and solar cell applications.

  10. Structural heredity influence upon principles of strain wave hardening

    Science.gov (United States)

    Kiricheck, A. V.; Barinov, S. V.; Yashin, A. V.

    2017-02-01

    It was established experimentally that by penetration of a strain wave through material hardened not only the technological modes of processing, but also a technological heredity - the direction of the fibers of the original macrostructure have an influence upon the diagram of microhardness. By penetration of the strain wave along fibers, the degree of hardening the material is less, however, a product is hardened throughout its entire section mainly along fibers. In the direction of the strain waves across fibers of the original structure of material, the degree of material hardening is much higher, the depth of the hardened layer with the degree of hardening not less than 50% makes at least 3 mm. It was found that under certain conditions the strain wave can completely change the original structure of the material. Thus, a heterogeneously hardened structure characterized by the interchange of harder and more viscous areas is formed, which is beneficial for assurance of high operational properties of material.

  11. Radiation damage and defect behavior in ion-implanted, lithium counterdoped silicon solar cells

    Science.gov (United States)

    Weinberg, I.; Mehta, S.; Swartz, C. K.

    1984-01-01

    Boron doped silicon n+p solar cells were counterdoped with lithium by ion implantation and the resuitant n+p cells irradiated by 1 MeV electrons. The function of fluence and a Deep Level Transient Spectroscopy (DLTS) was studied to correlate defect behavior with cell performance. It was found that the lithium counterdoped cells exhibited significantly increased radiation resistance when compared to boron doped control cells. It is concluded that the annealing behavior is controlled by dissociation and recombination of defects. The DLTS studies show that counterdoping with lithium eliminates at least three deep level defects and results in three new defects. It is speculated that the increased radiation resistance of the counterdoped cells is due primarily to the interaction of lithium with oxygen, single vacanies and divacancies and that the lithium-oxygen interaction is the most effective in contributing to the increased radiation resistance.

  12. Effect of Radiation on a Mach-Zehnder Interferometer Silicon Modulator for HL-LHC data Transmission Applications

    CERN Document Server

    El Nasr-Storey, Sarah Seif; Baudot, Charles; Detraz, Stephane; Fedeli, Jean Marc; Marris-Morini, Delphine; Olantera, Lauri; Pezzullo, Giuseppe; Sigaud, Christophe; Soos, Csaba; Troska, Jan; Vasey, Francois; Vivien, Laurent; Zeiler, Marcel; Ziebell, Melissa

    2015-01-01

    High-speed Mach-Zehnder interferometer silicon modulators were irradiated with neutrons and X-rays in two separate radiation tests. The devices were exposed to a total fluence of 1.2 x 10$^{15}$ neutrons/cm$^2$ and a total ionizing dose of 1.3 MGy; levels comparable to the worst radiation levels for a tracking detector after 10 years of operation at the High- Luminosity LHC. Our measurements indicate that the devices performance does not significantly degrade after exposure to nonionizing radiation and begins to be affected by ionizing radiation after a dose of a few hundred kGy; the phase-shift for an applied reverse bias of 1 V is 10% of its pre-irradiated value after 600 kGy of received ionizing dose.

  13. Radiation effects in silicon and gallium arsenide solar cells using isotropic and normally incident radiation

    Science.gov (United States)

    Anspaugh, B. E.; Downing, R. G.

    1984-01-01

    Several types of silicon and gallium arsenide solar cells were irradiated with protons with energies between 50 keV and 10 MeV at both normal and isotropic incidence. Damage coefficients for maximum power relative to 10 MeV were derived for these cells for both cases of omni-directional and normal incidence. The damage coefficients for the silicon cells were found to be somewhat lower than those quoted in the Solar Cell Radiation Handbook. These values were used to compute omni-directional damage coefficients suitable for solar cells protected by coverglasses of practical thickness, which in turn were used to compute solar cell degradation in two proton-dominated orbits. In spite of the difference in the low energy proton damage coefficients, the difference between the handbook prediction and the prediction using the newly derived values was negligible. Damage coefficients for GaAs solar cells for short circuit current, open circuit voltage, and maximum power were also computed relative to 10 MeV protons. They were used to predict cell degradation in the same two orbits and in a 5600 nmi orbit. Results show the performance of the GaAs solar cells in these orbits to be superior to that of the Si cells.

  14. An experimental study on the influence of scatter and beam hardening in x-ray CT for dimensional metrology

    International Nuclear Information System (INIS)

    Lifton, J J; McBride, J W; Malcolm, A A

    2016-01-01

    Scattered radiation and beam hardening introduce artefacts that degrade the quality of data in x-ray computed tomography (CT). It is unclear how these artefacts influence dimensional measurements evaluated from CT data. Understanding and quantifying the influence of these artefacts on dimensional measurements is required to evaluate the uncertainty of CT-based dimensional measurements. In this work the influence of scatter and beam hardening on dimensional measurements is investigated using the beam stop array scatter correction method and spectrum pre-filtration for the measurement of an object with internal and external cylindrical dimensional features. Scatter and beam hardening are found to influence dimensional measurements when evaluated using the ISO50 surface determination method. On the other hand, a gradient-based surface determination method is found to be robust to the influence of artefacts and leads to more accurate dimensional measurements than those evaluated using the ISO50 method. In addition to these observations the GUM method for evaluating standard measurement uncertainties is applied and the standard measurement uncertainty due to scatter and beam hardening is estimated. (paper)

  15. Study of the processes of degradation of the optical properties of mesoporous and macroporous silicon upon exposure to simulated solar radiation

    Energy Technology Data Exchange (ETDEWEB)

    Levitskii, V. S., E-mail: lev-vladimir@yandex.ru [St. Petersburg State Electrotechnical University “LETI” (Russian Federation); Lenshin, A. S., E-mail: lenshinas@phys.vsu.ru; Seredin, P. V. [Voronezh State University (Russian Federation); Terukov, E. I. [St. Petersburg State Electrotechnical University “LETI” (Russian Federation)

    2015-11-15

    The effect of solar radiation on the surface composition of mesoporous and macroporous silicon is studied by infrared spectroscopy, Raman spectroscopy, and photoluminescence measurements in order to analyze the possibility of using these materials as a material for solar-power engineering. The studies are conducted in the laboratory environment, with the use of a solar-radiation simulator operating under conditions close to the working conditions of standard silicon solar cells. The studies show that, in general, the materials meet the requirements of solar-power engineering, if it is possible to preclude harmful effects associated with the presence of heat-sensitive and photosensitive bonds at the nanomaterial surface by standard processing methods.

  16. Hydrogen interaction with radiation defects in p-type silicon

    CERN Document Server

    Feklisova, O V; Yakimov, E B; Weber, J

    2001-01-01

    Hydrogen interaction with radiation defects in p-type silicon has been investigated by deep-level non-stationary spectroscopy. Hydrogen is introduced into the high-energy electron-irradiated crystals under chemical etching in acid solutions at room temperature followed by the reverse-bias annealing at 380 K. It is observed that passivation of the irradiation-induced defects is accompanied by formation of novel electrically active defects with hydrogen-related profiles. Effect of hydrogen on the electrical activity of the C sub s C sub i complexes is shown for the first time. Based on the spatial distribution and passivation kinetics, possible nature of the novel complexes is analyzed. The radii for hydrogen capture by vacancies, K-centers, C sub s C sub i centers and the novel complexes are determined

  17. Microstructure and grain size effects on irradiation hardening of low carbon steel for reactor tanks

    Energy Technology Data Exchange (ETDEWEB)

    Milasin, N [Institute of Nuclear Sciences Boris Kidric, Vinca, Beograd (Serbia and Montenegro)

    1964-05-15

    Irradiation hardening of steel for reactor pressure vessels has been studied extensively during the past few years. A great number of experimental results concerning the behaviour of these steels in the radiation field and several review papers (1,2) have been published. Most of the papers deal with the effects of specific metallurgical factors or irradiation conditions (temperature, flux) on irradiation hardening and embrittlement. In addition, a number of experiments are performed to give evidence on the mechanism of irradiation hardening of these steels. However, this mechanism is still unknown due to the complexity of steel as a system. Among different methods used in radiation damage studies, the changes of mechanical properties have been mainly investigated. By using Hall-Petch's empirical relation, {sigma}{sub y}={sigma}{sub i}+k{sub y} d{sup -1/2} between lower yield stress, {sigma}{sub y}, and grain size, 2d, the information about the effect of irradiation on the parameters {sigma}{sub i} and k{sub y} is obtained. Taking as a base interpretation of {sigma}{sub i} and k{sub y} given by Petch and his co-workers it has been concluded that radiation does not change the stress to start slip but that it increase the friction that opposes the passage of free dislocations across a slip plane. In attempting to apply Hall-Petch's relation to one unirradiated ferritic steel with a carbon content higher than 0.15% some difficulties were encountered. The results obtained indicate that the influence of grain size can not be isolated from other factors introduced by the treatments used to produce different grain sizes. This paper deals with a similar problem in the case of irradiated steel. The results obtained give the changes of the mechanical properties of steel in neutron irradiation field as a function of microstructure and grain size. In addition, the mechanical properties of irradiated steel are measured after annealing at 150 deg C and 450 deg C. On the basis of

  18. Directional radiative properties of anisotropic rough silicon and gold surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Lee, H.J.; Chen, Y.B.; Zhang, Z.M. [George W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, GA 30332 (United States)

    2006-11-15

    Recent studies have shown that the topography of some chemically etched microrough silicon surfaces is non-Gaussian and may be strongly anisotropic. However, the bidirectional reflectance distribution function (BRDF) of anisotropic surfaces has not been fully understood. The present study uses the Monte Carlo method to investigate the out-of-plane BRDF, multiple scattering, and the change of the polarization state upon reflection. Two ray-tracing algorithms are developed that incorporate the surface topography or slope distribution of the samples obtained by the use of an atomic force microscope. The predicted BRDFs for silicon surfaces with or without a gold coating are in reasonable agreement with the results measured using a laser scatterometer at a wavelength of 635nm. The employment of surface topographic data is indispensable to the BRDF modeling of anisotropic surfaces. While first-order scattering makes the dominant contribution to reflections from the studied surfaces, it is critical to consider the polarization state change in order to correctly predict the out-of-plane BRDF. The versatile Monte Carlo modeling tools developed through the present study help gain a better understanding of the directional radiative properties of microrough surfaces and, furthermore, will have an impact on thermal metrology in the semiconductor industry. (author)

  19. The determination of gold depth distribution in semiconductor silicon-potential interferences inherent in NAA by radiation damages

    International Nuclear Information System (INIS)

    Rudolph, P.; Lange, A.; Flachowsky, J.

    1986-01-01

    Gold is used quite extensively to control the charge storage time of high speed diodes and transistors. Therefore, the diffusion of gold into silicon wafers of finite thickness is important in the design and fabrication of these devices. Therefore it is necessary to estimate exactly concentration and depth distribution of gold formed by gold doping. Usually, gold content and depth distribution has been estimate by neutron activation analysis with step by step etching techniques. But during the irradiation in a nuclear fuel reactor the silicon wafers undergo minute or pronounced radiation damages which may affect the depth profiles of gold concentration. (author)

  20. Instabilities in power law gradient hardening materials

    DEFF Research Database (Denmark)

    Niordson, Christian Frithiof; Tvergaard, Viggo

    2005-01-01

    Tension and compression instabilities are investigated for specimens with dimensions in the micron range. A finite strain generalization of a higher order strain gradient plasticity theory is implemented in a finite element scheme capable of modeling power law hardening materials. Effects...... of gradient hardening are found to delay the onset of localization under plane strain tension, and significantly reduce strain gradients in the localized zone. For plane strain compression gradient hardening is found to increase the load-carrying capacity significantly....

  1. Precipitation and Hardening in Magnesium Alloys

    Science.gov (United States)

    Nie, Jian-Feng

    2012-11-01

    Magnesium alloys have received an increasing interest in the past 12 years for potential applications in the automotive, aircraft, aerospace, and electronic industries. Many of these alloys are strong because of solid-state precipitates that are produced by an age-hardening process. Although some strength improvements of existing magnesium alloys have been made and some novel alloys with improved strength have been developed, the strength level that has been achieved so far is still substantially lower than that obtained in counterpart aluminum alloys. Further improvements in the alloy strength require a better understanding of the structure, morphology, orientation of precipitates, effects of precipitate morphology, and orientation on the strengthening and microstructural factors that are important in controlling the nucleation and growth of these precipitates. In this review, precipitation in most precipitation-hardenable magnesium alloys is reviewed, and its relationship with strengthening is examined. It is demonstrated that the precipitation phenomena in these alloys, especially in the very early stage of the precipitation process, are still far from being well understood, and many fundamental issues remain unsolved even after some extensive and concerted efforts made in the past 12 years. The challenges associated with precipitation hardening and age hardening are identified and discussed, and guidelines are outlined for the rational design and development of higher strength, and ultimately ultrahigh strength, magnesium alloys via precipitation hardening.

  2. Characteristics of silicon diodes as patient dosemeters in external radiation therapy

    International Nuclear Information System (INIS)

    Nilsson, B.; Sorcini, B.

    1988-01-01

    Silicon diodes connected to an integrating instrument that are used to measure the entrance dose on patients undergoing radiation therapy have been investigated with special emphasis on practical clinical aspects. The variation of the diode response for different photon qualities with different field sizes and different irradiation situations including oblique fields, wedges, blocking filters giving different electron contamination have been measured. The diode response for the different situations met in clinical practice when using various electron energies have also been examined. The results from measurements for patients treated with high energy are presented. The study has shown that if the mean value of all measured entrance doses with the diode on a patient differ more than ±3% from the presented absorbed dose for 60 Co gamma radiation, a correction of the given dose should be made. The corresponding figure for high energy X-rays is ±5%. 23 refs.; 6 figs.; 5 tabs

  3. The microstructural origin of work hardening stages

    DEFF Research Database (Denmark)

    Hughes, D. A.; Hansen, N.

    2018-01-01

    The strain evolution of the flow stress and work hardening rate in stages III and IV is explored by utilizing a fully described deformation microstructure. Extensive measurements by transmission electron microscopy reveal a hierarchical subdivision of grains by low angle incidental dislocation...... addition of the classical Taylor and Hall-Petch formulations. Model predictions agree closely with experimental values of flow stress and work hardening rate in stages III and IV. Strong connections between the evolutionary stages of the deformation microstructure and work hardening rates create a new...... (modern) basis for the classic problem of work hardening in metals and alloys. These connections lead the way for the future development of ultra high strength ductile metals produced via plastic deformation.(c) 2018 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved....

  4. Design and Fabrication of Silicon-on-Silicon-Carbide Substrates and Power Devices for Space Applications

    Directory of Open Access Journals (Sweden)

    Gammon P.M.

    2017-01-01

    Full Text Available A new generation of power electronic semiconductor devices are being developed for the benefit of space and terrestrial harsh-environment applications. 200-600 V lateral transistors and diodes are being fabricated in a thin layer of silicon (Si wafer bonded to silicon carbide (SiC. This novel silicon-on-silicon-carbide (Si/SiC substrate solution promises to combine the benefits of silicon-on-insulator (SOI technology (i.e device confinement, radiation tolerance, high and low temperature performance with that of SiC (i.e. high thermal conductivity, radiation hardness, high temperature performance. Details of a process are given that produces thin films of silicon 1, 2 and 5 μm thick on semi-insulating 4H-SiC. Simulations of the hybrid Si/SiC substrate show that the high thermal conductivity of the SiC offers a junction-to-case temperature ca. 4× less that an equivalent SOI device; reducing the effects of self-heating, and allowing much greater power density. Extensive electrical simulations are used to optimise a 600 V laterally diffused metal-oxide-semiconductor field-effect transistor (LDMOSFET implemented entirely within the silicon thin film, and highlight the differences between Si/SiC and SOI solutions.

  5. Use of pre-irradiated commercial MOSFETs in a power supply hardened to withstand gamma radiation

    International Nuclear Information System (INIS)

    Marceau, M.; Huillet, H.

    1999-01-01

    This paper describes the approach used to design a hardened power supply capable of operating to a total gamma irradiation dose of 10 kGy(Si). Pre-irradiation of power MOSFETs proved to be necessary, and the paper also discusses the effects of this treatment. (authors)

  6. Amorphous silicon/crystalline silicon heterojunctions for nuclear radiation detector applications

    International Nuclear Information System (INIS)

    Walton, J.T.; Hong, W.S.; Luke, P.N.; Wang, N.W.; Ziemba, F.P.

    1996-01-01

    Results on the characterization of the electrical properties of amorphous silicon films for the three different growth methods, RF sputtering, PECVD, and LPCVD are reported. The performance of these a-Si films as heterojunctions on high resistivity p-type and n-type crystalline silicon is examined by measuring the noise, leakage current and the alpha particle response of 5 mm diameter detector structures. It is demonstrated that heterojunction detectors formed by RF sputtered films and PECVD films are comparable in performance with conventional surface barrier detectors. The results indicate that the a-Si/c-Si heterojunctions have the potential to greatly simplify detector fabrication. Directions for future avenues of nuclear particle detector development are indicated

  7. Ferroelectric memories: A possible answer to the hardened nonvolatile question

    International Nuclear Information System (INIS)

    Messenger, G.C.; Coppage, F.N.

    1988-01-01

    Ferroelectric memory cells have been fabricated using a process compatible with semiconductor VLSI (Very Large-Scale Integration) manufacturing techniques which are basically nonvolatile and radiation hard. The memory can be made NDRO (Nondestructive Readout) for strategic systems using several techniques; the most practical is probably a rapid read/restore in combination with EDAC software. This memory can replace plated wire and will have substantial advantages in cost, weight, size, power and speed. It provides a practical cost-competitive solution to the need for nonvolatile RAM in all hardened tactical, avionic, and space systems

  8. Simulations of Proton Implantation in Silicon Carbide (SiC)

    Science.gov (United States)

    2016-03-31

    Simulations of Proton Implantation in Silicon Carbide (SiC) Jonathan P. McCandless, Hailong Chen, Philip X.-L. Feng Electrical Engineering, Case...of implanting protons (hydrogen ions, H+) into SiC thin layers on silicon (Si) substrate, and explore the ion implantation conditions that are...relevant to experimental radiation of SiC layers. Keywords: silicon carbide (SiC); radiation effects; ion implantation ; proton; stopping and range of

  9. Effect of breast augmentation after breast-conserving surgery for breast cancer on radiation dose. Silicone prosthesis and changes in radiation dose

    International Nuclear Information System (INIS)

    Tonari, Ayako; Nako, Yasunobu; Ikezaki, Hiromi; Maruyama, Yasushi; Ikeda, Ikuo; Kusuda, Junko; Harii, Kiyonori; Takayama, Makoto

    2008-01-01

    The results of a study simulating postoperative radiation therapy of remaining breast tissue with a silicone bag prosthesis implanted to examine the effects of the prosthesis on radiation dosage and surrounding tissue are reported. The evaluation was conducted in two stages: a water phantom was used to evaluate scattering effects of a prosthesis installed inside the phantom using glass rod detector (GRD) set around the prosthesis. Measurements were conducted on both entrance and rear sides of the prosthesis. A Rand phantom was used to measure radiation doses around the prosthesis. The first evaluation resulted in a less than 5.4% reduction in dose at the rear side of the prosthesis whereas the second evaluation, for opposing portal irradiation used with breast-conserving surgical treatment, showed the effects of the prosthesis on radiation dosage being within ±2%, the permitted treatment range. In conclusion, for treating breast cancer, combining surgical treatment of the cancer with implanting of prosthesis for breast reconstruction followed by radiation treatment appears feasible as no effects on dosage were observed on treatment effectiveness. (author)

  10. Systematic characterization and quality assurance of silicon micro-strip sensors for the Silicon Tracking System of the CBM experiment

    Science.gov (United States)

    Ghosh, P.

    2014-07-01

    The Silicon Tracking System (STS) is the central detector of the Compressed Baryonic Matter (CBM) experiment at future Facility for Anti-proton and Ion Research (FAIR) at Darmstadt. The task of the STS is to reconstruct trajectories of charged particles originating at relatively high multiplicities from the high rate beam-target interactions. The tracker comprises of 300 μm thick silicon double-sided micro-strip sensors. These sensors should be radiation hard in order to reconstruct charged particles up to a maximum radiation dose of 1 × 1014neqcm-2. Systematic characterization allows us to investigate the sensor response and perform quality assurance (QA) tests. In this paper, systematic characterization of prototype double-sided silicon micro-strip sensors will be discussed. This procedure includes visual, passive electrical, and radiation hardness test. Presented results include tests on three different prototypes of silicon micro-strip sensors.

  11. Systematic characterization and quality assurance of silicon micro-strip sensors for the Silicon Tracking System of the CBM experiment

    International Nuclear Information System (INIS)

    Ghosh, P

    2014-01-01

    The Silicon Tracking System (STS) is the central detector of the Compressed Baryonic Matter (CBM) experiment at future Facility for Anti-proton and Ion Research (FAIR) at Darmstadt. The task of the STS is to reconstruct trajectories of charged particles originating at relatively high multiplicities from the high rate beam-target interactions. The tracker comprises of 300 μm thick silicon double-sided micro-strip sensors. These sensors should be radiation hard in order to reconstruct charged particles up to a maximum radiation dose of 1 × 10 14 n eq cm −2 . Systematic characterization allows us to investigate the sensor response and perform quality assurance (QA) tests. In this paper, systematic characterization of prototype double-sided silicon micro-strip sensors will be discussed. This procedure includes visual, passive electrical, and radiation hardness test. Presented results include tests on three different prototypes of silicon micro-strip sensors

  12. Radiation damage and defect behavior in proton irradiated lithium-counterdoped n/sup +/p silicon solar cells

    International Nuclear Information System (INIS)

    Stupica, J.; Goradia, C.; Swartz, C.K.; Weinberg, I.

    1987-01-01

    Two lithium-counterdoped n/sup +/p silicon solar cells with different lithium concentrations were irradiated by 10 MeV protons. Cell performance was measured as a function of fluence, and it was found that the cell with the highest concentration of lithium had the higher radiation resistance. Deep level defects were studied using deep level transient spectroscopy which yielded two defects that were lithium related. Relating the defect energy levels obtained from this study under 10 MeV protons, with an earlier work using 1 MeV electron irradiations shows no correlation of the defect energy levels. There is one marked comparison though. The absence of the boron interstitial-oxygen interstitial defect. This consistency strengthens the belief that lithium interacts with oxygen to prevent the formation of the boron interstitial-oxygen interstitial defect. The present results indicate that, in general, addition of lithium in small amounts to the p-base of a boron doped silicon solar cell such that the base remains p-type, tends to increase the radiation resistance of the cell

  13. Atomistic study of the hardening of ferritic iron by Ni-Cr decorated dislocation loops

    Science.gov (United States)

    Bonny, G.; Bakaev, A.; Terentyev, D.; Zhurkin, E.; Posselt, M.

    2018-01-01

    The exact nature of the radiation defects causing hardening in reactor structural steels consists of several components that are not yet clearly determined. While generally, the hardening is attributed to dislocation loops, voids and secondary phases (radiation-induced precipitates), recent advanced experimental and computational studies point to the importance of solute-rich clusters (SRCs). Depending on the exact composition of the steel, SRCs may contain Mn, Ni and Cu (e.g. in reactor pressure vessel steels) or Ni, Cr, Si, Mn (e.g. in high-chromium steels for generation IV and fusion applications). One of the hypotheses currently implied to explain their formation is the process of radiation-induced diffusion and segregation of these elements to small dislocation loops (heterogeneous nucleation), so that the distinction between SRCs and loops becomes somewhat blurred. In this work, we perform an atomistic study to investigate the enrichment of loops by Ni and Cr solutes and their interaction with an edge dislocation. The dislocation loops decorated with Ni and Cr solutes are obtained by Monte Carlo simulations, while the effect of solute segregation on the loop's strength and interaction mechanism is then addressed by large scale molecular dynamics simulations. The synergy of the Cr-Ni interaction and their competition to occupy positions in the dislocation loop core are specifically clarified.

  14. Fatigue hardening and softening studies on strain hardened 18-8 austenitic stainless steel

    International Nuclear Information System (INIS)

    Ramakrishna Prasad, C.; Vasudevan, R.

    1976-01-01

    Metals when subjected to fatigue harden or soften depending on their previous mechanical history. Annealed or mildly cold worked metals are known to harden while severely cold worked metals soften when subjected to fatigue loading. In the present work samples of austenitic 18-8 steel cold worked to 11% and 22% reduction in area were mounted in a vertical pulsator and fatigued in axial tension-compression. Clear cut effects were produced and it was noticed that these depended on the extent of cold work, the amplitude as well as the number of cycles of fatigue and mean stress if any. (orig.) [de

  15. Silicon carbide and its use as a radiation detector material

    International Nuclear Information System (INIS)

    Nava, F; Bertuccio, G; Cavallini, A; Vittone, E

    2008-01-01

    We present a comprehensive review of the properties of the epitaxial 4H silicon carbide polytype (4H–SiC). Particular emphasis is placed on those aspects of this material related to room, high-temperature and harsh environment ionizing radiation detector operation. A review of the characterization methods and electrical contacting issues and how these are related to detector performance is presented. The most recent data on charge transport parameters across the Schottky barrier and how these are related to radiation spectrometer performance are presented. Experimental results on pixel detectors having equivalent noise energies of 144 eV FWHM (7.8 electrons rms) and 196 eV FWHM at +27 °C and +100 °C, respectively, are reported. Results of studying the radiation resistance of 4H–SiC are analysed. The data on the ionization energies, capture cross section, deep-level centre concentrations and their plausible structures formed in SiC as a result of irradiation with various particles are reviewed. The emphasis is placed on the study of the 1 MeV neutron irradiation, since these thermal particles seem to play the main role in the detector degradation. An accurate electrical characterization of the induced deep-level centres by means of PICTS technique has allowed one to identify which play the main role in the detector degradation. (topical review)

  16. Combined Bulk and Surface Radiation Damage Effects at Very High Fluences in Silicon Detectors: Measurements and TCAD Simulations

    CERN Document Server

    Moscatelli, F; Morozzi, A; Mendicino, R; Dalla Betta, G F; Bilei, G M

    2016-01-01

    In this work we propose a new combined TCAD radiation damage modelling scheme, featuring both bulk and surface radiation damage effects, for the analysis of silicon detectors aimed at the High Luminosity LHC. In particular, a surface damage model has been developed by introducing the relevant parameters (NOX, NIT) extracted from experimental measurements carried out on p-type substrate test structures after gamma irradiations at doses in the range 10-500 Mrad(Si). An extended bulk model, by considering impact ionization and deep-level cross-sections variation, was included as well. The model has been validated through the comparison of the simulation findings with experimental measurements carried out at very high fluences (2×1016 1 MeV equivalent n/cm2) thus fostering the application of this TCAD approach for the design and optimization of the new generation of silicon detectors to be used in future HEP experiments.

  17. Radiation modification of materials

    International Nuclear Information System (INIS)

    Pikaev, A.K.

    1987-01-01

    Industrial and radiation chemical processes of material modification based on cross-linking of polymers as a result of radiation are considered. Among them are production of cables and rods with irradiated modified insulation, production of hardened and thermo-shrinkaging polymer products (films, tubes, fashioned products), production of radiation cross-linked polyethylene foam, technology of radiation vulcanization of elastomers. Attention is paid to radiation plants on the basis of γ-sources and electron acceleratos as well as to radiation conditions

  18. Radiation processes for the development, production and examination of materials

    International Nuclear Information System (INIS)

    Wiesner, L.

    1984-01-01

    The process of doping semi-conductors by irradiating them with beams of ions, called ion implantation, has long been part of the industrial mass production of electronic solid components as the basis of the micro-electronics revolution. The use of electron and X-ray beams has made the manufacture of small silicon chips possible, so as to increase the memory and microprocessor capacity of a wafer. Using electron and γ rays, many compound materials based on polymers, have been manufactured, where only a small part of the nearly infinite number of combinations has been touched so far. The applications of radiation hardening extend from the treatment of coatings and paints for surface protection to glues and printed colours. The multiplicity of nuclear examination processes for solids and their surfaces has produced knowledge on the structure of materials, which accelerates the purposeful development of improved and new materials and makes it easier, sometimes even making it possible. Radiation methods are an important aid for quality control and assurance for material production. (orig./HP) [de

  19. Radiation modification of silicone rubber with glycidylmethacrylate

    International Nuclear Information System (INIS)

    Segura, Tania; Burillo, Guillermina

    2013-01-01

    The grafting of glycidilmethacrylate(GMA) was grafted onto silicone rubber (SR) by using the γ-ray pre-irradiation grafting method under different conditions. The effect of reaction time, total dose, reaction temperature and monomer concentration on the graft yield was studied. It was found that the degree of grafting can be controlled by adjusting these parameters. The chemical structure of SR before and after grafting was characterized using FTIR-ATR and SEM–EDS. The analysis revealed that the surface of the SR was uniformly covered by GMA and the cross-section analysis indicated that the grafting occurred in the bulk. Thermogravimetric analysis (TGA) showed that the graft copolymer was more thermally stable than polyglycidylmethacrylate but less stable than SR, and the DSC confirmed that the GMA was grafting onto silicone rubber. - Highlights: • A graft copolymer with silicone rubber was synthesized by gamma pre-irradiation method. • SEM–EDS analysis showed that the surface and the bulk of the new copolymer were grafted. • The thermal properties of the silicone rubber used were modified with grafting. • The new copolymer could be used to immobilize nucleophilic biomolecules

  20. The MONOS memory transistor: application in a radiation-hard nonvolatile RAM

    International Nuclear Information System (INIS)

    Brown, W.D.

    1985-01-01

    The MONOS (metal-oxide-nitride-oxide-silicon) device is a prime candidate for use as the nonvolatile memory element in a radiation-hardened RAM (random-access memory). The endurance, retention and radiation properties of MONOS memory transistors have been studied as a function of post nitride deposition annealing. Following the nitride layer deposition, all devices were subjected to an 800 0 C oxidation step and some were then annealed at 900 0 C in nitrogen. The nitrogen anneal produces an increase in memory window size of approximately 40%. The memory window center of the annealed devices is shifted toward more positive voltages and is more stable with endurance cycling. Endurance cycling to 10 9 cycles produces a 20% increase in memory window size and a 60% increase in decay rate. For a radiation total dose of 10 6 rads (Si), the memory window size is essentially unchanged and the decay rate increases approximately 13%. A combination of 10 9 cycles and 10 6 rads (Si) reduces the decades of retention (in sec) from 6.3 to 4.3 for a +- 23-V 16-μsec write/erase pulse. (author)

  1. A review of the stages of work hardening

    Energy Technology Data Exchange (ETDEWEB)

    Rollett, A.D.; Kocks, U.F.

    1993-07-01

    Stages of work hardening are reviewed with emphasis on links between each stage. Simple quantitative descriptions are given for each stage. Similarities between stage I, easy glide, and stage IV, large strain hardening, are pointed out both in terms of magnitude of the hardening rate and of the underlying mechanism of dislocation debris accumulation. Stage II is described as an athermal hardening stage that occurs when statistical variations in the dislocation ``forest`` lead to geometrical storage of dislocations. The steadily decreasing hardening rate observed in stage III is characterized by the increasing rate of loss of dislocation density due to dynamic recovery. Stage III appears to have an asymptote to a saturation stress which is determined by the characteristics of the dislocation tangles, or cell walls. The imperfect nature of the dynamic recovery process, however, leads to the accumulation of dislocation debris and this, by analogy with stage 1, causes the apparent saturation stress to rise, thus causing stage IV.

  2. Silicon Detectors for the sLHC - an Overview of Recent RD50 Results

    CERN Document Server

    Pellegrini, Giulio

    2009-01-01

    It is foreseen to significantly increase the luminosity of the Large Hadron Collider(LHC) at CERN around 2018 by upgrading the LHC towards the sLHC (Super-LHC). Due to the radiation damage to the silicon detectors used, the physics experiment will require new tracking detectors for sLHC operation. All-silicon central trackers are being studied in ATLAS, CMS and LHCb, with extremely radiation hard silicon sensors on the innermost layers. The radiation hardness of these new sensors must surpass the one of LHC detectors by roughly an order of magnitude. Within the CERN RD50 collaboration, a massive R&D programme is underway to develop silicon sensors with sufficient radiation tolerance. Among the R&D topics are the development of new sensor types like 3D silicon detectors designed for the extreme radiation levels of the sLHC. We will report on the recent results obtained by RD50 from tests of several detector technologies and silicon materials at radiation levels corresponding to SLHC fluences. Based on ...

  3. Testing of the effect of the entry beam tube windows of the silicon detectors of the ionisation radiation

    International Nuclear Information System (INIS)

    Kopestansky, J.; Tykva, R.; Stanek, S.

    1995-01-01

    This paper deals with testing of the entry beam tube windows of the silicon detectors of the ionisation radiation with surface barrier.The influence of the parameters of basic material and modified technologic preparation on the size and homogeneity of the windows was tested

  4. A radiation tolerant fiber-optic readout system for the LHCb Silicon Tracker

    CERN Document Server

    Agari, M; Blouw, J; Hofmann, W; Knöpfle, K T; Löchner, S; Schmelling, M; Schwingenheuer, B; Pugatch, V; Pylypchenko, Y; Bay, A; Carron, B; Fauland, P; Frei, R; Jiménez-Otero, S; Perrin, A; Tran, M T; Van Hunen, J J; Vervink, K; Vollhardt, A; Voss, H; Adeva, B; Esperante-Pereira, D; Lois, C; Vázquez, P; Bernhard, R P; Bernet, R; Gassner, J; Köstner, S; Lehner, F; Needham, M; Steinkamp, O; Straumann, U; Volyanskyy, D; Wenger, A

    2005-01-01

    A fiber-optic readout system has been designed for the LHCb Silicon Tracker to transmit the detector data to the counting room at a distance of 120 m from the detectors. In total, data from over 272000 detector channels have to be transmitted at an average trigger frequency of 1.1 MHz. In the design of the system, special attention was given to its radiation tolerance, as the transmitting section is located close to the beamline and therefore is exposed to moderate particle fluences and ionizing dose during the expected operational life of 10 years. We give a general overview of the readout link scheme and present performance data on its reliability and radiation tolerance obtained from first preseries elements of the system. Poster presented on the 10th European Symposium on Semiconductor Detectors, June 12th â€" June 16th 2005, Wildbad Kreuth, Germany.

  5. Radiation hardness assurances categories for COTS technologies

    International Nuclear Information System (INIS)

    Hash, G.L.; Shaneyfelt, M.R.; Sexton, F.W.; Winokur, P.S.

    1997-01-01

    A comparison of the radiation tolerance of three commercial, and one radiation hardened SRAM is presented for four radiation environments. This work has shown the difficulty associated with strictly categorizing a device based solely on its radiation response, since its category depends on the specific radiation environment considered. For example, the 3.3-V Paradigm SRAM could be considered a radiation-tolerant device except for its SEU response. A more useful classification depends on the methods the manufacturer uses to ensure radiation hardness, i.e. whether specific design and process techniques have been used to harden the device. Finally, this work has shown that burned-in devices may fail functionally as much as 50% lower in total dose environments than non-burned-in devices. No burn-in effect was seen in dose-rate upset, latchup, or SEE environments. The user must ensure that total dose lot acceptance testing was performed on burned-in devices

  6. Radiation effects in bulk and nanostructured silicon

    Energy Technology Data Exchange (ETDEWEB)

    Holmstrom, E.

    2012-07-01

    Understanding radiation effects in silicon (Si) is of great technological importance. The material, being the basis of modern semiconductor electronics and photonics, is subjected to radiation already at the processing stage, and in many applications throughout the lifetime of the manufactured component. Despite decades of research, many fundamental questions on the subject are still not satisfactorily answered, and new ones arise constantly as device fabrication shifts towards the nanoscale. In this study, methods of computational physics are harnessed to tackle basic questions on the radiation response of bulk and nanostructured Si systems, as well as to explain atomic-scale phenomena underlying existing experimental results. Empirical potentials and quantum mechanical models are coupled with molecular dynamics simulations to model the response of Si to irradiation and to characterize the created crystal damage. The threshold displacement energy, i.e., the smallest recoil energy required to create a lattice defect, is determined in Si bulk and nanowires, in the latter system also as a function of mechanical strain. It is found that commonly used values for this quantity are drastically underestimated. Strain on the nanowire causes the threshold energy to drop, with an effect on defect production that is significantly higher than in an another nanostructure with similar dimensions, the carbon nanotube. Simulating ion irradiation of Si nanowires reveals that the large surface area to volume ratio of the nanostructure causes up to a three-fold enhancement in defect production as compared to bulk Si. Amorphous defect clusters created by energetic neutron bombardment are predicted, on the basis of their electronic structure and abundance, to cause a deleterious phenomenon called type inversion in Si strip detectors in high-energy physics experiments. The thinning of Si lamellae using a focused ion beam is studied in conjunction with experiment to unravel the cause for

  7. Surface effects in segmented silicon sensors

    Energy Technology Data Exchange (ETDEWEB)

    Kopsalis, Ioannis

    2017-05-15

    Silicon detectors in Photon Science and Particle Physics require silicon sensors with very demanding specifications. New accelerators like the European X-ray Free Electron Laser (EuXFEL) and the High Luminosity upgrade of the Large Hadron Collider (HL-LHC), pose new challenges for silicon sensors, especially with respect to radiation hardness. High radiation doses and fluences damage the silicon crystal and the SiO{sub 2} layers at the surface, thus changing the sensor properties and limiting their life time. Non-Ionizing Energy Loss (NIEL) of incident particles causes silicon crystal damage. Ionizing Energy Loss (IEL) of incident particles increases the densities of oxide charge and interface traps in the SiO{sub 2} and at the Si-SiO{sub 2} interface. In this thesis the surface radiation damage of the Si-SiO{sub 2} system on high-ohmic Si has been investigated using circular MOSFETs biased in accumulation and inversion at an electric field in the SiO{sub 2} of about 500 kV/cm. The MOSFETs have been irradiated by X-rays from an X-ray tube to a dose of about 17 kGy(SiO{sub 2}) in different irradiation steps. Before and after each irradiation step, the gate voltage has been cycled from inversion to accumulation conditions and back. From the dependence of the drain-source current on gate voltage the threshold voltage of the MOSFET and the hole and electron mobility at the Si-SiO{sub 2} interface were determined. In addition, from the measured drain-source current the change of the oxide charge density during irradiation has been determined. The interface trap density and the oxide charge has been determined separately using the subthreshold current technique based on the Brews charge sheet model which has been applied for first time on MOSFETs built on high-ohmic Si. The results show a significant field-direction dependence of the surface radiation parameters. The extracted parameters and the acquired knowledge can be used to improve simulations of the surface

  8. Surface effects in segmented silicon sensors

    International Nuclear Information System (INIS)

    Kopsalis, Ioannis

    2017-05-01

    Silicon detectors in Photon Science and Particle Physics require silicon sensors with very demanding specifications. New accelerators like the European X-ray Free Electron Laser (EuXFEL) and the High Luminosity upgrade of the Large Hadron Collider (HL-LHC), pose new challenges for silicon sensors, especially with respect to radiation hardness. High radiation doses and fluences damage the silicon crystal and the SiO 2 layers at the surface, thus changing the sensor properties and limiting their life time. Non-Ionizing Energy Loss (NIEL) of incident particles causes silicon crystal damage. Ionizing Energy Loss (IEL) of incident particles increases the densities of oxide charge and interface traps in the SiO 2 and at the Si-SiO 2 interface. In this thesis the surface radiation damage of the Si-SiO 2 system on high-ohmic Si has been investigated using circular MOSFETs biased in accumulation and inversion at an electric field in the SiO 2 of about 500 kV/cm. The MOSFETs have been irradiated by X-rays from an X-ray tube to a dose of about 17 kGy(SiO 2 ) in different irradiation steps. Before and after each irradiation step, the gate voltage has been cycled from inversion to accumulation conditions and back. From the dependence of the drain-source current on gate voltage the threshold voltage of the MOSFET and the hole and electron mobility at the Si-SiO 2 interface were determined. In addition, from the measured drain-source current the change of the oxide charge density during irradiation has been determined. The interface trap density and the oxide charge has been determined separately using the subthreshold current technique based on the Brews charge sheet model which has been applied for first time on MOSFETs built on high-ohmic Si. The results show a significant field-direction dependence of the surface radiation parameters. The extracted parameters and the acquired knowledge can be used to improve simulations of the surface radiation damage of silicon sensors.

  9. Amorphous silicon ionizing particle detectors

    Science.gov (United States)

    Street, Robert A.; Mendez, Victor P.; Kaplan, Selig N.

    1988-01-01

    Amorphous silicon ionizing particle detectors having a hydrogenated amorphous silicon (a--Si:H) thin film deposited via plasma assisted chemical vapor deposition techniques are utilized to detect the presence, position and counting of high energy ionizing particles, such as electrons, x-rays, alpha particles, beta particles and gamma radiation.

  10. Coupling of near-field thermal radiative heating and phonon Monte Carlo simulation: Assessment of temperature gradient in n-doped silicon thin film

    International Nuclear Information System (INIS)

    Wong, Basil T.; Francoeur, Mathieu; Bong, Victor N.-S.; Mengüç, M. Pinar

    2014-01-01

    Near-field thermal radiative exchange between two objects is typically more effective than the far-field thermal radiative exchange as the heat flux can increase up to several orders higher in magnitudes due to tunneling of evanescent waves. Such an interesting phenomenon has started to gain its popularity in nanotechnology, especially in nano-gap thermophotovoltaic systems and near-field radiative cooling of micro-/nano-devices. Here, we explored the existence of thermal gradient within an n-doped silicon thin film when it is subjected to intensive near-field thermal radiative heating. The near-field radiative power density deposited within the film is calculated using the Maxwell equations combined with fluctuational electrodynamics. A phonon Monte Carlo simulation is then used to assess the temperature gradient by treating the near-field radiative power density as the heat source. Results indicated that it is improbable to have temperature gradient with the near-field radiative heating as a continuous source unless the source comprises of ultra-short radiative pulses with a strong power density. - Highlights: • This study investigates temperature distribution in an n-doped silicon thin film. • Near-field radiative heating is treated as a volumetric phenomenon. • The temperature gradient is computed using phonon MC simulation. • Temperature of thin film can be approximated as uniform for radiation calculations. • If heat source is a pulsed radiation, a temperature gradient can be established

  11. Amorphous silicon based particle detectors

    OpenAIRE

    Wyrsch, N.; Franco, A.; Riesen, Y.; Despeisse, M.; Dunand, S.; Powolny, F.; Jarron, P.; Ballif, C.

    2012-01-01

    Radiation hard monolithic particle sensors can be fabricated by a vertical integration of amorphous silicon particle sensors on top of CMOS readout chip. Two types of such particle sensors are presented here using either thick diodes or microchannel plates. The first type based on amorphous silicon diodes exhibits high spatial resolution due to the short lateral carrier collection. Combination of an amorphous silicon thick diode with microstrip detector geometries permits to achieve micromete...

  12. Silicon strip detectors for the ATLAS HL-LHC upgrade

    CERN Document Server

    Gonzalez Sevilla, S; The ATLAS collaboration

    2011-01-01

    The LHC upgrade is foreseen to increase the ATLAS design luminosity by a factor ten, implying the need to build a new tracker suited to the harsh HL-LHC conditions in terms of particle rates and radiation doses. In order to cope with the increase in pile-up backgrounds at the higher luminosity, an all silicon detector is being designed. To successfully face the increased radiation dose, a new generation of extremely radiation hard silicon detectors is being designed. We give an overview of the ATLAS tracker upgrade project, in particular focusing on the crucial innermost silicon strip layers. Results from a wide range of irradiated silicon detectors for the strip region of the future ATLAS tracker are presented. Layout concepts for lightweight yet mechanically very rigid detector modules with high service integration are shown.

  13. Investigation of a Hardened Cement Paste Grout

    DEFF Research Database (Denmark)

    Esteves, Luis Pedro; Sørensen, Eigil Verner

    This report documents a series of tests performed on a hardened cement paste grout delivered by the client, Det Norske Veritas A/S.......This report documents a series of tests performed on a hardened cement paste grout delivered by the client, Det Norske Veritas A/S....

  14. Neutron flux and annealing effects on irradiation hardening of RPV materials

    Science.gov (United States)

    Chaouadi, R.; Gérard, R.

    2011-11-01

    This paper aims to examine an eventual effect of neutron flux, sometimes referred to as dose rate effect, on irradiation hardening of a typical A533B reactor pressure vessel steel. Tensile tests on both low flux (reactor surveillance data) and high flux (BR2 reactor) were performed in a large fluence range. The obtained results indicate two features. First, the surveillance data exhibit a constant (˜90 MPa) higher yield strength than the high flux data. However, this difference cannot be explained from a flux effect but most probably from differences in the initial tensile properties. The hardening kinetic of both low and high flux is the same. Annealing at low temperature, 345 °C/40 h, to eventually reveal unstable matrix damage did not affect both BR2 and surveillance specimens. This is confirmed by other annealing experimental data including both tensile and hardness measurements and tensile data on A508 forging and weld. It is suggested that the absence of flux effect on the tensile properties while different radiation-induced microstructures can be attributed to thermal ageing effects.

  15. Broadband infrared photoluminescence in silicon nanowires with high density stacking faults.

    Science.gov (United States)

    Li, Yang; Liu, Zhihong; Lu, Xiaoxiang; Su, Zhihua; Wang, Yanan; Liu, Rui; Wang, Dunwei; Jian, Jie; Lee, Joon Hwan; Wang, Haiyan; Yu, Qingkai; Bao, Jiming

    2015-02-07

    Making silicon an efficient light-emitting material is an important goal of silicon photonics. Here we report the observation of broadband sub-bandgap photoluminescence in silicon nanowires with a high density of stacking faults. The photoluminescence becomes stronger and exhibits a blue shift under higher laser powers. The super-linear dependence on excitation intensity indicates a strong competition between radiative and defect-related non-radiative channels, and the spectral blue shift is ascribed to the band filling effect in the heterostructures of wurtzite silicon and cubic silicon created by stacking faults.

  16. Radiation effects in optoelectronic devices

    International Nuclear Information System (INIS)

    Barnes, C.E.

    1977-03-01

    A summary is given of studies on radiation effects in light-emitting diodes, laser diodes, detectors, optical isolators and optical fibers. It is shown that the study of radiation damage in these devices can provide valuable information concerning the nature of the devices themselves, as well as methods of hardening these devices for applications in radiation environments

  17. Radiation damage of silicon structures with electrons of 900 MeV

    CERN Document Server

    Rachevskaia, I; Bosisio, L; Dittongo, S; Quai, E; Rizzo, G

    2002-01-01

    We present first results on the irradiation of double-sided silicon microstrip detectors and test structures performed at the Elettra synchrotron radiation facility at Trieste, Italy. The devices were irradiated with 900 MeV electrons. The test structures we used for studying bulk, surface and oxide irradiation damage were guard ring diodes, gated diodes and MOS capacitors. The test structures and the double-sided microstrip detectors were produced by Micron Semiconductor Ltd. (England) and IRST (Trento, Italy). For the first time, bulk-type inversion is observed to occur after high-energy electron irradiation. Current and inter-strip resistance measurements performed on the microstrip detectors show that the devices are still usable after type inversion.

  18. Radiation Response of Forward Biased Float Zone and Magnetic Czochralski Silicon Detectors of Different Geometry for 1-MeV Neutron Equivalent Fluence Monitoring

    CERN Document Server

    Mekki, J; Dusseau, Laurent; Roche, Nicolas Jean-Henri; Saigne, Frederic; Mekki, Julien; Glaser, Maurice

    2010-01-01

    Aiming at evaluating new options for radiation monitoring sensors in LHC/SLHC experiments, the radiation responses of FZ and MCz custom made silicon detectors of different geometry have been studied up to about 4 x 10(14) n(eq)/cm(2). The radiation response of the devices under investigation is discussed in terms of material type, thickness and active area influence.

  19. Radiation hardness of silicon detectors for collider experiments

    International Nuclear Information System (INIS)

    Golutvin, I.; Cheremukhin, A.; Fefelova, E.

    1995-01-01

    The silicon planar detectors before and after fast neutron irradiation ( n o> = 1.35 MeV) at room temperature have been investigated. Maximal neutron fluence has been 8 · 10 13 cm -2 . The detectors have been manufactured of the high resistivity (1 : 10 k Ohm · cm) n-type float-zone silicon (FZ-Si) with the orientation supplied by two different producers: WACKER CHEMITRONIC and Zaporojie Titanium-Magnesium Factory (ZTMF). The influence of fast neutron irradiation of the main parameters of the starting silicon before the technological high temperature treatment has been investigated as well. 30 refs., 17 figs., 5 tabs

  20. Influence of Hot Implantation on Residual Radiation Damage in Silicon Carbide

    International Nuclear Information System (INIS)

    Rawski, M.; Zuk, J.; Kulik, M.; Drozdziel, A.; Pyszniak, K.; Turek, M.; Lin, L.; Prucnal, S.

    2011-01-01

    Remarkable thermomechanical and electrical properties of silicon carbide (SiC) make this material very attractive for high-temperature, high-power, and high-frequency applications. Because of very low values of diffusion coefficient of most impurities in SiC, ion implantation is the best method to selectively introduce dopants over well-defined depths in SiC. Aluminium is commonly used for creating p-type regions in SiC. However, post-implantation radiation damage, which strongly deteriorates required electric properties of the implanted layers, is difficult to anneal even at high temperatures because of remaining residual damage. Therefore implantation at elevated target temperatures (hot implantation) is nowadays an accepted method to decrease the level of the residual radiation damage by avoiding ion beam-induced amorphization. The main objective of this study is to compare the results of the Rutherford backscattering spectroscopy with channeling and micro-Raman spectroscopy investigations of room temperature and 500 o C Al + ion implantation-induced damage in 6H-SiC and its removal by high temperature (up to 1600 o C) thermal annealing. (author)

  1. P-stop isolation study of irradiated n-in-p type silicon strip sensors for harsh radiation environment

    CERN Document Server

    AUTHOR|(CDS)2084505

    2015-01-01

    In order to determine the most radiation hard silicon sensors for the CMS Experiment after the Phase II Upgrade in 2023 a comprehensive study of silicon sensors after a fluence of up to $1.5\\times10^{15} n_{eq}/cm^{2}$ corresponding to $3000 fb^{-1}$ after the HL-LHC era has been carried out. The results led to the decision that the future Outer Tracker (20~cm${<}R{<}$110~cm) of CMS will consist of n-in-p type sensors. This technology is more radiation hard but also the manufacturing is more challenging compared to p-in-n type sensors due to additional process steps in order to suppress the accumulation of electrons between the readout strips. One possible isolation technique of adjacent strips is the p-stop structure which is a p-type material implantation with a certain pattern for each individual strip. However, electrical breakdown and charge collection studies indicate that the process parameters of the p-stop structure have to be carefully calibrated in order to achieve a sufficient strip isolatio...

  2. Impact of Total Ionizing Dose Radiation Testing and Long-Term Thermal Cycling on the Operation of CMF20120D Silicon Carbide Power MOSFET

    Science.gov (United States)

    Patterson, Richard L.; Scheidegger, Robert J.; Lauenstein, Jean-Marie; Casey, Megan; Scheick, Leif; Hammoud, Ahmad

    2013-01-01

    Power systems designed for use in NASA space missions are required to work reliably under harsh conditions including radiation, thermal cycling, and extreme temperature exposures. Silicon carbide devices show great promise for use in future power electronics systems, but information pertaining to performance of the devices in the space environment is very scarce. A silicon carbide N-channel enhancement-mode power MOSFET called the CMF20120 is of interest for use in space environments. Samples of the device were exposed to radiation followed by long-term thermal cycling to address their reliability for use in space applications. The results of the experimental work are presentd and discussed.

  3. Numerical and experimental comparison of plastic work-hardening rules

    International Nuclear Information System (INIS)

    Haisler, W.E.

    1977-01-01

    The purpose of this paper is to describe recent numerical and experimental correlation studies of several plastic work-hardening rules. The mechanical sublayer model and the combined kinematic-isotropic hardening rules are examined and the numerical results for several structural geometries are compared to experimental results. Both monotonic and cyclic loads are considered. The governing incremental plasticity relations are developed for both work-hardening models. The combined kinematic-isotropic hardening model is developed in terms of a ratio γ which controls the relative contribution of kinematic hardening (yield surface translation) and isotropic hardening (yield surface expansion). In addition to making use of a uniaxial stress-strain curve as input data, the model allows for the input of a yield surface size vs. uniaxial plastic strain curve obtained from a cyclic uniaxial reverse loading test. The mechanical sublayer model is developed in general form and a new method for determining the sublayer parameters (stress weighting factors and yield stresses) is presented. It is demonstrated that former procedures used to obtain the sublayer parameters are inconsistent for multiaxial loading. Numerical and experimental results are presented for a cylinder, circular plate with punch, and a steel pressure vessel. The numerical results are obtained with the computer program AGGIE I. The comparison study indicates that reasonable agreement is obtained with both hardening models; the choice depending upon whether the loading is monotonic or cyclic

  4. Study and characterization of an integrated circuit-deposited hydrogenated amorphous silicon sensor for the detection of particles and radiations

    International Nuclear Information System (INIS)

    Despeisse, M.

    2006-03-01

    Next generation experiments at the European laboratory of particle physics (CERN) require particle detector alternatives to actual silicon detectors. This thesis presents a novel detector technology, which is based on the deposition of a hydrogenated amorphous silicon sensor on top of an integrated circuit. Performance and limitations of this technology have been assessed for the first time in this thesis in the context of particle detectors. Specific integrated circuits have been designed and the detector segmentation, the interface sensor-chip and the sensor leakage current have been studied in details. The signal induced by the track of an ionizing particle in the sensor has been characterized and results on the signal speed, amplitude and on the sensor resistance to radiation are presented. The results are promising regarding the use of this novel technology for radiation detection, though limitations have been shown for particle physics application. (author)

  5. Characterization of Czochralski Silicon Detectors

    OpenAIRE

    Luukka, Panja-Riina; Haerkoenen, Jaakko

    2012-01-01

    This thesis describes the characterization of irradiated and non-irradiated segmenteddetectors made of high-resistivity (>1 kΩcm) magnetic Czochralski (MCZ) silicon. It isshown that the radiation hardness (RH) of the protons of these detectors is higher thanthat of devices made of traditional materials such as Float Zone (FZ) silicon or DiffusionOxygenated Float Zone (DOFZ) silicon due to the presence of intrinsic oxygen (> 5 x1017 cm-3). The MCZ devices therefore present an interesting alter...

  6. Recombination of charge carriers on radiation-induced defects in silicon doped by transition metals impurities

    CERN Document Server

    Kazakevich, L A

    2003-01-01

    It has been studied the peculiarities of recombination of nonequilibrium charge carriers on radiation-induced defects in received according to Czochralski method p-silicon (p approx 3 - 20 Ohm centre dot cm), doped by one of the impurities of transition metals of the IV-th group of periodic table (titanium, zirconium, hafnium). Experimental results are obtained out of the analysis of temperature and injection dependence of the life time of charge carriers. The results are explained taking into consideration the influences of elastic stress fields created by the aggregates of transition metals atoms on space distribution over the crystal of oxygen and carbon background impurities as well as on the migration of movable radiation-induced defects during irradiation. (authors).

  7. Use of COTS microelectronics in radiation environments

    International Nuclear Information System (INIS)

    Winokur, P.S.; Lum, G.K.; Shaneyfelt, M.R.; Sexton, F.W.; Hash, G.L.; Scott, L.

    1999-01-01

    This paper addresses key issues for the cost-effective use of COTS (Commercially available Off The Shelf) microelectronics in radiation environments that enable circuit or system designers to manage risks and ensure mission success. They review several factors and tradeoffs affecting the successful application of COTS parts including (1) hardness assurance and qualification issues, (2) system hardening techniques, and (3) life-cycle costs. The paper also describes several experimental studies that address trends in total-dose, transient, and single-event radiation hardness as COTS technology scales to smaller feature sizes. As an example, the level at which dose-rate upset occurs in Samsung SRAMs increases from 1.4 x 10 8 rad(Si)/s for a 256K SRAM to 7.7 x 10 9 rad(Si)/s for a 4M SRAM, indicating unintentional hardening improvements in the design of process of a commercial technology. Additional experiments were performed to quantify variations in radiation hardness for COTS parts. In one study, only small (10--15%) variations were found in the dose-rate upset and latchup thresholds for Samsung 4M SRAMs from three different date codes. In another study, irradiations of 4M SRAMs from Samsung, Hitachi, and Toshiba indicate large differences in total-dose radiation hardness. The paper attempts to carefully define terms and clear up misunderstandings about the definitions of COTS and radiation-hardened (RH) technology

  8. COMPLEX SURFACE HARDENING OF STEEL ARTICLES

    Directory of Open Access Journals (Sweden)

    A. V. Kovalchuk

    2014-01-01

    Full Text Available The method of complex surface hardening of steel detailswas designed. The method is a compound of two processes of hardening: chemical heat treatment and physical vapor deposition (PVD of the coating. The result, achieved in this study is much higher, than in other work on this topic and is cumulative. The method designed can be used in mechanical engineering, medicine, energetics and is perspective for military and space technologies.

  9. Radiation hardness and precision timing study of silicon detectors for the CMS High Granularity Calorimeter (HGC)

    Energy Technology Data Exchange (ETDEWEB)

    Currás, Esteban, E-mail: ecurrasr@cern.ch [CERN, Organisation europnne pour la recherche nucleaire, CH-1211 Genéve 23 (Switzerland); Instituto de Física de Cantabria (CSIC-UC), Avda. los Castros s/n, E-39005 Santander (Spain); Fernández, Marcos [Instituto de Física de Cantabria (CSIC-UC), Avda. los Castros s/n, E-39005 Santander (Spain); Gallrapp, Christian [CERN, Organisation europnne pour la recherche nucleaire, CH-1211 Genéve 23 (Switzerland); Gray, Lindsey [Fermilab, Wilson Street and Kirk Road, Batavia, IL 60510-5011, Illinois (United States); Mannelli, Marcello [CERN, Organisation europnne pour la recherche nucleaire, CH-1211 Genéve 23 (Switzerland); Meridiani, Paolo [Istituto Nazionale Di Fisica Nucleare – Sezione di Roma, Piazzale Aldo Moro, 2, 00185 Roma (Italy); Moll, Michael [CERN, Organisation europnne pour la recherche nucleaire, CH-1211 Genéve 23 (Switzerland); Nourbakhsh, Shervin [University of Minnesota, Minneapolis, MN 55455 (United States); Scharf, Christian [Hamburg University, Notkestraße 85, 22607 Hamburg (Germany); Silva, Pedro [CERN, Organisation europnne pour la recherche nucleaire, CH-1211 Genéve 23 (Switzerland); Steinbrueck, Georg [Hamburg University, Notkestraße 85, 22607 Hamburg (Germany); Fatis, Tommaso Tabarelli de [Istituto Nazionale di Fisica Nucleare – Sezione di Milano-Bicocca Piazza della Scienza 3, 20126 Milano (Italy); Vila, Iván [Instituto de Física de Cantabria (CSIC-UC), Avda. los Castros s/n, E-39005 Santander (Spain)

    2017-02-11

    The high luminosity upgraded LHC or Phase-II is expected to increase the instantaneous luminosity by a factor of 10 beyond the LHC's design value, expecting to deliver 250 fb{sup −1} per year for a further 10 years of operation. Under these conditions the performance degradation due to integrated radiation dose will need to be addressed. The CMS collaboration is planning to upgrade the forward calorimeters. The replacement is called the High Granularity Calorimeter (HGC) and it will be realized as a sampling calorimeter with layers of silicon detectors interleaved. The sensors will be realized as pad detectors with sizes of less that ∼1.0 cm{sup 2} and an active thickness between 100 and 300 μm depending on the position, respectively, the expected radiation levels. For an integrated luminosity of 3000 fb{sup −1}, the electromagnetic calorimetry will sustain integrated doses of 1.5 MGy (150 Mrads) and neutron fluences up to 10{sup 16} neq/cm{sup 2}. A radiation tolerance study after neutron irradiation of 300, 200, and 100 μm n-on-p and p-on-n silicon pads irradiated to fluences up to 1.6×10{sup 16} neq/cm{sup 2} is presented. The properties of these diodes studied before and after irradiation were leakage current, capacitance, charge collection efficiency, annealing effects and timing capability. The results of these measurements validate these sensors as candidates for the HGC system.

  10. Hydrogen incorporation and radiation induced dynamics in metal-oxide-silicon structures. A study using nuclear reaction analysis

    International Nuclear Information System (INIS)

    Briere, M.A.

    1993-07-01

    Resonant nuclear reaction analysis, using the 1 H( 15 N, αγ) 12 C reaction at 6.4 MeV, has been successfully applied to the investigation of hydrogen incorporation and radiation induced migration in metal-oxide-silicon structures. A preliminary study of the influence of processing parameters on the H content of thermal oxides, with and without gate material present, has been performed. It is found that the dominant source of hydrogen in Al gate devices and dry oxides is often contamination, likely in the form of adsorbed water vapor, formed upon exposure to room air after removal from the oxidation furnace. Concentrations of hydrogen in the bulk oxide as high as 3 10 20 cm -3 (Al gate), and as low as 1 10 18 cm -3 (poly Si-gate) have been observed. Hydrogen accumulation at the Si-SiO 2 interface has been reproducibly demonstrated for as-oxidized samples, as well as for oxides exposed to H 2 containing atmospheres during subsequent thermal processing. The migration of hydrogen, from the bulk oxide to the silicon-oxide interface during NRA, has been observed and intensively investigated. A direct correlation between the hydrogen content of the bulk oxide and the radiation generated oxide charges and interface states is presented. These data provide strong support for the important role of hydrogen in determining the radiation sensitivity of electronic devices. (orig.)

  11. Simulation and test of 3D silicon radiation detectors

    International Nuclear Information System (INIS)

    Fleta, C.; Pennicard, D.; Bates, R.; Parkes, C.; Pellegrini, G.; Lozano, M.; Wright, V.; Boscardin, M.; Dalla Betta, G.-F.; Piemonte, C.; Pozza, A.; Ronchin, S.; Zorzi, N.

    2007-01-01

    The work presented here is the result of the collaborative effort between the University of Glasgow, ITC-IRST (Trento) and IMB-CNM (Barcelona) in the framework of the CERN-RD50 Collaboration to produce 3D silicon radiation detectors and study their performance. This paper reports on two sets of 3D devices. IRST and CNM have fabricated a set of single-type column 3D detectors, which have columnar electrodes of the same doping type and an ohmic contact located at the backplane. Simulations of the device behaviour and electrical test results are presented. In particular, current-voltage, capacitance-voltage and charge collection efficiency measurements are reported. Other types of structures called double-sided 3D detectors are currently being fabricated at CNM. In these detectors the sets of n and p columns are made on opposite sides of the device. Electrical and technological simulations and first processing results are presented

  12. Hardening of niobium alloys at precrystallization annealing

    International Nuclear Information System (INIS)

    Vasil'eva, E.V.; Pustovalov, V.A.

    1989-01-01

    Niobium base alloys were investigated. It is shown that precrystallization annealing of niobium-molybdenum, niobium-vanadium and niobium-zirconium alloys elevates much more sufficiently their resistance to microplastic strains, than to macroplastic strains. Hardening effect differs sufficiently for different alloys. The maximal hardening is observed for niobium-vanadium alloys, the minimal one - for niobium-zirconium alloys

  13. Efficiency measurements for 3D silicon strip detectors

    Energy Technology Data Exchange (ETDEWEB)

    Parzefall, Ulrich, E-mail: ulrich.parzefall@physik.uni-freiburg.d [Physikalisches Institut, Universitaet Freiburg, Hermann-Herder-Str. 3, D-79104 Freiburg (Germany); Dalla Betta, Gian-Franco [INFN Trento and Universita di Trento, via Sommarive 14, 38050 Povo di Trento (Italy); Boscardin, Maurizio [FBK-irst, Center for Materials and Microsystems, via Sommarive 18, 38050 Povo di Trento (Italy); Eckert, Simon [Physikalisches Institut, Universitaet Freiburg, Hermann-Herder-Str. 3, D-79104 Freiburg (Germany); Eklund, Lars; Fleta, Celeste [University of Glasgow, Department of Physics and Astronomy, Glasgow G12 8QQ (United Kingdom); Jakobs, Karl; Koehler, Michael; Kuehn, Susanne; Pahn, Gregor [Physikalisches Institut, Universitaet Freiburg, Hermann-Herder-Str. 3, D-79104 Freiburg (Germany); Parkes, Chris; Pennicard, David [University of Glasgow, Department of Physics and Astronomy, Glasgow G12 8QQ (United Kingdom); Ronchin, Sabina [FBK-irst, Center for Materials and Microsystems, via Sommarive 18, 38050 Povo di Trento (Italy); Zoboli, Andrea [INFN Trento and Universita di Trento, via Sommarive 14, 38050 Povo di Trento (Italy); Zorzi, Nicola [FBK-irst, Center for Materials and Microsystems, via Sommarive 18, 38050 Povo di Trento (Italy)

    2010-11-01

    Silicon strip detectors are widely used as part of the inner tracking layers in particle physics experiments. For applications at the luminosity upgrade of the Large Hadron Collider (LHC), the sLHC, silicon detectors with extreme radiation hardness are required. The 3D detector design, where electrodes are processed from underneath the strips into the silicon bulk material, provides a way to enhance the radiation tolerance of standard planar silicon strip detectors. Detectors with several innovative 3D designs that constitute a simpler and more cost-effective processing than the 3D design initially proposed were connected to read-out electronics from LHC experiments and subsequently tested. Results on the amount of charge collected, the noise and the uniformity of charge collection are given.

  14. Evaluation of local radiation damage in silicon sensor via charge collection mapping with the Timepix read-out chip

    International Nuclear Information System (INIS)

    Platkevic, M; Jakubek, J; Jakubek, M; Pospisil, S; Zemlicka, J; Havranek, V; Semian, V

    2013-01-01

    Studies of radiation hardness of silicon sensors are standardly performed with single-pad detectors evaluating their global electrical properties. In this work we introduce a technique to visualize and determine the spatial distribution of radiation damage across the area of a semiconductor sensor. The sensor properties such as charge collection efficiency and charge diffusion were evaluated locally at many points of the sensor creating 2D maps. For this purpose we used a silicon sensor bump bonded to the pixelated Timepix read-out chip. This device, operated in Time-over-threshold (TOT) mode, allows for the direct energy measurement in each pixel. Selected regions of the sensor were intentionally damaged by defined doses (up to 10 12 particles/cm 2 ) of energetic protons (of 2.5 and 4 MeV). The extent of the damage was measured in terms of the detector response to the same ions. This procedure was performed either on-line during irradiation or off-line after it. The response of the detector to each single particle was analyzed determining the charge collection efficiency and lateral charge diffusion. We evaluated the changes of these parameters as a function of radiation dose. These features are related to the local properties such as the spatial homogeneity of the sensor. The effect of radiation damage was also independently investigated measuring local changes of signal response to γ, and X rays and alpha particles.

  15. Radiation defect distribution in silicon irradiated with 600 keV electrons

    International Nuclear Information System (INIS)

    Hazdra, P.; Dorschner, H.

    2003-01-01

    Low-doped n-type float zone silicon was irradiated with 600 keV electrons to fluences from 2x10 13 to 1x10 15 cm -2 . Radiation defects, their introduction rates and full-depth profiles were measured by two complementary methods - the capacitance deep level spectroscopy and the high-voltage current transient spectroscopy. Results show that, in the vicinity of the anode junction, the profile of vacancy-related defect centers is strongly influenced by electric field and an excessive generation of vacancies. In the bulk, the slope of the profile can be derived from the distribution of absorbed dose taking into the account the threshold energy necessary for Frenkel pair formation and the dependency of the defect introduction rate on electron energy

  16. Inverted amorphous silicon solar cell utilizing cermet layers

    Science.gov (United States)

    Hanak, Joseph J.

    1979-01-01

    An amorphous silicon solar cell incorporating a transparent high work function metal cermet incident to solar radiation and a thick film cermet contacting the amorphous silicon opposite to said incident surface.

  17. Tensile stress-strain and work hardening behaviour of P9 steel for wrapper application in sodium cooled fast reactors

    Science.gov (United States)

    Christopher, J.; Choudhary, B. K.; Isaac Samuel, E.; Mathew, M. D.; Jayakumar, T.

    2012-01-01

    Tensile flow behaviour of P9 steel with different silicon content has been examined in the framework of Hollomon, Ludwik, Swift, Ludwigson and Voce relationships for a wide temperature range (300-873 K) at a strain rate of 1.3 × 10 -3 s -1. Ludwigson equation described true stress ( σ)-true plastic strain ( ɛ) data most accurately in the range 300-723 K. At high temperatures (773-873 K), Ludwigson equation reduces to Hollomon equation. The variations of instantaneous work hardening rate ( θ = dσ/ dɛ) and θσ with stress indicated two-stage work hardening behaviour. True stress-true plastic strain, flow parameters, θ vs. σ and θσ vs. σ with respect to temperature exhibited three distinct temperature regimes and displayed anomalous behaviour due to dynamic strain ageing at intermediate temperatures. Rapid decrease in flow stress and flow parameters, and rapid shift in θ- σ and θσ- σ towards lower stresses with increase in temperature indicated dominance of dynamic recovery at high temperatures.

  18. Investigation of srawberry hardening in low temperatures in vitro

    OpenAIRE

    Lukoševičiūtė, Vanda; Rugienius, Rytis; Kavaliauskaitė, Danguolė

    2007-01-01

    Cold resistance of different strawberry varieties in vitro and ability to retain hardening after defrosting and repeated hardening. Phytohormons – gibberellin and abscisic acid added in the growing medium were investigated in Horticulture plant genetic and biotechnology department of LIH. We tried to model common conditions in temperate zone when freeze-thaw cycles often occur during wintertime. For investigation in vitro strawberries for the first time hardened in light at the temperature of...

  19. SENTIRAD-An innovative personal radiation detector based on a scintillation detector and a silicon photomultiplier

    International Nuclear Information System (INIS)

    Osovizky, A.; Ginzburg, D.; Manor, A.; Seif, R.; Ghelman, M.; Cohen-Zada, I.; Ellenbogen, M.; Bronfenmakher, V.; Pushkarsky, V.; Gonen, E.; Mazor, T.; Cohen, Y.

    2011-01-01

    The alarming personal radiation detector (PRD) is a device intended for Homeland Security (HLS) applications. This portable device is designed to be worn or carried by security personnel to detect photon-emitting radioactive materials for the purpose of crime prevention. PRD is required to meet the scope of specifications defined by various HLS standards for radiation detection. It is mandatory that the device be sensitive and simultaneously small, pocket-sized, of robust mechanical design and carriable on the user's body. To serve these specialized purposes and requirements, we developed the SENTIRAD, a new radiation detector designed to meet the performance criteria established for counterterrorist applications. SENTIRAD is the first commercially available PRD based on a CsI(Tl) scintillation crystal that is optically coupled with a silicon photomultiplier (SiPM) serving as a light sensor. The rapidly developing technology of SiPM, a multipixel semiconductor photodiode that operates in Geiger mode, has been thoroughly investigated in previous studies. This paper presents the design considerations, constraints and radiological performance relating to the SENTIRAD radiation sensor.

  20. Characterization of Czochralski silicon detectors

    OpenAIRE

    Luukka, Panja-Riina

    2006-01-01

    This thesis describes the characterization of irradiated and non-irradiated segmented detectors made of high-resistivity (>1 kΩcm) magnetic Czochralski (MCZ) silicon. It is shown that the radiation hardness (RH) of the protons of these detectors is higher than that of devices made of traditional materials such as Float Zone (FZ) silicon or Diffusion Oxygenated Float Zone (DOFZ) silicon due to the presence of intrinsic oxygen (> 5 × 1017 cm−3). The MCZ devices therefore present an interesting ...

  1. Coating compositions hardenable by ionization beams

    International Nuclear Information System (INIS)

    Chaudhari, D.; Haering, E.; Dobbelstein, A.; Hoselmann, W.

    1976-01-01

    Coating compositions hardenable by ionizing radiation comprise as binding agents a mixture of A. at least 1 unsaturated olefin compound containing urethane groups, and B. at least 1 further unsaturated olefin compound that may be copolymerized. The unsaturated olefin compound A. containing the urethane groups in a reaction product of (a) a compound of the general formula (CHR 1 = CR 2 COOCH 2 CH(OH)CH 2 O CO-)/sub n/R where n is 1 or 2, where R stands for a straight chain or branched alkyl group of valence n, where R 1 is hydrogen, methyl; or the group -COOCH 2 CH(OH)CH 2 OCOR 3 - where R 3 is a monovalent alkyl residue and where R 2 is hydrogen or methyl, and (b) a compound containing at least 1 isocyanate group where the mixture of (A) and (B) may contain conventional additives of the lacquer industry. 6 claims

  2. Work Hardening Behavior of 1020 Steel During Cold-Beating Simulation

    Science.gov (United States)

    CUI, Fengkui; LING, Yuanfei; XUE, Jinxue; LIU, Jia; LIU, Yuhui; LI, Yan

    2017-03-01

    The present research of cold-beating formation mainly focused on roller design and manufacture, kinematics, constitutive relation, metal flow law, thermo-mechanical coupling, surface micro-topography and microstructure evolution. However, the research on surface quality and performance of workpieces in the process of cold-beating is rare. Cold-beating simulation experiment of 1020 steel is conducted at room temperature and strain rates ranging from 2000 to 4000 s-1 base on the law of plastic forming. According to the experimental data, the model of strain hardening of 1020 steel is established, Scanning Electron Microscopy(SEM) is conducted, the mechanism of the work hardening of 1020 steel is clarified by analyzing microstructure variation of 1020 steel. It is found that the strain rate hardening effect of 1020 steel is stronger than the softening effect induced by increasing temperatures, the process of simulation cold-beating cause the grain shape of 1020 steel significant change and microstructure elongate significantly to form a fibrous tissue parallel to the direction of deformation, the higher strain rate, the more obvious grain refinement and the more hardening effect. Additionally, the change law of the work hardening rate is investigated, the relationship between dislocation density and strain, the relationship between work hardening rate and dislocation density is obtained. Results show that the change trend of the work hardening rate of 1020 steel is divided into two stages, the work hardening rate decreases dramatically in the first stage and slowly decreases in the second stage, finally tending toward zero. Dislocation density increases with increasing strain and strain rate, work hardening rate decreases with increasing dislocation density. The research results provide the basis for solving the problem of improving the surface quality and performance of workpieces under cold-beating formation of 1020 steel.

  3. HARDENING OF CRANE RAILS BY PLASMA DISCRETE-TIME SURFACE TREATMENT

    Directory of Open Access Journals (Sweden)

    S. S. Samotugin

    2017-01-01

    Full Text Available Crane wheels and rails are subjected to intensive wear in the process of operation. Therefore, improvement of these components’ performance can be considered a task of high importance. A promising direction in this regard is surface treatment by highly concentrated energy flows such as laser beams or plasma jets. This thesis suggests that the use of gradient plasma surface treatment can improve the performance of crane rails. A research was conducted, according to which hardened zones were deposited on crane rails under different treatment modes. Microhardness was measured both at the surface and in depth using custom-made microsections. The article includes the results of study of plasma surface hardening effects on wear resistance of crane rails. Change of plasma surface treatment parameters (current, plasma torch movement speed, argon gas flow rate allows for desired steel hardness and structure, while the choice of optimal location for hardened zones makes it possible to significantly improve wear resistance and crack resistance. As a result of plasma surface hardening, the fine-grained martensite structure is obtained with mainly lamellar morphology and higher hardness rate compared toinduction hardening or overlaying. Wear test of carbon steels revealed that plasma surfacing reduces abrasive wear rate compared to the irinitial state by 2 to 3 times. Enough sharp boundary between hardened and non-hardened portions has a positive effect on the performance of parts under dynamic loads, contributing to the inhibition of cracks during the transition from solid to a soft metal. For carbon and low alloy rail steels, the properties achieved by plasma surface hardening can effectively replace induction hardening or overlaying.The mode range for plasma surface treatment that allow sobtaining a surface layer with certain operating properties has been determined.

  4. 1980, a revolution in silicon detectors, from energy spectrometer to radiation imager: Some technical and historical details

    International Nuclear Information System (INIS)

    Heijne, Erik H.M.

    2008-01-01

    Silicon nuclear particle detectors were introduced just 50 years ago, after single crystal manufacturing was mastered. A major change took place around 1980 when the 'planar' Metal Oxide Semiconductor (MOS) technology developed in microelectronics was systematically applied also in detector construction. With the simultaneous introduction of matched readout chips this eventually would lead to pixelized matrix detectors that function as radiation imaging devices. The critical contributions to this revolution by Josef Kemmer and Paul Burger are described. Performance of the segmented planar technology detectors improved significantly in comparison with the earlier spectrometric diodes. With efficient industrial support the use of silicon detectors in many new applications has become possible and detector systems with a sensitive area of several tens to >100m 2 have been constructed recently

  5. A procedure for the hardening of materials

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1984-01-01

    A method of hardening metals or ceramics which have fcc, bcc or hcp structures in which two species of differing atomic radii are introduced into the material to be hardened. One species is of a size such that it can diffuse through the lattice normally. The other is of a size such that it can diffuse readily only along dislocations. Ion bombardment is the preferred method of introducing the species with different atomic radii. The material to be hardened is subjected to heat and plastic deformation so as to cause a large number of dislocations with jogs. The species meet at the jogs where they interact and are trapped and set up strain fields which prevent further deformation of the material. (author)

  6. An Anisotropic Hardening Model for Springback Prediction

    Science.gov (United States)

    Zeng, Danielle; Xia, Z. Cedric

    2005-08-01

    As more Advanced High-Strength Steels (AHSS) are heavily used for automotive body structures and closures panels, accurate springback prediction for these components becomes more challenging because of their rapid hardening characteristics and ability to sustain even higher stresses. In this paper, a modified Mroz hardening model is proposed to capture realistic Bauschinger effect at reverse loading, such as when material passes through die radii or drawbead during sheet metal forming process. This model accounts for material anisotropic yield surface and nonlinear isotropic/kinematic hardening behavior. Material tension/compression test data are used to accurately represent Bauschinger effect. The effectiveness of the model is demonstrated by comparison of numerical and experimental springback results for a DP600 straight U-channel test.

  7. An Anisotropic Hardening Model for Springback Prediction

    International Nuclear Information System (INIS)

    Zeng, Danielle; Xia, Z. Cedric

    2005-01-01

    As more Advanced High-Strength Steels (AHSS) are heavily used for automotive body structures and closures panels, accurate springback prediction for these components becomes more challenging because of their rapid hardening characteristics and ability to sustain even higher stresses. In this paper, a modified Mroz hardening model is proposed to capture realistic Bauschinger effect at reverse loading, such as when material passes through die radii or drawbead during sheet metal forming process. This model accounts for material anisotropic yield surface and nonlinear isotropic/kinematic hardening behavior. Material tension/compression test data are used to accurately represent Bauschinger effect. The effectiveness of the model is demonstrated by comparison of numerical and experimental springback results for a DP600 straight U-channel test

  8. An investigation into the radiation damage of the silicon detectors of the H1-PLUG calorimeter within the HERA environment

    International Nuclear Information System (INIS)

    Hildesheim, W.; Seidel, M.

    1995-07-01

    The silicon detectors used in the H1-PLUG calorimeter have shown increasing aging effects during the '94 run period of the electron proton storage ring HERA. These effects were particularly manifest as degradation of the signal to noise level and the calibration stability. The reasons for this behaviour have been found to be correlated with radiation damage to the silicon oxide passivation edges of the detectors in strong and fluctuating increases of the leakage currents and in severe changes of the flat band voltages. Depletion voltages however are found to be stable and therefore bulk damage of the silicon can be excluded. A comparison with measurements made by thermoluminescence dosimeters as well as related laboratory experiments suggest that the aging is due to very low energetic electrons and photons. (orig.)

  9. Mixed logic style adder circuit designed and fabricated using SOI substrate for irradiation-hardened experiment

    Science.gov (United States)

    Yuan, Shoucai; Liu, Yamei

    2016-08-01

    This paper proposed a rail to rail swing, mixed logic style 28-transistor 1-bit full adder circuit which is designed and fabricated using silicon-on-insulator (SOI) substrate with 90 nm gate length technology. The main goal of our design is space application where circuits may be damaged by outer space radiation; so the irradiation-hardened technique such as SOI structure should be used. The circuit's delay, power and power-delay product (PDP) of our proposed gate diffusion input (GDI)-based adder are HSPICE simulated and compared with other reported high-performance 1-bit adder. The GDI-based 1-bit adder has 21.61% improvement in delay and 18.85% improvement in PDP, over the reported 1-bit adder. However, its power dissipation is larger than that reported with 3.56% increased but is still comparable. The worst case performance of proposed 1-bit adder circuit is also seen to be less sensitive to variations in power supply voltage (VDD) and capacitance load (CL), over a wide range from 0.6 to 1.8 V and 0 to 200 fF, respectively. The proposed and reported 1-bit full adders are all layout designed and wafer fabricated with other circuits/systems together on one chip. The chip measurement and analysis has been done at VDD = 1.2 V, CL = 20 fF, and 200 MHz maximum input signal frequency with temperature of 300 K.

  10. Proton irradiation effects in silicon devices

    Energy Technology Data Exchange (ETDEWEB)

    Simoen, E; Vanhellemont, J; Alaerts, A [IMEC, Leuven (Belgium); and others

    1997-03-01

    Proton irradiation effects in silicon devices are studied for components fabricated in various substrates in order to reveal possible hardening effects. The degradation of p-n junction diodes increases in first order proportionally with the fluence, when submitted to 10 MeV proton irradiations in the range 5x10{sup 9} cm{sup -2} to 5x10{sup 11} cm{sup -2}. The damage coefficients for both p- and n-type Czochralski, Float-Zone and epitaxial wafers are reported. Charge-Coupled Devices fabricated in a 1.2 {mu}m CCD-CMOS technology are shown to be quite resistant to 59 MeV H{sup +} irradiations, irrespective of the substrate type. (author)

  11. Radiation Hardness tests with neutron flux on different Silicon photomultiplier devices

    Science.gov (United States)

    Cattaneo, P. W.; Cervi, T.; Menegolli, A.; Oddone, M.; Prata, M.; Prata, M. C.; Rossella, M.

    2017-07-01

    Radiation hardness is an important requirement for solid state readout devices operating in high radiation environments common in particle physics experiments. The MEG II experiment, at PSI, Switzerland, investigates the forbidden decay μ+ → e+ γ. Exploiting the most intense muon beam of the world. A significant flux of non-thermal neutrons (kinetic energy Ek>= 0.5 MeV) is present in the experimental hall produced along the beam-line and in the hall itself. We present the effects of neutron fluxes comparable to the MEG II expected doses on several Silicon Photomultiplier (SiPMs). The tested models are: AdvanSiD ASD-NUV3S-P50 (used in MEG II experiment), AdvanSiD ASD-NUV3S-P40, AdvanSiD ASD-RGB3S-P40, Hamamatsu and Excelitas C30742-33-050-X. The neutron source is the thermal Sub-critical Multiplication complex (SM1) moderated with water, located at the University of Pavia (Italy). We report the change of SiPMs most important electric parameters: dark current, dark pulse frequency, gain, direct bias resistance, as a function of the integrated neutron fluency.

  12. ANISOTROPIC STRAIN-HARDENING IN POLYCRYSTALLINE COPPER AND ALUMINUM

    NARCIS (Netherlands)

    HESS, F

    1993-01-01

    A new viscoplastic model for the plastic stress-strain behaviour of f.c.c. metals is presented. In this model the strain hardening results from increasing dislocation densities. The observed stagnation of strain hardening after strain reversals is explained by a lowering of the increase in

  13. Surface hardening of titanium alloys with melting depth controlled by heat sink

    Science.gov (United States)

    Oden, Laurance L.; Turner, Paul C.

    1995-01-01

    A process for forming a hard surface coating on titanium alloys includes providing a piece of material containing titanium having at least a portion of one surface to be hardened. The piece having a portion of a surface to be hardened is contacted on the backside by a suitable heat sink such that the melting depth of said surface to be hardened may be controlled. A hardening material is then deposited as a slurry. Alternate methods of deposition include flame, arc, or plasma spraying, electrodeposition, vapor deposition, or any other deposition method known by those skilled in the art. The surface to be hardened is then selectively melted to the desired depth, dependent on the desired coating thickness, such that a molten pool is formed of the piece surface and the deposited hardening material. Upon cooling a hardened surface is formed.

  14. Research on SEU hardening of heterogeneous Dual-Core SoC

    Science.gov (United States)

    Huang, Kun; Hu, Keliu; Deng, Jun; Zhang, Tao

    2017-08-01

    The implementation of Single-Event Upsets (SEU) hardening has various schemes. However, some of them require a lot of human, material and financial resources. This paper proposes an easy scheme on SEU hardening for Heterogeneous Dual-core SoC (HD SoC) which contains three techniques. First, the automatic Triple Modular Redundancy (TMR) technique is adopted to harden the register heaps of the processor and the instruction-fetching module. Second, Hamming codes are used to harden the random access memory (RAM). Last, a software signature technique is applied to check the programs which are running on CPU. The scheme need not to consume additional resources, and has little influence on the performance of CPU. These technologies are very mature, easy to implement and needs low cost. According to the simulation result, the scheme can satisfy the basic demand of SEU-hardening.

  15. Hardening and softening mechanisms of pearlitic steel wire under torsion

    International Nuclear Information System (INIS)

    Zhao, Tian-Zhang; Zhang, Shi-Hong; Zhang, Guang-Liang; Song, Hong-Wu; Cheng, Ming

    2014-01-01

    Highlights: • Mechanical behavior of pearlitic steel wire is studied using torsion. • Work hardening results from refinement lamellar pearlitic structure. • Softening results from recovery, shear bands and lamellar fragmentations. • A microstructure based analytical flow stress model is established. - Abstract: The mechanical behaviors and microstructure evolution of pearlitic steel wires under monotonic shear deformation have been investigated by a torsion test and a number of electron microscopy techniques including scanning electron microscopy (SEM) and transmission electron microscopy (TEM), with an aim to reveal the softening and hardening mechanisms of a randomly oriented pearlitic structure during a monotonic stain path. Significantly different from the remarkable strain hardening in cold wire drawing, the strain hardening rate during torsion drops to zero quickly after a short hardening stage. The microstructure observations indicate that the inter-lamellar spacing (ILS) decreases and the dislocations accumulate with strain, which leads to hardening of the material. Meanwhile, when the strain is larger than 0.154, the enhancement of dynamic recovery, shear bands (SBs) and cementite fragmentations results in the softening and balances the strain hardening. A microstructure based analytical flow stress model with considering the influence of ILS on the mean free path of dislocations and the softening caused by SBs and cementite fragmentations, has been established and the predicted flow shear curve meets well with the measured curve in the torsion test

  16. Nuclear radiation detectors using high resistivity neutron transmutation doped silicon

    International Nuclear Information System (INIS)

    Gessner, T.; Irmer, K.

    1983-01-01

    A method for the production of semiconductor detectors based on high resistivity n-type silicon is described. The n-type silicon is produced by neutron irradiation of p-type silicon. The detectors are produced by planar technique. They are suitable for the spectrometry of alpha particles and for the pulse count measurement of beta particles at room temperature. (author)

  17. Effect of laser pulsed radiation on the properties of implanted layers of silicon carbide

    International Nuclear Information System (INIS)

    Violin, Eh.E.; Voron'ko, O.N.; Nojbert, F.; Potapov, E.N.

    1984-01-01

    Results are presented of investigation into pulsed laser radiation effects on the layers of GH polytype silicon carbide converted to amorphous state by implantation of boron and aluminium ions. The implantation doses were selected to be 5x10 16 for boron and 5x10 15 cm -2 for aluminium, with the ion energies being 60 and 80 keV, respectively. The samples annealed under nanosecond regime are stated to posseys neither photoluminescence (PL) nor cathodoluminescence (CL). At the same time the layers annealed in millisecond regime have a weak PL at 100 K and CL at 300 K. The PL and CL are observed in samples, laser-annealed at radiation energy density above 150-160 J/cm 2 in case of boron ion implantation and 100-120 J/cm 2 in case of aluminium ion implantation. Increasing the radiation energy density under the nanosecond regime of laser annealing results in the surface evaporation due to superheating of amorphous layers. Increasing the energy density above 220-240 J/cm 2 results in destruction of the samples

  18. Radiation hardness and charge collection efficiency of lithium irradiated thin silicon diodes

    CERN Document Server

    Boscardin, Maurizio; Bruzzi, Mara; Candelori, Andrea; Focardi, Ettore; Khomenkov, Volodymyr P; Piemonte, Claudio; Ronchin, S; Tosi, C; Zorzi, N

    2005-01-01

    Due to their low depletion voltage, even after high particle fluences, improved tracking precision and momentum resolution, and reduced material budget, thin substrates are one of the possible choices to provide radiation hard detectors for future high energy physics experiments. In the framework of the CERN RD50 Collaboration, we have developed PIN diode detectors on membranes obtained by locally thinning the silicon substrate by means of TMAH etching from the wafer backside. Diodes of different shapes and sizes have been fabricated on 50- mu m and 100- mu m thick membranes and tested, showing a low leakage current (of 300 nA/cm/sup 3/) and a very low depletion voltage (in the order of 1 V for the 50 mu m membrane) before irradiation. Radiation damage tests have been performed with 58 MeV lithium (Li) ions up to the fluence of 10/sup 14/ Li/cm/sup 2/ in order to determine the depletion voltage and leakage current density increase after irradiation. Charge collection efficiency tests carried out with a beta /...

  19. Tensile stress–strain and work hardening behaviour of P9 steel for wrapper application in sodium cooled fast reactors

    International Nuclear Information System (INIS)

    Christopher, J.; Choudhary, B.K.; Isaac Samuel, E.; Mathew, M.D.; Jayakumar, T.

    2012-01-01

    Highlights: ► σ–ε behaviour has been adequately described by Ludwigson and Hollomon equations. ► Instantaneous work hardening rate (θ) exhibited two-stage behaviour. ► σ–ε, flow parameters, θ and θσ vs.σ exhibited three distinct temperature regimes. ► Influence of dynamic strain ageing at intermediate temperatures has been identified. ► Dominance of dynamic recovery at high temperatures was demonstrated. - Abstract: Tensile flow behaviour of P9 steel with different silicon content has been examined in the framework of Hollomon, Ludwik, Swift, Ludwigson and Voce relationships for a wide temperature range (300–873 K) at a strain rate of 1.3 × 10 −3 s −1 . Ludwigson equation described true stress (σ)–true plastic strain (ε) data most accurately in the range 300–723 K. At high temperatures (773–873 K), Ludwigson equation reduces to Hollomon equation. The variations of instantaneous work hardening rate (θ = dσ/dε) and θσ with stress indicated two-stage work hardening behaviour. True stress–true plastic strain, flow parameters, θ vs. σ and θσ vs. σ with respect to temperature exhibited three distinct temperature regimes and displayed anomalous behaviour due to dynamic strain ageing at intermediate temperatures. Rapid decrease in flow stress and flow parameters, and rapid shift in θ–σ and θσ–σ towards lower stresses with increase in temperature indicated dominance of dynamic recovery at high temperatures.

  20. Neutron-irradiation + helium hardening and embrittlement modeling of 9% Cr-steels in an engineering perspective (HELENA)

    International Nuclear Information System (INIS)

    Chaouadi, Rachid

    2008-01-01

    This report provides a physically-based engineering model to estimate the radiation hardening of 9%Cr-steels under both displacement damage (dpa) and helium. The model is essentially based on the dispersed barrier hardening theory and the dynamic re-solution of helium under displacement cascades. However, a number of assumptions and simplifications were considered to obtain a simple description of irradiation hardening and embrittlement primarily relying on the available experimental data. As a result, two components were basically identified, the dpa component that can be associated with black dots and small loops and the He-component accounting for helium bubbles. The dpa component is strongly dependent on the irradiation temperature and its dependence law was based on a first-order annealing kinetics. The damage accumulation law was also modified to take saturation into account. Finally, the global kinetics of the damage accumulation kept defined, its amplitude is fitted to one experimental condition. The model was rationalized on an experimental database that mainly consists of ∝9%Cr-steels irradiated in the technologically important temperature range of 50 to 600 C up do 50 dpa and with a He-content up to ∝5000 appm, including neutron and proton irradiation as well as implantation. The test temperature effect is taken into account through a normalization procedure based on the change of the Young's modulus and the anelastic deformation that occurs at high temperature. Finally, the hardening-to-embrittlement correlation is obtained using the load diagram approach. Despite the large experimental scatter, inherent to the variety of the materials and irradiation as well as testing conditions, the obtained results are very promising. Improvement of the model performance is still possible by including He-hardening saturation and high temperature softening but unfortunately, at this stage, a number of conflicting experimental data reported in literature should

  1. Neutron-irradiation + helium hardening and embrittlement modeling of 9% Cr-steels in an engineering perspective (HELENA)

    Energy Technology Data Exchange (ETDEWEB)

    Chaouadi, Rachid

    2008-07-01

    This report provides a physically-based engineering model to estimate the radiation hardening of 9%Cr-steels under both displacement damage (dpa) and helium. The model is essentially based on the dispersed barrier hardening theory and the dynamic re-solution of helium under displacement cascades. However, a number of assumptions and simplifications were considered to obtain a simple description of irradiation hardening and embrittlement primarily relying on the available experimental data. As a result, two components were basically identified, the dpa component that can be associated with black dots and small loops and the He-component accounting for helium bubbles. The dpa component is strongly dependent on the irradiation temperature and its dependence law was based on a first-order annealing kinetics. The damage accumulation law was also modified to take saturation into account. Finally, the global kinetics of the damage accumulation kept defined, its amplitude is fitted to one experimental condition. The model was rationalized on an experimental database that mainly consists of {proportional_to}9%Cr-steels irradiated in the technologically important temperature range of 50 to 600 C up do 50 dpa and with a He-content up to {proportional_to}5000 appm, including neutron and proton irradiation as well as implantation. The test temperature effect is taken into account through a normalization procedure based on the change of the Young's modulus and the anelastic deformation that occurs at high temperature. Finally, the hardening-to-embrittlement correlation is obtained using the load diagram approach. Despite the large experimental scatter, inherent to the variety of the materials and irradiation as well as testing conditions, the obtained results are very promising. Improvement of the model performance is still possible by including He-hardening saturation and high temperature softening but unfortunately, at this stage, a number of conflicting experimental data

  2. Radiation hard detectors from silicon enriched with both oxygen and thermal donors improvements in donor removal and long-term stability with regard to neutron irradiation

    CERN Document Server

    Li, Z; Eremin, V; Dezillie, B; Chen, W; Bruzzi, M

    2002-01-01

    Detectors made on the silicon wafers with high concentration of thermal donors (TD), which were introduced during the high temperature long time (HTLT) oxygenation procedure, have been investigated in the study of radiation hardness with regard to neutron irradiation and donor removal problems in irradiated high resistivity Si detectors. Two facts have been established as the evidence of radiation hardness improvement of HTLT(TD) Si detectors irradiated below approx 10 sup 1 sup 4 n/cm sup 2 compared to detectors made on standard silicon wafers: the increase of space charge sign inversion fluence (of 1 MeV neutrons) due to lower initial Si resistivity dominated by TD, and the gain in the reverse annealing time constant tau favourable for this material. Coupled with extremely high radiation tolerance to protons observed earlier ('beta zero' behaviour in a wide range of fluence), detectors from HTLT(TD) Si may be unique for application in the experiments with multiple radiations. The changes in the effective sp...

  3. EFFECT OF HARDENING TIME ON DEFORMATION-STRENGTH INDICATORS OF CONCRETE FOR INJECTION WITH A TWO-STAGE EXPANSION DURING HARDENING IN WATER

    Directory of Open Access Journals (Sweden)

    Tatjana N. Zhilnikova

    2017-01-01

    Full Text Available Abstract. Objectives Concretes for injection with a two-stage expansion are a kind of selfstressing concrete obtained with the use of self-stressing cement.The aim of the work is to study the influence of the duration of aging on the porosity, strength and self-stress of concrete hardening in water, depending on the expansion value at the first stage. At the first stage, the compacted concrete mixture is expanded to ensure complete filling of the formwork space. At the second stage, the hardening concrete expands due to the formation of an increased amount of ettringite. This process is prolonged in time, with the amount of self-stress and strength dependant on the conditions of hardening. Methods  Experimental evaluation of self-stress, strength and porosity of concretes that are permanently hardened in water, under air-moist and air-dry conditions after different expansion at the first stage. The self-stress of cement stone is the result of superposition of two processes: the hardening of the structure due to hydration of silicates and its expansion as a result of hydration of calcium aluminates with the subsequent formation of ettringite. The magnitude of self-stress is determined by the ratio of these two processes. The self-stress of the cement stone changes in a manner similar to the change in its expansion. The stabilisation of expansion is accompanied by stabilisation of self-stress of cement stone. Results  The relationship of self-stress, strength and porosity of concrete for injection with a two-stage expansion on the duration and humidity conditions of hardening, taking into account the conditions of deformation limitation at the first stage, is revealed. Conclusion During prolonged hardening in an aqueous medium, self-stresses are reduced up to 25% with the exception of expansion at the first stage and up to 20% with an increase in volume up to 5% at the first stage. The increase in compressive strength is up to 28% relative to

  4. Thick amorphous silicon layers suitable for the realization of radiation detectors

    International Nuclear Information System (INIS)

    Hong, Wan-Shick; Drewery, J.S.; Jing, Tao; Lee, Hyong-Koo; Perez-Mendez, V.; Petrova-Koch, V.

    1995-04-01

    Thick silicon films with good electronic quality have been prepared by glow discharge of He-diluted SiH 4 at a substrate temperature ∼ 150 degree C and subsequent annealing at 160 degree C for about 100 hours. The stress in the films obtained this way decreased to ∼ 100 MPa compared to the 350 MPa in conventional a-Si:H. The post-annealing helped to reduce the ionized dangling bond density from 2.5 x 10 15 cm -3 to 7 x 10 14 cm -3 without changing the internal stress. IR spectroscopy and hydrogen effusion measurements implied the existence of microvoids and tiny crystallites in the material showing satisfactory electronic properties. P-I-N diodes for radiation detection applications have been realized out of the new material

  5. Hardening of single crystals of magnesium by low neutron doses at 77 K

    International Nuclear Information System (INIS)

    Gonzalez, H.C.

    1984-01-01

    Radiation hardening in Mg single crystals at 77 K is studied with a microtensile machine operating in-situ in the CNEA reactor facility RA1. Experimental results show that the dose dependence of the yield stress is similar to that previously observed in irradiated Cu and Zn. The radiation-induced yield stress, due to the presence of radiation obstacles operating alone, increases according to a 0.5 power law. It adds algebraically to the athermal component of the initial yield stress, but is not exactly additive to the other thermally activated mechanisms. For doses higher than 4.5 x 10 16 neutrons/cm 2 , a strong instability in the deformation is observed. Post irradiation experiments in tensile tests performed with a hard machine show a continuous stress drop. This effect is attributed to the dislocation channeling phenomenon which takes place during the tensile test. (author)

  6. In situ radiation test of silicon and diamond detectors operating in superfluid helium and developed for beam loss monitoring

    Energy Technology Data Exchange (ETDEWEB)

    Kurfürst, C.; Dehning, B.; Sapinski, M.; Bartosik, M.R.; Eisel, T.; Fabjan, C.; Rementeria, C.A. [CERN, Geneva (Switzerland); Griesmayer, E. [CIVIDEC Instrumentation, GmbH, Vienna (Austria); Eremin, V. [Ioffe Institute, St. Petersburg (Russian Federation); Verbitskaya, E., E-mail: elena.verbitskaya@cern.ch [Ioffe Institute, St. Petersburg (Russian Federation); Zabrodskii, A.; Fadeeva, N.; Tuboltsev, Y.; Eremin, I. [Ioffe Institute, St. Petersburg (Russian Federation); Egorov, N. [Research Institute of Material Science and Technology, Zelenograd, Moscow (Russian Federation); Härkönen, J.; Luukka, P.; Tuominen, E. [Helsinki Institute of Physics, Helsinki (Finland)

    2015-05-11

    As a result of the foreseen increase in the luminosity of the Large Hadron Collider, the discrimination between the collision products and possible magnet quench-provoking beam losses of the primary proton beams is becoming more critical for safe accelerator operation. We report the results of ongoing research efforts targeting the upgrading of the monitoring system by exploiting Beam Loss Monitor detectors based on semiconductors located as close as possible to the superconducting coils of the triplet magnets. In practice, this means that the detectors will have to be immersed in superfluid helium inside the cold mass and operate at 1.9 K. Additionally, the monitoring system is expected to survive 20 years of LHC operation, resulting in an estimated radiation fluence of 1×10{sup 16} proton/cm{sup 2}, which corresponds to a dose of about 2 MGy. In this study, we monitored the signal degradation during the in situ irradiation when silicon and single-crystal diamond detectors were situated in the liquid/superfluid helium and the dependences of the collected charge on fluence and bias voltage were obtained. It is shown that diamond and silicon detectors can operate at 1.9 K after 1×10{sup 16} p/cm{sup 2} irradiation required for application as BLMs, while the rate of the signal degradation was larger in silicon detectors than in the diamond ones. For Si detectors this rate was controlled mainly by the operational mode, being larger at forward bias voltage. - Highlights: • Silicon and diamond detectors are proposed for beam loss monitoring at LHC. • The first in situ radiation test of Si and diamond detectors at 1.9 K is described. • Both diamond and silicon detectors survived after 1×10{sup 16} p/cm{sup 2} irradiation at 1.9 K. • The rate of Si detectors degradation depends on bias polarity and is larger at V{sub forw}. • Sensitivity of Si detectors irradiated to 1×10{sup 16} p/cm{sup 2} is independent on resistivity.

  7. Radiation Effects in Advanced Multiple Gate and Silicon-on-Insulator Transistors

    Science.gov (United States)

    Simoen, Eddy; Gaillardin, Marc; Paillet, Philippe; Reed, Robert A.; Schrimpf, Ron D.; Alles, Michael L.; El-Mamouni, Farah; Fleetwood, Daniel M.; Griffoni, Alessio; Claeys, Cor

    2013-06-01

    The aim of this review paper is to describe in a comprehensive manner the current understanding of the radiation response of state-of-the-art Silicon-on-Insulator (SOI) and FinFET CMOS technologies. Total Ionizing Dose (TID) response, heavy-ion microdose effects and single-event effects (SEEs) will be discussed. It is shown that a very high TID tolerance can be achieved by narrow-fin SOI FinFET architectures, while bulk FinFETs may exhibit similar TID response to the planar devices. Due to the vertical nature of FinFETs, a specific heavy-ion response can be obtained, whereby the angle of incidence becomes highly important with respect to the vertical sidewall gates. With respect to SEE, the buried oxide in the SOI FinFETs suppresses the diffusion tails from the charge collection in the substrate compared to the planar bulk FinFET devices. Channel lengths and fin widths are now comparable to, or smaller than the dimensions of the region affected by the single ionizing ions or lasers used in testing. This gives rise to a high degree of sensitivity to individual device parameters and source-drain shunting during ion-beam or laser-beam SEE testing. Simulations are used to illuminate the mechanisms observed in radiation testing and the progress and needs for the numerical modeling/simulation of the radiation response of advanced SOI and FinFET transistors are highlighted.

  8. The investigation of influence of accelerated electrons on SiO2 used in silicon solar cells

    International Nuclear Information System (INIS)

    Abdullaev, G.B.; Bakirov, M.Ya; Akhmedov, G.M.; Safarov, N.A.; Safarova, F.D.

    1994-01-01

    The process of radiation defects production in enlightened SiO 2 layers coated on silicon solar cells was studied. During irradiation the silicon solar cells with enlightened layers radiation defects are formed both in silicon and SiO 2 thus making worse photo energetic parameters of cells. For investigation of radiation effects formed under irradiation by electrons with 5 MeV energy and cobalt-60 gamma-rays photoluminescence, absorption spectra and electron spin resonance methods were used. It is supposed that main radiation defects in silicon dioxide are E'-centers and oxygen vacancies. (A.D. Avezov). 10 refs.; 2 figs

  9. Energetic model of metal hardening

    Directory of Open Access Journals (Sweden)

    Ignatova O.N.

    2011-01-01

    Full Text Available Based on Bailey hypothesis on the link between strain hardening and elastic lattice defect energy this paper suggests a shear strength energetic model that takes into consideration plastic strain intensity and rate as well as softening related to temperature annealing and dislocation annihilation. Metal strain hardening was demonstrated to be determined only by elastic strain energy related to the energy of accumulated defects. It is anticipated that accumulation of the elastic energy of defects is governed by plastic work. The suggested model has a reasonable agreement with the available experimental data for copper up to P = 70 GPa , for aluminum up to P = 10 GPa and for tantalum up to P = 20 GPa.

  10. Editorial

    Science.gov (United States)

    Bruzzi, Mara; Cartiglia, Nicolo; Pace, Emanuele; Talamonti, Cinzia

    2015-10-01

    The 10th edition of the International Conference on Radiation Effects on Semiconductor Materials, Detectors and Devices (RESMDD) was held in Florence, at Dipartimento di Fisica ed Astronomia on October 8-10, 2014. It has been aimed at discussing frontier research activities in several application fields as nuclear and particle physics, astrophysics, medical and solid-state physics. Main topics discussed in this conference concern performance of heavily irradiated silicon detectors, developments required for the luminosity upgrade of the Large Hadron Collider (HL-LHC), ultra-fast silicon detectors design and manufacturing, high-band gap semiconductor detectors, novel semiconductor-based devices for medical applications, radiation damage issues in semiconductors and related radiation-hardening technologies.

  11. Periodically poled silicon

    Science.gov (United States)

    Hon, Nick K.; Tsia, Kevin K.; Solli, Daniel R.; Khurgin, Jacob B.; Jalali, Bahram

    2010-02-01

    Bulk centrosymmetric silicon lacks second-order optical nonlinearity χ(2) - a foundational component of nonlinear optics. Here, we propose a new class of photonic device which enables χ(2) as well as quasi-phase matching based on periodic stress fields in silicon - periodically-poled silicon (PePSi). This concept adds the periodic poling capability to silicon photonics, and allows the excellent crystal quality and advanced manufacturing capabilities of silicon to be harnessed for devices based on χ(2)) effects. The concept can also be simply achieved by having periodic arrangement of stressed thin films along a silicon waveguide. As an example of the utility, we present simulations showing that mid-wave infrared radiation can be efficiently generated through difference frequency generation from near-infrared with a conversion efficiency of 50% based on χ(2) values measurements for strained silicon reported in the literature [Jacobson et al. Nature 441, 199 (2006)]. The use of PePSi for frequency conversion can also be extended to terahertz generation. With integrated piezoelectric material, dynamically control of χ(2)nonlinearity in PePSi waveguide may also be achieved. The successful realization of PePSi based devices depends on the strength of the stress induced χ(2) in silicon. Presently, there exists a significant discrepancy in the literature between the theoretical and experimentally measured values. We present a simple theoretical model that produces result consistent with prior theoretical works and use this model to identify possible reasons for this discrepancy.

  12. Influence of γ- radiation on the recombination properties of P-type nickel doped silicon

    International Nuclear Information System (INIS)

    Kurbanov, A.O.; Karimov, M.

    2006-01-01

    Full text: It is well known that the life-time of the charge carriers is most sensitive parameter of the semiconductors. The results of numerous investigations show that by irradiation of the multi-crystal silicon with high-energy particles (electrons, protons, γ-quanta) the life-time of the minor charge carriers appreciably decreases. Ones think that the reason of such effect is the generation of the recombination radiation defects by irradiation. In this connection in this work the investigation of the nickel doped silicon with various post-diffusion cooling is performed. As an initial material the p - Si with ∼ 10 Ohm·cm specific resistance was used. The dislocation density is taken to be ∼10 4 cm -2 . Doping of silicon by nickel carried out in the temperature range of 1050-1150 degree C with succeeding I and II type cooling. The life-time of the charge carriers was determined using the stationary photoconductivity method. It is discovered that the life-time of the charge carriers in p-Si is longer than that in the control silicon as well as τ slightly increases by increasing of the nickel's atoms concentration (in these samples the acceptor centers concentration changes in the range of 1.5·10 14 - 3.5·10 14 cm -3 ). This effect is explained on a basis of investigations of the photoconductivity relaxation kinetics (at 70 K) by the capture of the charge carriers to the sticking level. It is revealed that the relative life-time changing is appreciably various one from other in I and II type samples. In the rapid cooled samples τ more stable than slow cooled samples. In the rapid cooled samples more stable than slow cooled samples up to doze ∼2.5·10 8 R. (author)

  13. Damage effects and mechanisms of proton irradiation on methyl silicone rubber

    International Nuclear Information System (INIS)

    Zhang, L.X.; He, Sh.Y.; Xu, Zh.; Wei, Q.

    2004-01-01

    A study was performed on the damage effects and mechanisms of proton irradiation with 150 keV energy to space-grade methyl silicone rubber. The changes in surface morphology, mechanical properties, infrared attenuated total reflection (ATR) spectrum, mass spectrum and pyrolysis gas chromatography-mass spectrum (PYGC-MS) indicated that, under lower fluence, the proton radiation would induce cross-linking effect, resulting in an increase in tensile strengths and hardness of the methyl silicon rubber. However, under higher proton fluence, the radiation-induced degradation, which decreased the tensile strengths and hardness, became a dominant effect. A macromolecular-network destruction model for the silicone rubber radiated with the protons was proposed

  14. Nonlinear kinematic hardening under non-proportional loading

    International Nuclear Information System (INIS)

    Ottosen, N.S.

    1979-07-01

    Within the framework of conventional plasticity theory, it is first determined under which conditions Melan-Prager's and Ziegler's kinematic hardening rules result in identical material behaviour. Next, assuming initial isotropy and adopting the von Mises yield criterion, a nonlinear kinematic hardening function is proposed for prediction of metal behaviour. The model assumes that hardening at a specific stress point depends on the direction of the new incremental loading. Hereby a realistic response is obtained for general reversed loading, and a smooth behaviour is assured, even when loading deviates more and more from proportional loading and ultimately results in reversed loading. The predictions of the proposed model for non-proportional loading under plane stress conditions are compared with those of the classical linear kinematic model, the isotropic model and with published experimental data. Finally, the limitations of the proposaed model are discussed. (author)

  15. Radiation effects on microelectronics in space

    International Nuclear Information System (INIS)

    Srour, J.R.; McGarrity, J.M.

    1988-01-01

    The basic mechanisms of space radiation effects on microelectronics are reviewed in this paper. Topics discussed include the effects of displacement damage and ionizing radiation on devices and circuits, single event phenomena, dose enhancement, radiation effects on optoelectronic devices and passive components, hardening approaches, and simulation of the space radiation environment. A summary is presented of damage mechanisms that can cause temporary or permanent failure of devices and circuits operating in space

  16. Hardening cookies in web-based systems for better system integrity

    International Nuclear Information System (INIS)

    Mohamad Safuan Sulaiman; Mohd Dzul Aiman Aslan; Saaidi Ismail; Abdul Aziz Mohd Ramli; Abdul Muin Abdul Rahman; Siti Nurbahyah Hamdan; Norlelawati Hashimuddin; Sufian Norazam Mohamed Aris

    2012-01-01

    IT Center (ITC) as technical support and provider for most of web-based systems in Nuclear Malaysia has conducted a study to investigate cookie vulnerability in a system for better integrity. A part of the result has found that cookies in a web-based system in Nuclear Malaysia can be easily manipulated. The main objective of the study is to harden the vulnerability of the cookies. Two levels of security procedures have been used and enforced which consist of 1) Penetration test (Pen Test) 2) Hardening procedure. In one of the system, study has found that 121 attempts threats have been detected after the hardening enforcement from 23 March till 20 September 2012. At this stage, it can be concluded that cookie vulnerability in the system has been hardened and integrity has been assured after the enforcement. This paper describes in detail the penetration and hardening process of cookie vulnerability for better supporting web-based system in Nuclear Malaysia. (author)

  17. The silicon vertex tracker for star and future applications of silicon drift detectors

    International Nuclear Information System (INIS)

    Bellwied, Rene

    2001-01-01

    The Silicon Vertex Tracker (SVT) for the STAR experiment at the Relativistic Heavy Ion Collider at Brookhaven National Laboratory has recently been completed and installed. First data were taken in July 2001. The SVT is based on a novel semi-conductor technology called Silicon Drift Detectors. 216 large area (6 by 6 cm) Silicon wafers were employed to build a three barrel device capable of vertexing and tracking in a high occupancy environment. Its intrinsic radiation hardness, its operation at room temperature and its excellent position resolution (better than 20 micron) in two dimensions with a one dimensional detector readout, make this technology very robust and inexpensive and thus a viable alternative to CCD, Silicon pixel and Silicon strip detectors in a variety of applications from fundamental research in high-energy and nuclear physics to astrophysics to medical imaging. I will describe the development that led to the STAR-SVT, its performance and possible applications for the near future

  18. Switching-on quantum size effects in silicon nanocrystals.

    Science.gov (United States)

    Sun, Wei; Qian, Chenxi; Wang, Liwei; Wei, Muan; Mastronardi, Melanie L; Casillas, Gilberto; Breu, Josef; Ozin, Geoffrey A

    2015-01-27

    The size-dependence of the absolute luminescence quantum yield of size-separated silicon nanocrystals reveals a "volcano" behavior, which switches on around 5 nm, peaks at near 3.7-3.9 nm, and decreases thereafter. These three regions respectively define: i) the transition from bulk to strongly quantum confined emissive silicon, ii) increasing confinement enhancing radiative recombination, and iii) increasing contributions favoring non-radiative recombination. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Stage IV work-hardening related to disorientations in dislocation structures

    DEFF Research Database (Denmark)

    Pantleon, W.

    2004-01-01

    The effect of deformation-induced disorientations on the work-hardening of metals is modelled based on dislocation dynamics. Essentially, Kocks’ dislocation model describing stage III hardening is extended to stage IV by incorporation of excess dislocations related to the disorientations....... Disorientations evolving from purely statistical reasons — leading to a square root dependence of the average disorientation angle on strain — affect the initial work-hardening rate (and the saturation stress) of stage III only slightly. On the other hand, deterministic contributions to the development...... of disorientations, as differences in the activated slip systems across boundaries, cause a linear increase of the flow stress at large strains. Such a constant work-hardening rate is characteristic for stage IV....

  20. Scintillation-Hardened GPS Receiver

    Science.gov (United States)

    Stephens, Donald R.

    2015-01-01

    CommLargo, Inc., has developed a scintillation-hardened Global Positioning System (GPS) receiver that improves reliability for low-orbit missions and complies with NASA's Space Telecommunications Radio System (STRS) architecture standards. A software-defined radio (SDR) implementation allows a single hardware element to function as either a conventional radio or as a GPS receiver, providing backup and redundancy for platforms such as the International Space Station (ISS) and high-value remote sensing platforms. The innovation's flexible SDR implementation reduces cost, weight, and power requirements. Scintillation hardening improves mission reliability and variability. In Phase I, CommLargo refactored an open-source GPS software package with Kalman filter-based tracking loops to improve performance during scintillation and also demonstrated improved navigation during a geomagnetic storm. In Phase II, the company generated a new field-programmable gate array (FPGA)-based GPS waveform to demonstrate on NASA's Space Communication and Navigation (SCaN) test bed.

  1. Development of polishing methods for Chemical Vapor Deposited Silicon Carbide mirrors for synchrotron radiation

    International Nuclear Information System (INIS)

    Fuchs, B.A.; Brown, N.J.

    1987-01-01

    Material properties of Chemical Vapor Deposited Silicon Carbide (CVD SiC) make it ideal for use in mirrors for synchrotron radiation experiments. We developed methods to grind and polish flat samples of CVD SiC down to measured surface roughness values as low as 1.1 Angstroms rms. We describe the processing details, including observations we made during trial runs with alternative processing recipes. We conclude that pitch polishing using progressively finer diamond abrasive, augmented with specific water based lubricants and additives, produces superior results. Using methods based on these results, a cylindrical and a toroidal mirror, each about 100 x 300mm, were respectively finished by Continental Optical and Frank Cooke, Incorporated. WYCO Interferometry shows these mirrors have surface roughness less than 5.7 Angstroms rms. These mirrors have been installed on the LLNL/UC X-ray Calibration and Standards Facility at the Stanford Synthrotron Radiation Laboratory

  2. 2D beam hardening correction for micro-CT of immersed hard tissue

    Science.gov (United States)

    Davis, Graham; Mills, David

    2016-10-01

    Beam hardening artefacts arise in tomography and microtomography with polychromatic sources. Typically, specimens appear to be less dense in the center of reconstructions because as the path length through the specimen increases, so the X-ray spectrum is shifted towards higher energies due to the preferential absorption of low energy photons. Various approaches have been taken to reduce or correct for these artefacts. Pre-filtering the X-ray beam with a thin metal sheet will reduce soft energy X-rays and thus narrow the spectrum. Correction curves can be applied to the projections prior to reconstruction which transform measured attenuation with polychromatic radiation to predicted attenuation with monochromatic radiation. These correction curves can be manually selected, iteratively derived from reconstructions (this generally works where density is assumed to be constant) or derived from a priori information about the X-ray spectrum and specimen composition. For hard tissue specimens, the latter approach works well if the composition is reasonably homogeneous. In the case of an immersed or embedded specimen (e.g., tooth or bone) the relative proportions of mineral and "organic" (including medium and plastic container) species varies considerably for different ray paths and simple beam hardening correction does not give accurate results. By performing an initial reconstruction, the total path length through the container can be determined. By modelling the X-ray properties of the specimen, a 2D correction transform can then be created such that the predicted monochromatic attenuation can be derived as a function of both the measured polychromatic attenuation and the container path length.

  3. 0.25μm radiation tolerant technology for space applications

    International Nuclear Information System (INIS)

    Haddad, N.; Brady, F.; Scott, T.; Yoder, J.

    1999-01-01

    Lockheed Martin federal systems has developed a state-of-the-art radiation tolerant 0,25 μm CMOS capability that is compatible with commercial foundries as well as radiation hardened fabrication. A technology test chip was designed, fabricated and evaluated for performance, power and radiation hardness in order to validate the methodology and evaluate the technology. Testing results show that -) the active transistor threshold shift is negligible for 0.25 μm CMOS, -) the hardened STI (shallow trench isolation) can support Mega-rad applications, and -) the holding voltage is well beyond the operating voltage of 2.5 V. This technology is intended to support high density, high performance and low power space applications

  4. Development of Bake Hardening Effect by Plastic Deformation and Annealing Conditions

    Directory of Open Access Journals (Sweden)

    Kvačkaj, T.

    2006-01-01

    Full Text Available The paper deals with the classification of steel sheets for automotives industry on the basis of strength and structural characteristics. Experimental works were aimed to obtain the best possible strengthening parameters as well as work hardening and solid solution ferrite hardening, which are the result of thermal activation of interstitial carbon atoms during paint-baking of auto body. Hardening process coming from interstitial atoms is realized as two-step process. The first step is BH (bake hardening effect achieved by interaction of interstitial atoms with dislocations. The Cottrels atmosphere is obtained. The second step of BH effect is to produced the hardening from precipitation of the carbon atoms in e-carbides, or formation of Fe32C4 carbides. WH (work hardening effect is obtained as dislocation hardening from plastic deformations during sheet deep drawing. Experimental works were aimed at as to achieve such plastic material properties after cold rolling, annealing and skin-pass rolling, which would be able to classify the material ZStE220BH into the drawing categories at the level of DQ – DDQ. As resulting from the experimental results, the optimal treatment conditions for the maximal sum (WH+BH = 86 MPa are as follows: total cold rolling deformation ecold = 65 %, annealing temperature Tanneal. = 700 °C.

  5. Application of submerged induction hardening; Ekichu koshuha yakiire no jitsuyoka

    Energy Technology Data Exchange (ETDEWEB)

    Nishimori, Y; Nagai, Y; Amii, Y [Mazda Motor Corp., Hiroshima (Japan); Tanaka, Y [Netsuren Co. Ltd., Tokyo (Japan); Mizuma, T [Toyo Advanced Technologies Co. Ltd., Hiroshima (Japan)

    1997-10-01

    As a cost-cutting measure, the linerless diesel engine was adopted by applying submerged induction hardening process which can harden partial inner surface of cylinder block bore. In applying this process, (1) development of induction coil which can form any shape of quenched pattern and (2) the development of machining technology which can hone precisely the distorted bore after quenching, were important. With these improvements, submerged Induction hardening was made practical. 1 ref., 11 figs.

  6. X-ray radiation damage studies and design of a silicon pixel sensor for science at the XFEL

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Jiaguo

    2013-06-15

    Experiments at the European X-ray Free Electron Laser (XFEL) require silicon pixel sensors which can withstand X-ray doses up to 1 GGy. For the investigation of Xray radiation damage up to these high doses, MOS capacitors and gate-controlled diodes built on high resistivity n-doped silicon with crystal orientations left angle 100 right angle and left angle 111 right angle produced by four vendors, CiS, Hamamatsu, Canberra and Sintef have been irradiated with 12 keV X-rays at the DESY DORIS III synchrotron-light source. Using capacitance/ conductance-voltage, current-voltage and thermal dielectric relaxation current measurements, the densities of oxide charges and interface traps at the Si-SiO{sub 2} interface, and the surface-current densities have been determined as function of dose. Results indicate that the dose dependence of the oxide-charge density, the interface-trap density and the surface-current density depend on the crystal orientation and producer. In addition, the influence of the voltage applied to the gates of the MOS capacitor and the gate-controlled diode during X-ray irradiation on the oxide-charge density, the interface-trap density and the surface-current density has been investigated at doses of 100 kGy and 100 MGy. It is found that both strongly depend on the gate voltage if the electric field in the oxide points from the surface of the SiO{sub 2} to the Si-SiO{sub 2} interface. To verify the long-term stability of irradiated silicon sensors, annealing studies have been performed at 60 C and 80 C on MOS capacitors and gate-controlled diodes irradiated to 5 MGy as well, and the annealing kinetics of oxide charges and surface current were determined. Moreover, the macroscopic electrical properties of segmented sensors have slao been investigated as function of dose. It is found that the defects introduced by X-rays increase the full depletion voltage, the surface leakage current and the inter-electrode capacitance of the segmented sensor. An

  7. X-ray radiation damage studies and design of a silicon pixel sensor for science at the XFEL

    International Nuclear Information System (INIS)

    Zhang, Jiaguo

    2013-06-01

    Experiments at the European X-ray Free Electron Laser (XFEL) require silicon pixel sensors which can withstand X-ray doses up to 1 GGy. For the investigation of Xray radiation damage up to these high doses, MOS capacitors and gate-controlled diodes built on high resistivity n-doped silicon with crystal orientations left angle 100 right angle and left angle 111 right angle produced by four vendors, CiS, Hamamatsu, Canberra and Sintef have been irradiated with 12 keV X-rays at the DESY DORIS III synchrotron-light source. Using capacitance/ conductance-voltage, current-voltage and thermal dielectric relaxation current measurements, the densities of oxide charges and interface traps at the Si-SiO 2 interface, and the surface-current densities have been determined as function of dose. Results indicate that the dose dependence of the oxide-charge density, the interface-trap density and the surface-current density depend on the crystal orientation and producer. In addition, the influence of the voltage applied to the gates of the MOS capacitor and the gate-controlled diode during X-ray irradiation on the oxide-charge density, the interface-trap density and the surface-current density has been investigated at doses of 100 kGy and 100 MGy. It is found that both strongly depend on the gate voltage if the electric field in the oxide points from the surface of the SiO 2 to the Si-SiO 2 interface. To verify the long-term stability of irradiated silicon sensors, annealing studies have been performed at 60 C and 80 C on MOS capacitors and gate-controlled diodes irradiated to 5 MGy as well, and the annealing kinetics of oxide charges and surface current were determined. Moreover, the macroscopic electrical properties of segmented sensors have slao been investigated as function of dose. It is found that the defects introduced by X-rays increase the full depletion voltage, the surface leakage current and the inter-electrode capacitance of the segmented sensor. An electron

  8. Plastic limit pressure of spherical vessels with combined hardening involving large deformation

    International Nuclear Information System (INIS)

    Leu, S.-Y.; Liao, K.-C.; Lin, Y.-C.

    2014-01-01

    The paper aims to investigate plastic limit pressure of spherical vessels of nonlinear combined isotropic/kinematic hardening materials. The Armstrong-Frederick kinematic hardening model is adopted and the Voce hardening law is incorporated for isotropic hardening behavior. Analytically, we extend sequential limit analysis to deal with combined isotropic/kinematic hardening materials. Further, exact solutions of plastic limit pressure were developed analytically by conducting both static and kinematic limit analysis. The onset of instability was also derived and solved iteratively by Newton's method. Numerically, elastic–plastic analysis is also performed by the commercial finite-element code ABAQUS incorporated with the user subroutine UMAT implemented with user materials of combined hardening. Finally, the problem formulation and the solution derivations presented here are validated by a very good agreement between the numerical results of exact solutions and the results of elastic–plastic finite-element analysis by ABAQUS. -- Highlights: • Sequential limit analysis is extended to consider combined hardening. • Exact solutions of plastic limit pressure are developed. • The onset of instability of a spherical vessel is derived and solved numerically

  9. Process design of press hardening with gradient material property influence

    International Nuclear Information System (INIS)

    Neugebauer, R.; Schieck, F.; Rautenstrauch, A.

    2011-01-01

    Press hardening is currently used in the production of automotive structures that require very high strength and controlled deformation during crash tests. Press hardening can achieve significant reductions of sheet thickness at constant strength and is therefore a promising technology for the production of lightweight and energy-efficient automobiles. The manganese-boron steel 22MnB5 have been implemented in sheet press hardening owing to their excellent hot formability, high hardenability, and good temperability even at low cooling rates. However, press-hardened components have shown poor ductility and cracking at relatively small strains. A possible solution to this problem is a selective increase of steel sheet ductility by press hardening process design in areas where the component is required to deform plastically during crash tests. To this end, process designers require information about microstructure and mechanical properties as a function of the wide spectrum of cooling rates and sequences and austenitizing treatment conditions that can be encountered in production environments. In the present work, a Continuous Cooling Transformation (CCT) diagram with corresponding material properties of sheet steel 22MnB5 was determined for a wide spectrum of cooling rates. Heating and cooling programs were conducted in a quenching dilatometer. Motivated by the importance of residual elasticity in crash test performance, this property was measured using a micro-bending test and the results were integrated into the CCT diagrams to complement the hardness testing results. This information is essential for the process design of press hardening of sheet components with gradient material properties.

  10. Advanced Avionics and Processor Systems for a Flexible Space Exploration Architecture

    Science.gov (United States)

    Keys, Andrew S.; Adams, James H.; Smith, Leigh M.; Johnson, Michael A.; Cressler, John D.

    2010-01-01

    The Advanced Avionics and Processor Systems (AAPS) project, formerly known as the Radiation Hardened Electronics for Space Environments (RHESE) project, endeavors to develop advanced avionic and processor technologies anticipated to be used by NASA s currently evolving space exploration architectures. The AAPS project is a part of the Exploration Technology Development Program, which funds an entire suite of technologies that are aimed at enabling NASA s ability to explore beyond low earth orbit. NASA s Marshall Space Flight Center (MSFC) manages the AAPS project. AAPS uses a broad-scoped approach to developing avionic and processor systems. Investment areas include advanced electronic designs and technologies capable of providing environmental hardness, reconfigurable computing techniques, software tools for radiation effects assessment, and radiation environment modeling tools. Near-term emphasis within the multiple AAPS tasks focuses on developing prototype components using semiconductor processes and materials (such as Silicon-Germanium (SiGe)) to enhance a device s tolerance to radiation events and low temperature environments. As the SiGe technology will culminate in a delivered prototype this fiscal year, the project emphasis shifts its focus to developing low-power, high efficiency total processor hardening techniques. In addition to processor development, the project endeavors to demonstrate techniques applicable to reconfigurable computing and partially reconfigurable Field Programmable Gate Arrays (FPGAs). This capability enables avionic architectures the ability to develop FPGA-based, radiation tolerant processor boards that can serve in multiple physical locations throughout the spacecraft and perform multiple functions during the course of the mission. The individual tasks that comprise AAPS are diverse, yet united in the common endeavor to develop electronics capable of operating within the harsh environment of space. Specifically, the AAPS tasks for

  11. Superconducting Super Collider silicon tracking subsystem research and development

    International Nuclear Information System (INIS)

    Miller, W.O.; Thompson, T.C.; Ziock, H.J.; Gamble, M.T.

    1990-12-01

    The Alamos National Laboratory Mechanical Engineering and Electronics Division has been investigating silicon-based elementary particle tracking device technology as part of the Superconducting Super Collider-sponsored silicon subsystem collaboration. Structural, materials, and thermal issues have been addressed. This paper explores detector structural integrity and stability, including detailed finite element models of the silicon wafer support and predictive methods used in designing with advanced composite materials. The current design comprises a magnesium metal matrix composite (MMC) truss space frame to provide a sparse support structure for the complex array of silicon detectors. This design satisfies the 25-μm structural stability requirement in a 10-Mrad radiation environment. This stability is achieved without exceeding the stringent particle interaction constraints set at 2.5% of a radiation length. Materials studies have considered thermal expansion, elastic modulus, resistance to radiation and chemicals, and manufacturability of numerous candidate materials. Based on optimization of these parameters, the MMC space frame will possess a coefficient of thermal expansion (CTE) near zero to avoid thermally induced distortions, whereas the cooling rings, which support the silicon detectors and heat pipe network, will probably be constructed of a graphite/epoxy composite whose CTE is engineered to match that of silicon. Results from radiation, chemical, and static loading tests are compared with analytical predictions and discussed. Electronic thermal loading and its efficient dissipation using heat pipe cooling technology are discussed. Calculations and preliminary designs for a sprayed-on graphite wick structure are presented. A hydrocarbon such as butane appears to be a superior choice of heat pipe working fluid based on cooling, handling, and safety criteria

  12. Ion beam heating of thin silicon membranes

    International Nuclear Information System (INIS)

    Tissot, P.E.; Hart, R.R.

    1993-01-01

    For silicon membranes irradiated by an ion beam in a vacuum environment, such as the masks used for ion beam lithography and the membranes used for thin film self-annealing, the heat transfer modes are radiation and limited conduction through the thin membrane. The radiation component depends on the total hemispherical emissivity which varies with the thickness and temperature of the membrane. A semiempirical correlation for the absorption coefficient of high resistivity silicon was derived and the variation of the total emissivity with temperature was computed for membranes with thicknesses between 0.1 and 10 μm. Based on this result, the temperatures reached during exposure to ion beams of varying intensities were computed. A proper modeling of the emissivity is shown to be important for beam heating of thin silicon membranes. (orig.)

  13. Kinematic Hardening: Characterization, Modeling and Impact on Springback Prediction

    International Nuclear Information System (INIS)

    Alves, J. L.; Bouvier, S.; Jomaa, M.; Billardon, R.; Oliveira, M. C.; Menezes, L. F.

    2007-01-01

    The constitutive modeling of the materials' mechanical behavior, usually carried out using a phenomenological constitutive model, i.e., a yield criterion associated to the isotropic and kinematic hardening laws, is of paramount importance in the FEM simulation of the sheet metal forming processes, as well as in the springback prediction. Among others, the kinematic behavior of the yield surface plays an essential role, since it is indispensable to describe the Bauschinger effect, i.e., the materials' answer to the multiple tension-compression cycles to which material points are submitted during the forming process. Several laws are usually used to model and describe the kinematic hardening, namely: a) the Prager's law, which describes a linear evolution of the kinematic hardening with the plastic strain rate tensor b) the Frederick-Armstrong non-linear kinematic hardening, basically a non-linear law with saturation; and c) a more advanced physically-based law, similar to the previous one but sensitive to the strain path changes. In the present paper a mixed kinematic hardening law (linear + non-linear behavior) is proposed and its implementation into a static fully-implicit FE code is described. The material parameters identification for sheet metals using different strategies, and the classical Bauschinger loading tests (i.e. in-plane forward and reverse monotonic loading), are addressed, and their impact on springback prediction evaluated. Some numerical results concerning the springback prediction of the Numisheet'05 Benchmark no. 3 are briefly presented to emphasize the importance of a correct modeling and identification of the kinematic hardening behavior

  14. A mixed analog-digital radiation hard technology for high energy physics electronics DMILL (Durci Mixte sur Isolant Logico-Linéaire)

    CERN Document Server

    Beuville, E; Borgeaud, P; Fourches, N T; Rouger, M; Blanc, J P; Bruel, M; Delevoye-Orsier, E; Gautier, J; Du Port de Pontcharra, J; Truche, R; Dupont-Nivet, E; Flament, O; Leray, J L; Martin, J L; Montaron, J; Borel, G; Brice, J M; Chatagnon, P; Terrier, C; Aubert, Jean-Jacques; Delpierre, P A; Habrard, M C; Potheau, R; CERN. Geneva. Detector Research and Development Committee

    1992-01-01

    The high radiation level expected in the inner regions of the high luminosity LHC detectors (gamma and neutron) will require radiation hardened electronics. A consortium between the CEA (Commissariat a l'Energie Atomique) and Thomson TMS (Thomson Composants Militaires et Spatiaux) has been created to push for the development and the industrialization of a nascent technology which looks particularly adapted to the needs of HEP electronics. This technology, currently under development at the LETI(CEA), uses a SIMOX substrate with an epitaxial silicon film. It includes CMOS, JFETs and vertical bipolar transistors with a potential multi-megarad hardness. The CMOS and bipolar transistors constitute a rad-hard BiCMOS which will be useful to design analog and digital high-speed architectures. JFETs, which have intrinsically high hardness behaviour and low noise performances even at low temperature will enable very rad-hard, low noise front end electronics to be designed. Present results, together with the improvemen...

  15. The effects of intense gamma-irradiation on the alpha-particle response of silicon carbide semiconductor radiation detectors

    International Nuclear Information System (INIS)

    Ruddy, Frank H.; Seidel, John G.

    2007-01-01

    Silicon Carbide (SiC) semiconductor radiation detectors are being developed for alpha-particle, X-ray and Gamma-ray, and fast-neutron energy spectrometry. SiC detectors have been operated at temperatures up to 306 deg. C and have also been found to be highly resistant to the radiation effects of fast-neutron and charged-particle bombardments. In the present work, the alpha-particle response of a SiC detector based on a Schottky diode design has been carefully monitored as a function of 137 Cs gamma-ray exposure. The changes in response have been found to be negligible for gamma exposures up to and including 5.4 MGy, and irradiations to higher doses are in progress

  16. Radiation damage in nanostructured metallic films

    Science.gov (United States)

    Yu, Kaiyuan

    High energy neutron and charged particle radiation cause microstructural and mechanical degradation in structural metals and alloys, such as phase segregation, void swelling, embrittlement and creep. Radiation induced damages typically limit nuclear materials to a lifetime of about 40 years. Next generation nuclear reactors require materials that can sustain over 60 - 80 years. Therefore it is of great significance to explore new materials with better radiation resistance, to design metals with favorable microstructures and to investigate their response to radiation. The goals of this thesis are to study the radiation responses of several nanostructured metallic thin film systems, including Ag/Ni multilayers, nanotwinned Ag and nanocrystalline Fe. Such systems obtain high volume fraction of boundaries, which are considered sinks to radiation induced defects. From the viewpoint of nanomechanics, it is of interest to investigate the plastic deformation mechanisms of nanostructured films, which typically show strong size dependence. By controlling the feature size (layer thickness, twin spacing and grain size), it is applicable to picture a deformation mechanism map which also provides prerequisite information for subsequent radiation hardening study. And from the viewpoint of radiation effects, it is of interest to explore the fundamentals of radiation response, to examine the microstructural and mechanical variations of irradiated nanometals and to enrich the design database. More importantly, with the assistance of in situ techniques, it is appealing to examine the defect generation, evolution, annihilation, absorption and interaction with internal interfaces (layer interfaces, twin boundaries and grain boundaries). Moreover, well-designed nanostructures can also verify the speculation that radiation induced defect density and hardening show clear size dependence. The focus of this thesis lies in the radiation response of Ag/Ni multilayers and nanotwinned Ag

  17. Computer modelling of age hardening for cast aluminium alloys

    International Nuclear Information System (INIS)

    Wu, Linda; Ferguson, W George

    2009-01-01

    Age hardening, or precipitation hardening, is one of the most widely adopted techniques for strengthening of aluminium alloys. Although various age hardening models have been developed for aluminium alloys, from the large volume of literature reviewed, it appears that the bulk of the research has been concentrated on wrought aluminium alloys, only a few of the established precipitation models have been applied to the casting aluminium alloys. In the present work, there are two modelling methods that have been developed and applied to the casting aluminium alloys A356 and A357. One is based on the Shercliff-Ashby methodology to produce a process model, by which we mean a mathematical relationship between process variables (alloy composition, ageing temperature and time) and material properties (yield strength or hardness) through microstructure evolution (precipitate radius, volume fraction). The other method is based on the Kampmann and Wagner Numerical (KWN) model which deals with concomitant nucleation, growth and coarsening and is thus capable of predicting the full evolution of the particle size distribution and then a strength model is used to evaluate the resulting change in hardness or yield strength at room temperature by taking into account contributions from lattice resistance, solid solution hardening and precipitation hardening.

  18. A project of X-ray hardening correction in large ICT

    International Nuclear Information System (INIS)

    Fang Min; Liu Yinong; Ni Jianping

    2005-01-01

    This paper presents a means of polychromatic X-ray beam hardening correction using a standard function to transform the polychromatic projection to monochromatic projection in large Industrial Computed Tomography (ICT). Some parameters were defined to verify the validity of hardening correction in large ICT and optimized. Simulated experiments were used to prove that without prior knowledge of the composition of the scanned object, the correction method using monochromatic reconstruction arithmetic could remove beam hardening artifact greatly. (authors)

  19. [Microstructural changes in hardened beans (Phaseolus vulgaris)].

    Science.gov (United States)

    Mujica, Maria Virginia; Granito, Marisela; Soto, Naudy

    2015-06-01

    (Phaseolus vulgaris). The hardening of Phaseolus vulgaris beans stored at high temperature and high relative humidity is one of the main constraints for consumption. The objective of this research was to evaluate by scanning electron microscopy, structural changes in cotyledons and testa of the hardened beans. The freshly harvested grains were stored for twelve months under two conditions: 5 ° C-34% RH and 37 ° C-75% RH, in order to promote hardening. The stored raw and cooked grains were lyophilized and fractured. The sections of testa and cotyledons were observed in an electron microscope JSM-6390. After twelve months, grains stored at 37 ° C-75% RH increased their hardness by 503%, whereas there were no significant changes in grains stored at 5 ° C-34% RH. At the microstructural level, the cotyledons of the raw grains show clear differences in appearance of the cell wall, into the intercellular space size and texture matrix protein. There were also differences in compaction of palisade and sub-epidermal layer in the testa of raw grains. After cooking, cotyledon cells of the soft grains were well separated while these ofhard grains were seldom separated. In conclusion, the found differences in hard and soft grains showed a significant participation of both structures, cotyledons and testa, in the grains hardening.

  20. Silicon nanowire hot carrier electroluminescence

    Energy Technology Data Exchange (ETDEWEB)

    Plessis, M. du, E-mail: monuko@up.ac.za; Joubert, T.-H.

    2016-08-31

    Avalanche electroluminescence from silicon pn junctions has been known for many years. However, the internal quantum efficiencies of these devices are quite low due to the indirect band gap nature of the semiconductor material. In this study we have used reach-through biasing and SOI (silicon-on-insulator) thin film structures to improve the internal power efficiency and the external light extraction efficiency. Both continuous silicon thin film pn junctions and parallel nanowire pn junctions were manufactured using a custom SOI technology. The pn junctions are operated in the reach-through mode of operation, thus increasing the average electric field within the fully depleted region. Experimental results of the emission spectrum indicate that the most dominant photon generating mechanism is due to intraband hot carrier relaxation processes. It was found that the SOI nanowire light source external power efficiency is at least an order of magnitude better than the comparable bulk CMOS (Complementary Metal Oxide Semiconductor) light source. - Highlights: • We investigate effect of electric field on silicon avalanche electroluminescence. • With reach-through pn junctions the current and carrier densities are kept constant. • Higher electric fields increase short wavelength radiation. • Higher electric fields decrease long wavelength radiation. • The effect of the electric field indicates intraband transitions as main mechanism.