WorldWideScience

Sample records for production process electronic

  1. Production processes of multiply charged ions by electron impact

    International Nuclear Information System (INIS)

    Oda, Nobuo

    1980-02-01

    First, are compared the foil or gas stripper and the ion sources utilizing electron-atom ionizing collisions, which are practically used or are under development to produce multiply charged ions. A review is made of the fundamental physical parameters such as successive ionization potentials and various ionization cross sections by electron impact, as well as the primary processes in multiply charged ion production. Multiply charged ion production processes are described for the different existing ion sources such as high temperature plasma type, ion-trapping type and discharge type. (author)

  2. Electron-attachment processes

    International Nuclear Information System (INIS)

    Christophorou, L.G.; McCorkle, D.L.; Christodoulides, A.A.

    1982-01-01

    Topics covered include: (1) modes of production of negative ions, (2) techniques for the study of electron attachment processes, (3) dissociative electron attachment to ground-state molecules, (4) dissociative electron attachment to hot molecules (effects of temperature on dissociative electron attachment), (5) molecular parent negative ions, and (6) negative ions formed by ion-pair processes and by collisions of molecules with ground state and Rydberg atoms

  3. Scalar electron production in e+e- annihilation

    International Nuclear Information System (INIS)

    Kuroda, M.; Kobayashi, T.; Yamada, S.; Ishikawa, K.

    1983-05-01

    The single scalar electron production process e + e - -> esup(+-) + Photino + scalar electron (scalar electron -> esup(-+) + Photino), with the detection of e + as well as e - , provides a clean method to detect scalar electrons when their masses are not lighter than the beam energy. We made a complete calculation of the process and evaluated the production cross sections. (orig.)

  4. High-Throughput Printing Process for Flexible Electronics

    Science.gov (United States)

    Hyun, Woo Jin

    Printed electronics is an emerging field for manufacturing electronic devices with low cost and minimal material waste for a variety of applications including displays, distributed sensing, smart packaging, and energy management. Moreover, its compatibility with roll-to-roll production formats and flexible substrates is desirable for continuous, high-throughput production of flexible electronics. Despite the promise, however, the roll-to-roll production of printed electronics is quite challenging due to web movement hindering accurate ink registration and high-fidelity printing. In this talk, I will present a promising strategy for roll-to-roll production using a novel printing process that we term SCALE (Self-aligned Capillarity-Assisted Lithography for Electronics). By utilizing capillarity of liquid inks on nano/micro-structured substrates, the SCALE process facilitates high-resolution and self-aligned patterning of electrically functional inks with greatly improved printing tolerance. I will show the fabrication of key building blocks (e.g. transistor, resistor, capacitor) for electronic circuits using the SCALE process on plastics.

  5. Writing argumentative texts: The effects of electronic outlining on students’ writing product and process

    NARCIS (Netherlands)

    De Smet, Milou; Brand-Gruwel, Saskia; Leijten, Mariëlle; Kirschner, Paul A.

    2012-01-01

    De Smet, M. J. R., Brand-Gruwel, S., Leijten, M., & Kirschner, P. A. (2012, November). Writing argumentative texts: The effects of electronic outlining on students’ writing product and process. Paper presentation at ICO Fall School 2012, Girona, Spain.

  6. Writing argumentative texts: The effects of electronic outlining on students’ writing product and process

    NARCIS (Netherlands)

    De Smet, Milou; Brand-Gruwel, Saskia; Leijten, Mariëlle; Kirschner, Paul A.

    2012-01-01

    De Smet, M. J. R., Brand-Gruwel, S., Leijten, M., & Kirschner, P. A. (2012, July). Writing argumentative texts: The effects of electronic outlining on students’ writing product and process. Paper presented at the meeting of EARLI SIG Writing, Porto, Portugal.

  7. 78 FR 27303 - Irradiation in the Production, Processing, and Handling of Animal Feed and Pet Food; Electron...

    Science.gov (United States)

    2013-05-10

    ...-0178] Irradiation in the Production, Processing, and Handling of Animal Feed and Pet Food; Electron... electron beam and x-ray sources for irradiation of poultry feed and poultry feed ingredients. This action... CFR part 579) to provide for the safe use of electron beam and x-ray sources for irradiation of...

  8. Prospects for utilization of Electron Beam Accelerators (EBAs) for processing of food products

    International Nuclear Information System (INIS)

    Sarma, K.S.

    2014-01-01

    Radiation processing using gamma radiation and high energy electron beams has been in practice for more than three decades in the industry. Since gamma radiation has the ability of higher penetration in the material, large scale irradiators (mainly based on mega curies of 60 Co radioactive source) are successfully employed for treating bulk products in sterilization and food preservation applications. Electron beam, due to its low penetration, has been exploited exclusively for applications involving polymer modifications to irradiate thin finished end products like electrical cable insulations, heat shrinkable sheets, tubes, automobile tyres etc using high power EBAs (energies 0.5 MeV-4 MeV and powers around ∼100 kW). Out of around 2500 industrial EB units currently employed worldwide (with total installed power above 150 MWL 90% are in the low to medium energy range (0.5 MeV to 4 MeV) being used for polymer modifications. However, recent technological advances in the manufacturing sector of industrial high energy EBAs and product handling systems resulted in widening utilization of EB technology for applications involving bulk product irradiation

  9. A Novel Method for the Discrimination of Semen Arecae and Its Processed Products by Using Computer Vision, Electronic Nose, and Electronic Tongue

    Directory of Open Access Journals (Sweden)

    Min Xu

    2015-01-01

    Full Text Available Areca nut, commonly known locally as Semen Arecae (SA in China, has been used as an important Chinese herbal medicine for thousands of years. The raw SA (RAW is commonly processed by stir-baking to yellow (SBY, stir-baking to dark brown (SBD, and stir-baking to carbon dark (SBC for different clinical uses. In our present investigation, intelligent sensory technologies consisting of computer vision (CV, electronic nose (E-nose, and electronic tongue (E-tongue were employed in order to develop a novel and accurate method for discrimination of SA and its processed products. Firstly, the color parameters and electronic sensory responses of E-nose and E-tongue of the samples were determined, respectively. Then, indicative components including 5-hydroxymethyl furfural (5-HMF and arecoline (ARE were determined by HPLC. Finally, principal component analysis (PCA and discriminant factor analysis (DFA were performed. The results demonstrated that these three instruments can effectively discriminate SA and its processed products. 5-HMF and ARE can reflect the stir-baking degree of SA. Interestingly, the two components showed close correlations to the color parameters and sensory responses of E-nose and E-tongue. In conclusion, this novel method based on CV, E-nose, and E-tongue can be successfully used to discriminate SA and its processed products.

  10. Electron treatment of wood pulp for the viscose process

    Science.gov (United States)

    Stepanik, T. M.; Ewing, D. E.; Whitehouse, R.

    2000-03-01

    Electron processing is currently being evaluated by several viscose producers for integration into their process. The viscose industry converts dissolving wood pulp into products such as staple fibre, filament, cord, film, packaging, and non-edible sausage casings. These materials are used in the clothing, drapery, hygiene, automobile, food, and packaging industries. Viscose producers are facing increasingly high production costs and stringent environmental regulations that have forced some plants to close. Electron treatment of wood pulp can significantly reduce the amounts of chemicals used for producing viscose and the production of hazardous pollutants. Acsion Industries has worked with companies worldwide to demonstrate the benefits of using electron treated pulp for producing viscose (rayon). This paper describes the viscose process, the benefits of using electron treatment in the viscose process, and Acsion's efforts in developing this technology.

  11. 78 FR 18234 - Service of Process on Manufacturers; Manufacturers Importing Electronic Products Into the United...

    Science.gov (United States)

    2013-03-26

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES Food and Drug Administration 21 CFR Part 1005 [Docket No. FDA-2007-N-0091; (formerly 2007N-0104)] Service of Process on Manufacturers; Manufacturers Importing Electronic Products Into the United States; Agent Designation; Change of Address AGENCY: Food and Drug...

  12. Electron beam processing - status and prospects

    International Nuclear Information System (INIS)

    Cleland, M.R.

    1989-01-01

    A variety of commercial products now on the market are being produced by electron beam processing, which involves the treatment of materials with high-energy electrons to obtain beneficial effects. Ongoing applications include the high-speed curing of printing inks, clear and pigmented coatings, release coatings and adhesive films, the crosslinking of plastic film, foam, tubing, pipe, molded parts, electrical wire and cable, the cold vulcanization of rubber sheets for automobile tires and factory roofing as well as the sterilization of medical devices and packaging materials, and the preservation of food. Continuing growth is being driven by some inherent advantages of electron beam processing over alternative chemical and thermal treatment processes, such as enhanced product quality and lower unit costs that result from higher production rates, dynamic process control, quicker process start-up and shutdown, and reductions in scrap loss, energy consumption, floor space requirements, and toxic residues. Other potential applications that have not yet reached commercial fruition are focused on environmental protection and the reclamation of waste materials. These include the disinfection of potable water supplies, municipal waste water, sewage sludge, and the infectious wastes from hospitals and airports, the modification of toxic chemicals, the degradation of cellulosic materials, the cracking of crude oil and residual tars from refineries, and the extraction of sulfur and nitrogen oxides from combustion gases to reduce the effects of acid rain

  13. Development of a method of absorbed dose on-line monitoring at product processing by scanned electron beam

    International Nuclear Information System (INIS)

    Pomatsalyuk, R.I.; Shevchenko, V.A.; Tenishev, A.Eh.; Titov, D.V.; Uvarov, V.L.

    2016-01-01

    The conditions of the contact-free absorbed dose monitoring at industrial product processing by electron beam are investigated. The method is based on analysing the collected charge in a stack monitor (SM) mounted down-stream of irradiated object. Using computer simulation on the basis of a modified transport code PENELOPE-2008, it is shown that by placing a filter of low-energy electrons before SM it is possible to obtain the one-to-one correlation dependence between the monitor charge and absorbed energy of radiation in the processed object. At a certain surface density of the filter, this dependence takes on the form similar to linear. The possibility to use an air gap between the object and SM as such a filter has been demonstrated. For the conditions of radiation plant with an electron accelerator LU-10 of NSC KIPT, the optimum distance of the SM location has been established. For the practical range of the electron energy, beam scan width and surface density of the irradiated product, the constants of ''product absorbed energy-to- SM charge '' linear dependence have been determined. The capability to establish the average absorbed dose in the object moving trough the irradiation zone on the SM current is shown. The calculation data are in satisfactory agreement with the results of measurements.

  14. Electronic outlining as a writing strategy: Effects on students' writing products, mental effort and writing process

    NARCIS (Netherlands)

    De Smet, Milou; Brand-Gruwel, Saskia; Leijten, Mariëlle; Kirschner, Paul A.

    2018-01-01

    This study addresses to what extent and how electronic outlining enhances students' writing performance. To this end, the focus of this study is not only on students' final writing products but also on the organisation of the writing process (i.e., planning, translating, and reviewing) and perceived

  15. Disinfection by-products/precursor control using an innovative treatment process -- high energy electron beam irradiation

    International Nuclear Information System (INIS)

    Sawal, K.; Millington, B.; Slifker, R.A.; Cooper, W.J.; Nickelsen, M.G.; Kurucz, C.N.; Waite, T.D.

    1993-01-01

    When waters containing naturally occurring humic substances, precursors, are chlorinated, reaction (disinfection) by-products (DBPs) that may compromise the chemical water quality of the drinking water are formed. Two options exist for the treatment of THMs and other DBPs, removal of precursor material prior to chlorination, or destruction of the by-products once they are formed. The authors have initiated a study using an innovative process, high energy electron beam irradiation, as an alternative treatment for the destruction of toxic organic compounds. Preliminary studies indicated that the process would also be effective in the removal of precursors. An added advantage of this process is that is would serve as a primary disinfectant, destroying any toxic compounds in the source water and may assist in the removal of algae and cyanobacteria toxins. This paper discusses studies in precursor removal and control of THMs

  16. Future opportunities in production of disposable optics and electronics

    Science.gov (United States)

    Korhonen, Raimo

    2001-05-01

    The several production methods of paper processing chain can be used, by analogy, to generate novel ideas for production of optics and electronics. Paper processing is a very fast reel-to-reel process: In the beginning of the paper web production the process is running at the speed of over thousand meters per minute and the web width can be 10 meters, and still at the later stages the speed is several hundreds of meters per minute with the web width of a couple of meters. There are several potential reel-to-reel production methods like embossing, printing, laminating and different kinds of vacuum coating, for example evaporation and sputtering. End products are complex multi-layer composite structures. The benefits from this analogy for optics and electronics would be ideas for ultra fast production, paper-like disposable and recyclable products and the integration of optics and electronics into ordinary things like books, wallpapers, tissue papers and packages. Two experiments are presented to demonstrate the possibilities. In the first experiment optical patterns are embossed directly on paper. In the second one conductive polymers are printed on paper and plastic webs. In future, a wide network of cooperation will be needed to realize all the opportunities.

  17. Accelerators in industrial electron beam processing

    International Nuclear Information System (INIS)

    Becker, R.C.

    1984-01-01

    High power electron beam accelerators are being used for a variety of industrial processes. Such machines can process a wide range of products at very high thruput rates and at very low unit processing costs. These industrial accelerators are now capable of producing up to 200 kW of electron beam power at 4.0 MV and 100 kW at 5.0 MV. At this writing, even larger units are contemplated. The reliability of these high power devices also makes it feasible to consider bremsstrahlung (x-ray) processing as well. In addition to the advance of accelerator technology, microprocessor control systems now provide the capability to coordinate all the operations of the irradiation facility, including the accelerator, the material handling system, the personnel safety system and various auxiliary services. Facility designs can be adapted to many different industrial processes, including use of the dual purpose electron/x-ray accelerator, to ensure satisfactory product treatment with good dose uniformity, high energy efficiency and operational safety and simplicity. In addition, equipment manufacturers like RDI are looking beyond their conventional DC accelerator technology; looking at high power 10-12 MeV linear accelerators with power levels up to 25 kW or more. These high power linear accelerators could be the ideal processing tool for many sterilization and food irradiation applications. (author)

  18. Electron beam processing of combustion flue gases

    International Nuclear Information System (INIS)

    1987-07-01

    This report contains the papers presented at the consultants' meeting on electron beam processing of combustion flue gases. The meeting provided an excellent opportunity for exchanging information and reviewing the current status of technology development. Characteristics of the electron beam processing recognized by the meeting are: capability of simultaneous removals of SO 2 and NO x , safe technology and simplicity of control, dry process without waste water to be treated, cost benefit of electron beam processing compared with conventional technology and the conversion of SO 2 and NO x to a by-product that can be used as agricultural fertilizer. A separate abstract was prepared for each of the 22 papers in this technical report

  19. Electron beam processing system

    International Nuclear Information System (INIS)

    Kashiwagi, Masayuki

    2004-01-01

    Electron beam Processing Systems (EPS) are used as useful and powerful tools in many industrial application fields such as the production of cross-linked wire, rubber tire, heat shrinkable film and tubing, curing, degradation of polymers, sterilization and environmental application. In this paper, the feature and application fields, the selection of machine ratings and safety measures of EPS will be described. (author)

  20. Electron-processing technology: A promising application for the viscose industry

    Science.gov (United States)

    Stepanik, T. M.; Rajagopal, S.; Ewing, D.; Whitehouse, R.

    1998-06-01

    In marketing its IMPELA ® line of high power, high-throughput industrial accelerators, Atomic Energy of Canada Limited (AECL) is working with viscose (rayon) companies world-wide to integrate electron-processing technology as part of the viscose manufacturing process. The viscose industry converts cellulose wood pulp into products such as staple fiber, filament, cord, film, packaging, and non-edible sausage casings. This multibillion dollar industry is currently suffering from high production costs, and is facing increasingly stringent environmental regulations. The use of electron-treated pulp can significantly lower production costs and can provide equally significant environmental benefits. This paper describes our current understanding of the benefits of using electron-treated pulp in this process, and AECL's efforts in developing this technology.

  1. Electron sterilization validation techniques using the controlled depth of sterilization process

    International Nuclear Information System (INIS)

    Cleghorn, D.A.; Nablo, S.V.

    1990-01-01

    Many pharmaceutical products, especially parenteral drugs, cannot be sterilized with gamma rays or high energy electrons due to the concomitant product degradation. In view of the well-controlled electron energy spectrum available in modern electron processors, it is practical to deliver sterilizing doses over depths considerably less than those defining the thickness of blister-pack constructions or pharmaceutical containers. Because bremsstrahlung and X-ray production are minimized at these low electron energies and in these low Z materials, very high electron: penetrating X-ray dose ratios are possible for the application of the technique. Thin film dosimetric techniques have been developed utilizing radiochromic film in the 10-60 g/m 2 range for determining the surface dose distribution in occluded surface areas where direct electron illumination is not possible. Procedures for validation of the process using dried spore inoculum on the product as well as in good geometry are employed to determine the process lethality and its dependence on product surface geometry. Applications of the process to labile pharmaceuticals in glass and polystyrene syringes are reviewed. It has been applied to the sterilization of commercial sterile products since 1987, and the advantages and the natural limitations of the technique are discussed. (author)

  2. End-of-life resource recovery from emerging electronic products

    DEFF Research Database (Denmark)

    Parajuly, Keshav; Habib, Komal; Cimpan, Ciprian

    2016-01-01

    Integrating product design with appropriate end-of-life (EoL) processing is widely recognized to have huge potentials in improving resource recovery from electronic products. In this study, we investigate both the product characteristics and EoL processing of robotic vacuum cleaner (RVC), as a case...... of emerging electronic product, in order to understand the recovery fate of different materials and its linkage to product design. Ten different brands of RVC were dismantled and their material composition and design profiles were studied. Another 125 RVCs (349 kg) were used for an experimental trial...... at a conventional ‘shred-and-separate’ type preprocessing plant in Denmark. A detailed material flow analysis was performed throughout the recycling chain. The results show a mismatch between product design and EoL processing, and the lack of practical implementation of ‘Design for EoL’ thinking. In the best...

  3. Hydrogen production using plasma processing

    International Nuclear Information System (INIS)

    Wagner, D.; Whidden, T.K.

    2006-01-01

    Plasma processing is a promising method of extracting hydrogen from natural gas while avoiding the greenhouse gas (GHG) production typical of other methods such as steam methane reforming. This presentation describes a plasma discharge process based that, in a single reactor pass, can yield hydrogen concentrations of up to 50 % by volume in the product gas mixture. The process is free of GHG's, does not require catalysts and is easily scalable. Chemical and morphological analyses of the gaseous and solid products of the process by gas-chromatography/mass-spectrometry, microscopic Raman analyses and electron microscopy respectively are reviewed. The direct production of hydrogen-enriched natural gas (HENG) as a fuel for low pollution internal combustion engines and its purification to high-purity hydrogen (99.99%) from the product gas by pressure swing adsorption (PSA) purifier beds are reviewed. The presentation reviews potential commercial applications for the technology

  4. Electronic tagging and integrated product intelligence

    Science.gov (United States)

    Swerdlow, Martin; Weeks, Brian

    1996-03-01

    The advent of 'intelligent,' electronic data bearing tags is set to revolutionize the way industrial and retail products are identified and tracked throughout their life cycles. The dominant system for unique identification today is the bar code, which is based on printed symbology and regulated by the International Article Numbering Association. Bar codes provide users with significant operational advantages and generate considerable added value to packaging companies, product manufacturers, distributors and retailers, across supply chains in many different sectors, from retailing, to baggage handling and industrial components, e.g., for vehicles or aircraft. Electronic tags offer the potential to: (1) record and store more complex data about the product or any modifications which occur during its life cycle; (2) access (and up-date) stored data in real time in a way which does not involve contact with the product or article; (3) overcome the limitations imposed by systems which rely on line-of-sight access to stored data. Companies are now beginning to consider how electronic data tags can be used, not only to improve the efficiency of their supply chain processes, but also to revolutionize the way they do business. This paper reviews the applications and business opportunities for electronic tags and outlines CEST's strategy for achieving an 'open' standard which will ensure that tags from different vendors can co-exist on an international basis.

  5. Practice for dosimetry in electron and bremsstrahlung irradiation facilities for food processing. 2. ed.

    International Nuclear Information System (INIS)

    2002-01-01

    This practice describes dosimetric procedures to be followed in facility characterization, process qualification, and routine processing for electron beam and bremsstrahlung irradiation facilities for food processing to ensure that product receives an acceptable range of absorbed doses. Other procedures related to facility characterization, process qualification, and routine product processing that may influence and be used to monitor absorbed dose in the product are also discussed. Information about effective or regulatory dose limits for food products is not within the scope of this practice (see ASTM Guides F 1355 and F 1356). The electron energy range covered in this practice is from 0.3 MeV to 10 MeV. Such electrons can be generated in continuous or pulse modes. The maximum electron energy of bremsstrahlung facilities covered in this practice is 10 MeV. A photon beam can be generated by inserting a bremsstrahlung converter in the electron beam path (See ISO/ASTM Practice 51608

  6. A search for single electron production in electron positron annihilation at E = 29 GeV

    International Nuclear Information System (INIS)

    Steele, T.R.

    1989-09-01

    This thesis presents experimental results from the ASP detector which took data on e + e - interactions in the PEP storage ring at SLAC. Its design was particularly suitable for searching for production of supersymmetric particles. The motivations for and phenomenology of Supersymmetry are discussed. In particular, the production of a single supersymmetric electron (''selectron'', e) in combination with a supersymmetric photon (''photino'', γ) would result in events in which a single electron and no other particles are observed in the detector at an e + e - collider such as PEP, provided the masses of these particles are not too large. Such events would also result from the production of a single supersymmetric W-boson (''wino'', W) in combination with a supersymmetric neutrino (''sneutrino'', ν). These processes make it possible to search for electrons and winos with masses greater than the beam energy. Observation of these unusual events would distinctly indicate the production of new particles. The ASP detector was designed to be hermetic and to provide efficient event reconstruction for low multiplicity events. The detector is described and its performance is evaluated; it is found to be well-suited to this study. The data sample collected with the detector was thoroughly analyzed for evidence of single-electron events. The various possible background processes are considered and Monte Carlo calculations of the distributions from single selectron and single wino production are presented. Using this information an efficient off-line event selection process was developed, and it is described in detail. 82 refs., 41 figs., 4 tabs

  7. Evaluation of electron beam stabilization for ion implant processing

    Science.gov (United States)

    Buffat, Stephen J.; Kickel, Bee; Philipps, B.; Adams, J.; Ross, Matthew F.; Minter, Jason P.; Marlowe, Trey; Wong, Selmer S.

    1999-06-01

    With the integration of high energy ion implant processes into volume CMOS manufacturing, the need for thick resist stabilization to achieve a stable ion implant process is critical. With new photoresist characteristics, new implant end station characteristics arise. The resist outgassing needs to be addressed as well as the implant profile to ensure that the dosage is correct and the implant angle does not interfere with other underlying features. This study compares conventional deep-UV/thermal with electron beam stabilization. The electron beam system used in this study utilizes a flood electron source and is a non-thermal process. These stabilization techniques are applied to a MeV ion implant process in a CMOS production process flow.

  8. Electron beam processing of wastewater in Malaysia

    International Nuclear Information System (INIS)

    Zulkafli Ghazali; Khairul Zaman Dahlan; Ting Teo Ming; Khomsaton A. Bakar

    2006-01-01

    Electron beam processing technology started in Malaysia in 1991 when two accelerators were installed through JICA cooperation to perform medical product sterilization project. Since then several private companies have installed electron accelerators to develop in removing volatile organic materials and to demonstrate flue gas treatment. In this country report, effort on electron beam processing of wastewater or contaminated groundwater is presented: After de-coloration tests using gamma rays as function of radiation doses, electron beam treatment of textile industry wastewater as function of beam energy and current intensity as well as with combined treatment such as aeration or biological treatment to examine the effectiveness in color and BOD or COD change has been carried out and the main results are reported. Furthermore, the present technique was examined to apply in river water treatment for use as drinking water. Techno-economic feasibility study for recycling of industrial waste water using electron beam technology is now underway. (S. Ohno)

  9. Electron processing of fibre-reinforced advanced composites

    International Nuclear Information System (INIS)

    Singh, A.; Saunders, C.B.; Barnard, J.W.; Lopata, V.J.; Kremers, W.; McDougall, T.E.; Chung, M.; Tateishi, Miyoko

    1996-01-01

    Advanced composites, such as carbon-fibre-reinforced epoxies, are used in the aircraft, aerospace, sporting goods, and transportation industries. Though thermal curing is the dominant industrial process for advanced composites, electron curing of similar composites containing acrylated epoxy matrices has been demonstrated by our work. The main attraction of electron processing technology over thermal technology is the advantages it offers which include ambient temperature curing, reduced curing times, reduced volatile emissions, better material handling, and reduced costs. Electron curing technology allows for the curing of many types of products, such as complex shaped, those containing different types of fibres and up to 15 cm thick. Our work has been done principally with the AECL's 10 MeV, 1 kW electron accelerator; we have also done some comparative work with an AECL Gammacell 220. In this paper we briefly review our work on the various aspects of electron curing of advanced composites and their properties. (Author)

  10. Electron processing of fibre-reinforced advanced composites

    Energy Technology Data Exchange (ETDEWEB)

    Singh, A.; Saunders, C.B.; Barnard, J.W.; Lopata, V.J.; Kremers, W.; McDougall, T.E.; Chung, M.; Tateishi, Miyoko [Atomic Energy of Canada Ltd., Pinawa, MB (Canada). Whiteshell Labs.

    1996-08-01

    Advanced composites, such as carbon-fibre-reinforced epoxies, are used in the aircraft, aerospace, sporting goods, and transportation industries. Though thermal curing is the dominant industrial process for advanced composites, electron curing of similar composites containing acrylated epoxy matrices has been demonstrated by our work. The main attraction of electron processing technology over thermal technology is the advantages it offers which include ambient temperature curing, reduced curing times, reduced volatile emissions, better material handling, and reduced costs. Electron curing technology allows for the curing of many types of products, such as complex shaped, those containing different types of fibres and up to 15 cm thick. Our work has been done principally with the AECL`s 10 MeV, 1 kW electron accelerator; we have also done some comparative work with an AECL Gammacell 220. In this paper we briefly review our work on the various aspects of electron curing of advanced composites and their properties. (Author).

  11. Effect of the temporal laser pulse asymmetry on pair production processes during intense laser-electron scattering

    Science.gov (United States)

    Hojbota, C. I.; Kim, Hyung Taek; Kim, Chul Min; Pathak, V. B.; Nam, Chang Hee

    2018-06-01

    We investigate the effects of laser pulse shape on strong-field quantum electrodynamics (QED) processes during the collision between a relativistic electron beam and an intense laser pulse. The interplay between high-energy photon emission and two pair production processes, i.e. nonlinear Breit–Wheeler (BW) and Trident, was investigated using particle-in-cell simulations. We found that the temporal evolution of these two processes could be controlled by using laser pulses with different degrees of asymmetry. The temporal envelope of the laser pulse can significantly affect the number of pairs coming from the Trident process, while the nonlinear BW process is less sensitive to it. This study shows that the two QED processes can be examined with state-of-the-art petawatt lasers and the discrimination of the two pair creation processes is feasible by adjusting the temporal asymmetry of the colliding laser pulse.

  12. Radioisotope production with electron accelerators

    International Nuclear Information System (INIS)

    Brinkman, G.A.

    1978-01-01

    The production of radio isotopes with electron accelerators proceeds mainly by secondary photons (bremsstrahlung), produced in an interaction between the electrons and the Coulomb field of the nuclei of a converter. The production yields depend on: the initial electron energy, the Z and thickness of the bremsstrahlung-converter, the Z, A and the thickness of the target, the geometric set up and the cross section for a particular reaction. In this article the production is only considered for thin bremsstrahlung converters in combination with an electron 'sweep' magnet. Simple formulae are given for the calculations of production yields under standard conditions with only sigmasub(q) (the cross section per equivalent quantum) and f (the fraction of the photons that hit the target) as variables and for the calculations of the dose rate at the production point. The units in which the yields are expressed in the literature (units of sigmasub(q) dose, electron beam intensity, monitor response) are discussed. (Auth.)

  13. Process variation in electron beam sterilization

    International Nuclear Information System (INIS)

    Beck, Jeffrey A.

    2012-01-01

    The qualification and control of electron beam sterilization can be improved by the application of proven statistical analysis techniques such as Analysis of Variance (ANOVA) and Statistical Tolerance Limits. These statistical techniques can be useful tools in: •Locating and quantifying the minimum and maximum absorbed dose in a product. •Estimating the expected process maximum dose, given a minimum sterilizing dose. •Setting a process minimum dose target, based on an allowance for random measurement and process variation. •Determining the dose relationship between a reference dosimeter and process minimum and maximum doses. This study investigates and demonstrates the application of these tools in qualifying electron beam sterilization, and compares the conclusions obtained with those obtained using practices recommended in Guide for Process Control in Radiation Sterilization. The study supports the following conclusions for electron beam processes: 1.ANOVA is a more effective tool for evaluating the equivalency of absorbed doses than methods suggested in . 2.Process limits computed using statistical tolerance limits more accurately reflect actual process variability than the AAMI method, which applies +/−2 sample standard deviations (s) regardless of sample size. 3.The use of reference dose ratios lends itself to qualification using statistical tolerance limits. The current AAMI recommended approach may result in an overly optimistic estimate of the reference dose adjustment factor, as it is based on application of +/−2(s) tolerances regardless of sample size.

  14. Carbon footprinting of electronic products

    International Nuclear Information System (INIS)

    Vasan, Arvind; Sood, Bhanu; Pecht, Michael

    2014-01-01

    Highlights: • Challenges in adopting existing CF standards for electronic products are discussed. • Carbon footprint of electronic products is underestimated using existing standards. • Multipronged approach is presented to overcome the identified challenges. • Multipronged approach demonstrated on commercial and military grade DC–DC converter system. - Abstract: In order to mitigate the effects of global warming, companies are being compelled by governments, investors, and customers to control their greenhouse gas (GHG) emissions. Similar to the European Union’s legislation on the airline industry, legislation is expected to require the electronics industry to assess their product’s carbon footprint before sale or use, as the electronics industry’s contribution to global GHG emissions is comparable to the airline industry’s contribution. Thus, it is necessary for members of the electronics industry to assess their current GHG emission rates and identify methods to reduce environmental impacts. Organizations use Carbon Footprint (CF) analysis methods to identify and quantify the GHG emissions associated with the life cycle stages of their product or services. This paper discusses the prevailing methods used by organizations to estimate the CF of their electronics products and identifies the challenges faced by the electronics industry when adopting these methods in an environment of decreasing product development cycles with complex and diffuse supply chains. We find that, as a result of the inconsistencies arising from the system boundary selection methods and databases, the use of outdated LCA approaches, and the lack of supplier’s emissions-related data, the CFs of electronic products are typically underestimated. To address these challenges, we present a comprehensive approach to the carbon footprinting of electronic products that involves the use of product-group-oriented standards, hybrid life cycle assessment techniques, and the

  15. Use of mathematical modelling in electron beam processing: A guidebook

    International Nuclear Information System (INIS)

    2010-01-01

    The use of electron beam irradiation for industrial applications, like the sterilization of medical devices or cross-linking of polymers, has a long and successful track record and has proven itself to be a key technology. Emerging fields, including environmental applications of ionizing radiation, the sterilization of complex medical and pharmaceutical products or advanced material treatment, require the design and control of even more complex irradiators and irradiation processes. Mathematical models can aid the design process, for example by calculating absorbed dose distributions in a product, long before any prototype is built. They support process qualification through impact assessment of process variable uncertainties, and can be an indispensable teaching tool for technologists in training in the use of radiation processing. The IAEA, through various mechanisms, including its technical cooperation programme, coordinated research projects, technical meetings, guidelines and training materials, is promoting the use of radiation technologies to minimize the effects of harmful contaminants and develop value added products originating from low cost natural and human made raw materials. The need to publish a guidebook on the use of mathematical modelling for design processes in the electron beam treatment of materials was identified through the increased interest of radiation processing laboratories in Member States and as a result of recommendations from several IAEA expert meetings. In response, the IAEA has prepared this report using the services of an expert in the field. This publication should serve as both a guidebook and introductory tutorial for the use of mathematical modelling (using mostly Monte Carlo methods) in electron beam processing. The emphasis of this guide is on industrial irradiation methodologies with a strong reference to existing literature and applicable standards. Its target audience is readers who have a basic understanding of electron

  16. Setting MEPS for electronic products

    International Nuclear Information System (INIS)

    Siderius, Hans-Paul

    2014-01-01

    When analysing price, performance and efficiency data for 15 consumer electronic and information and communication technology products, we found that in general price did not relate to the efficiency of the product. Prices of electronic products with comparable performance decreased over time. For products where the data allowed fitting the relationship, we found an exponential decrease in price with an average time constant of −0.30 [1/year], meaning that every year the product became 26% cheaper on average. The results imply that the classical approach of setting minimum efficiency performance standards (MEPS) by means of life cycle cost calculations cannot be applied to electronic products. Therefore, an alternative approach based on the improvement of efficiency over time and the variation in efficiency of products on the market, is presented. The concept of a policy action window can provide guidance for the decision on whether setting MEPS for a certain product is appropriate. If the (formal) procedure for setting MEPS takes longer than the policy action window, this means that the efficiency improvement will also be achieved without setting MEPS. We found short, i.e. less than three years, policy action windows for graphic cards, network attached storage products, network switches and televisions. - Highlights: • For electronic consumer products price does not relate to efficiency. • Average price decrease of selected electronic products is 26 % per year. • We give an alternative approach to life cycle cost calculations for setting MEPS. • The policy action window indicates whether setting MEPS is appropriate

  17. PROCESS DEVELOPMENT FOR THE RECOVERY OF CRITICAL MATERIALS FROM ELECTRONIC WASTE

    Energy Technology Data Exchange (ETDEWEB)

    Lister, T. E.; Diaz, L. A.; Clark, G. G.; Keller, P.

    2016-09-01

    As electronic technology continues to evolve there is a growing need to develop processes which recover valuable material from antiquated technology. This need follows from the environmental challenges associated with the availability of raw materials and fast growing generation of electronic waste. Although just present in small quantities in electronic devices, the availability of raw materials, such as rare earths and precious metals, becomes critical for the production of high tech electronic devices and the development of green technologies (i.e. wind turbines, electric motors, and solar panels). Therefore, the proper recycling and processing of increasing volumes of electronic waste present an opportunity to stabilize the market of critical materials, reducing the demand of mined products, and providing a proper disposal and treatment of a hazardous waste stream. This paper will describe development and techno-economic assessment of a comprehensive process for the recovery of value and critical materials from electronic waste. This hydrometallurgical scheme aims to selectively recover different value segments in the materials streams (base metals, precious metals, and rare earths). The economic feasibility for the recovery of rare earths from electronic waste is mostly driven by the efficient recovery of precious metals, such as Au and Pd (ca. 80 % of the total recoverable value). Rare earth elements contained in magnets (speakers, vibrators and hard disk storage) can be recovered as a mixture of rare earths oxides which can later be reduced to the production of new magnets.

  18. Adhesives technology for electronic applications materials, processing, reliability

    CERN Document Server

    Licari, James J

    2011-01-01

    Adhesives are widely used in the manufacture and assembly of electronic circuits and products. Generally, electronics design engineers and manufacturing engineers are not well versed in adhesives, while adhesion chemists have a limited knowledge of electronics. This book bridges these knowledge gaps and is useful to both groups. The book includes chapters covering types of adhesive, the chemistry on which they are based, and their properties, applications, processes, specifications, and reliability. Coverage of toxicity, environmental impacts and the regulatory framework make this book par

  19. Materials of the Regional Training Course on Validation and Process Control for Electron Beam Radiation Processing

    International Nuclear Information System (INIS)

    Kaluska, I.; Gluszewski, W.

    2007-01-01

    Irradiation with electron beams is used in the polymer industry, food, pharmaceutical and medical device industries for sterilization of surfaces. About 20 lectures presented during the Course were devoted to all aspects of control and validation of low energy electron beam processes. They should help the product manufacturers better understand the application of the ANSI/AAMI/ISO 11137 norm, which defines the requirements and standard practices for validation of the irradiation process and the process controls required during routine processing

  20. Electron cyclotron resonance discharge as a source for hydrogen and deuterium ions production

    Energy Technology Data Exchange (ETDEWEB)

    Chacon Velasco, A.J. [Universidad de Pamplona, Pamplona (Colombia); Dougar-Jabon, V.D. [Universidad Industrial de Santander, Bucaramanga (Colombia)

    2004-07-01

    In this report, we describe characteristics of a ring-structure hydrogen plasma heated in electron cyclotron resonance conditions and confined in a mirror magnetic trap and discuss the relative efficiency of secondary electrons and thermo-electrons in negative hydrogen and deuterium ion production. The obtained data and calculations of the balance equations for possible reactions demonstrate that the negative ion production is realized in two stages. First, the hydrogen and deuterium molecules are excited in collisions with the plasma electrons to high-laying Rydberg or vibrational levels in the plasma volume. The second stage leads to the negative ion production through the process of dissociative attachment of low energy electrons. The low energy electrons are originated due to a bombardment of the plasma electrode by ions of one of the driven rings and thermo-emission from heated tungsten filaments. Experiments seem to indicate that the negative ion generation occurs predominantly in the limited volume filled with thermo-electrons. Estimation of the negative ion generation rate shows that the main channel of H{sup -} and D{sup -} ion production involves the process of high Rydberg state excitation. (authors)

  1. Electron cyclotron resonance discharge as a source for hydrogen and deuterium ions production

    International Nuclear Information System (INIS)

    Chacon Velasco, A.J.; Dougar-Jabon, V.D.

    2004-01-01

    In this report, we describe characteristics of a ring-structure hydrogen plasma heated in electron cyclotron resonance conditions and confined in a mirror magnetic trap and discuss the relative efficiency of secondary electrons and thermo-electrons in negative hydrogen and deuterium ion production. The obtained data and calculations of the balance equations for possible reactions demonstrate that the negative ion production is realized in two stages. First, the hydrogen and deuterium molecules are excited in collisions with the plasma electrons to high-laying Rydberg or vibrational levels in the plasma volume. The second stage leads to the negative ion production through the process of dissociative attachment of low energy electrons. The low energy electrons are originated due to a bombardment of the plasma electrode by ions of one of the driven rings and thermo-emission from heated tungsten filaments. Experiments seem to indicate that the negative ion generation occurs predominantly in the limited volume filled with thermo-electrons. Estimation of the negative ion generation rate shows that the main channel of H - and D - ion production involves the process of high Rydberg state excitation. (authors)

  2. Electron irradiation of dry food products

    Energy Technology Data Exchange (ETDEWEB)

    Gruenewald, Th [Bundesbahn-Zentralamt, Minden (Germany, F.R.)

    1983-01-01

    The interest of the industrial food producer is increasing in having the irradiation facility installed in the food processing chain. The throughput of the irradiator should be high and the residence time of the product in the facility should be short. These conditions can be accomplished by electron irradiators. To clarify the irradiation conditions spices taken out of the industrial process, food grade salt, sugar, and gums as models of dry food products were irradiated. With a radiation dose of 10 kGy microbial load can be reduced on 10**4 microorganisms/g. The sensory properties of the spices were not changed in an atypical way. For food grade salt and sugar changes of colour were observed which are due to lattice defects or initiated browning. The irradiation of several gums led only in some cases to an improvement of the thickness properties in the application below 50 deg C, in most cases the thickness effect was reduced. The products were packaged before irradiation. But it would be possible also to irradiate the products without packaging moving the product through the irradiation field in a closed conveyor system.

  3. Electron irradiation of dry food products

    International Nuclear Information System (INIS)

    Gruenewald, Th.

    1983-01-01

    The interest of the industrial food producer is increasing in having the irradiation facility installed in the food processing chain. The throughput of the irradiator should be high and the residence time of the product in the facility should be short. These conditions can be accomplished by electron irradiators. To clarify the irradiation conditions spices taken out of the industrial process, food grade salt, sugar, and gums as models of dry food products were irradiated. With a radiation dose of 10 kGy microbial load can be reduced on 10**4 microorganisms/g. The sensory properties of the spices were not changed in an atypical way. For food grade salt and sugar changes of colour were observed which are due to lattice defects or initiated browning. The irradiation of several gums led only in some cases to an improvement of the thickness properties in the application below 50 deg C, in most cases the thickness effect was reduced. The products were packaged before irradiation. But it would be possible also to irradiate the products without packaging moving the product through the irradiation field in a closed conveyor system. (author)

  4. Product conveying system for 10 MeV electron beam accelerator for electron beam centre, Kharghar, Navi Mumbai

    International Nuclear Information System (INIS)

    Bandi, L.N.; Lavale, D.S.; Sarma, K.S.S.; Khader, S.A.; Assadullah, M.; Sabharwal, S.

    2003-01-01

    In industrial radiation processing applications using accelerators, product conveying system plays a vital role in exposing the product to high energy electron beam for imparting specified dose to the product and delivering required through puts. The speed of the conveyor corresponds to a definite time of exposure of the product in the radiation zone. Design of suitable conveyor system for a variety of products with differing dose requirements call for a conveyor with wide speed range. This paper discusses the design features of a suitable under beam conveyor system for 10 MeV, 10 kW accelerator for processing a range of products including medical and food products

  5. Graviton production by two photon and electron-photon processes in Kaluza-Klein theories with large extra dimensions

    International Nuclear Information System (INIS)

    Atwood, David; Bar-Shalom, Shaouly; Soni, Amarjit

    2000-01-01

    We consider the production of gravitons via two photon and electron-photon fusion in Kaluza-Klein theories which allow TeV scale gravitational interactions. We show that at electron-positron colliders, the processes l + l - →l + l - +graviton, with l=e, μ, can lead to a new signal of low energy gravity of the form l + l - →l + l - +missing energy which is well above the standard model background. For example, with two extra dimensions, at the Next Linear Collider with a center of mass energy of 500 or 1000 GeV, hundreds to thousands such l + l - +graviton events may be produced if the scale of the gravitational interactions, M D , is around a few TeV. At a gamma-electron collider, more stringent bounds may be placed on M D via the related reaction e - γ→e - G. For instance, if a 1 TeV e + e - collider is converted to an electron-photon collider, a bound of ∼10(14) TeV may be placed on the scale M D if the number of extra dimensions δ=2, while a bound of ∼4(5) TeV may be placed if δ=4, with unpolarized (right polarized) electron beams. (c) 2000 The American Physical Society

  6. Radiation processing of food products with 5 MV Bremsstrahlung x-rays

    International Nuclear Information System (INIS)

    Petwal, V.C.; Soni, H.C.

    2004-01-01

    Foods and agricultural products are treated with ionizing radiation to accomplish many different goals. The desired goals may be the reduction of pathogenic bacteria, other microorganisms and parasites that cause food borne diseases; or inactivation of food spoilage organisms, including bacteria, molds, and yeasts; or lengthening the shelf-life of fresh fruits and vegetables by decreasing the normal biological changes associated with growth and maturation processes, such as ripening or sprouting. It has become more important due to mounting concern over food born diseases, and growing international trade in food products that must meet stiff import standards of quality and quarantine. A 10 MeV 10 kW LINAC based multi-product EB radiation processing facility is being established at CAT to meet the processing requirement of various food, agricultural and medical products. The facility will be operated in two modes: (a) Electron: 10 MeV, 10 kW (b) Photon: 5 MeV, 10 kW Treatment with electron beam provides the highest processing rate and lowest unit cost. But the electrons have relatively short range in the solid product, hence the maximum product areal density (density times depth) that can be processed using direct 10 MeV electron beam is limited to about 8.5 gm/cm 2 (double sided irradiation). On the other hand x-rays are more penetrating, hence can be used to process the products having larger areal densities e.g. onions and potatoes packed in gunny bags. In order to address various issues related to food irradiation using 5 MV X-ray beam, a mathematical model is developed on the basis of the analytical calculations and experimental data presented by R.B.Miller, 2003, and J. Meissner et.al, 2000. (author)

  7. Electron beam processing technology for modification of different types of cellulose pulps for production of derivatives

    International Nuclear Information System (INIS)

    Iller, E.; Kukielka, A.; Mikolajczyk, W.; Starostka, P.; Stupinska, H.

    2002-01-01

    Institute of Nuclear Chemistry and Technology, Pulp and Paper Research Institute and Institute of Chemical Fibers carry out a joint research project in order to develop the radiation methods modification of cellulose pulps for production of cellulose derivatives such as carbamate (CC), carboxymethyl cellulose (CMC) and methylcellulose (MC). Three different types of textile pulps: Alicell (A); Borregaard (B), Ketchikan (K) and Kraft softwood (PSS) and hardwood (PSB) pulps have been irradiated with 10 MeV electron beam from LAE 13/9 linear accelerator with doses of 5, 10, 15, 20, 25 and 50 kGy. After electron beam treatment the samples of cellulose pulps have been examined by using of structural and physico-chemical methods. Electron paramagnetic resonance spectroscopy (EPR), gel permeation chromatography (GPC) and infrared spectroscopy (IRS) were applied for determination of structural changes in irradiated cellulose pulps. By means of analytical methods, such parameters as: viscosity, average degree of polymerization (DP) and α-cellulose contents were evaluated. Based on EPR and GPC investigations the relationship between concentrations of free radicals and decreasing polymerization degrees in electron beam treatment pulps has been confirmed. The carboxymethylcellulose, methylcellulose and cellulose carbamate were prepared using the raw material of radiation modified pulps. Positive results of investigations will allow for determination of optimum conditions for electron beam modification of selected cellulose paper and textile pulps. Such procedure leads to limit the amounts of chemical activators used in methods for preparation cellulose derivatives. The proposed electron beam technology is new approaches in technical solution and economic of process of cellulose derivatives preparation. (author)

  8. Electron backscattering for process control in electron beam welding

    International Nuclear Information System (INIS)

    Ardenne, T. von; Panzer, S.

    1983-01-01

    A number of solutions to the automation of electron beam welding is presented. On the basis of electron backscattering a complex system of process control has been developed. It allows an enlarged imaging of the material's surface, improved adjustment of the beam focusing and definite focus positioning. Furthermore, both manual and automated positioning of the electron beam before and during the welding process has become possible. Monitoring of the welding process for meeting standard welding requirements can be achieved with the aid of a control quantity derived from the results of electronic evaluation of the high-frequency electron backscattering

  9. Prospects for applications of electron beams in processing of gas and oil hydrocarbons

    Energy Technology Data Exchange (ETDEWEB)

    Ponomarev, A. V., E-mail: ponomarev@ipc.rssi.ru [Russian Academy of Sciences, Frumkin Institute of Physical Chemistry and Electrochemistry (Russian Federation); Pershukov, V. A. [ROSATOM National Nuclear Corporation (Russian Federation); Smirnov, V. P. [CJSC “Nauka i Innovatsii” (Russian Federation)

    2015-12-15

    Waste-free processing of oil and oil gases can be based on electron-beam technologies. Their major advantage is an opportunity of controlled manufacturing of a wide range of products with a higher utility value at moderate temperatures and pressures. The work considers certain key aspects of electron beam technologies applied for the chain cracking of heavy crude oil, for the synthesis of premium gasoline from oil gases, and also for the hydrogenation, alkylation, and isomerization of unsaturated oil products. Electronbeam processing of oil can be embodied via compact mobile modules which are applicable for direct usage at distant oil and gas fields. More cost-effective and reliable electron accelerators should be developed to realize the potential of electron-beam technologies.

  10. Electronic Animal Drug Product Listing Directory

    Data.gov (United States)

    U.S. Department of Health & Human Services — The Electronic Animal Drug Product Listing Directory is a directory of all animal drug products that have been listed electronically since June 1, 2009, to comply...

  11. On-line monitoring of food fermentation processes using electronic noses and electronic tongues: A review

    International Nuclear Information System (INIS)

    Peris, Miguel; Escuder-Gilabert, Laura

    2013-01-01

    Graphical abstract: -- Highlights: •This review paper deals with the applications of electronic noses and electronic tongues to the monitoring of fermentation processes. •Positive and negative aspects of the different approaches reviewed are analyzed. •Current and future endeavors in this field are also commented. -- Abstract: Fermentation processes are often sensitive to even slight changes of conditions that may result in unacceptable end-product quality. Thus, close follow-up of this type of processes is critical for detecting unfavorable deviations as early as possible in order to save downtime, materials and resources. Nevertheless the use of traditional analytical techniques is often hindered by the need for expensive instrumentation and experienced operators and complex sample preparation. In this sense, one of the most promising ways of developing rapid and relatively inexpensive methods for quality control in fermentation processes is the use of chemical multisensor systems. In this work we present an overview of the most important contributions dealing with the monitoring of fermentation processes using electronic noses and electronic tongues. After a brief description of the fundamentals of both types of devices, the different approaches are critically commented, their strengths and weaknesses being highlighted. Finally, future trends in this field are also mentioned in the last section of the article

  12. On-line monitoring of food fermentation processes using electronic noses and electronic tongues: A review

    Energy Technology Data Exchange (ETDEWEB)

    Peris, Miguel, E-mail: mperist@qim.upv.es [Departamento de Química, Universidad Politécnica de Valencia, 46071 Valencia (Spain); Escuder-Gilabert, Laura [Departamento de Química Analítica, Universitat de Valencia, C/ Vicente Andrés Estellés s/n, E-46100 Burjasot, Valencia (Spain)

    2013-12-04

    Graphical abstract: -- Highlights: •This review paper deals with the applications of electronic noses and electronic tongues to the monitoring of fermentation processes. •Positive and negative aspects of the different approaches reviewed are analyzed. •Current and future endeavors in this field are also commented. -- Abstract: Fermentation processes are often sensitive to even slight changes of conditions that may result in unacceptable end-product quality. Thus, close follow-up of this type of processes is critical for detecting unfavorable deviations as early as possible in order to save downtime, materials and resources. Nevertheless the use of traditional analytical techniques is often hindered by the need for expensive instrumentation and experienced operators and complex sample preparation. In this sense, one of the most promising ways of developing rapid and relatively inexpensive methods for quality control in fermentation processes is the use of chemical multisensor systems. In this work we present an overview of the most important contributions dealing with the monitoring of fermentation processes using electronic noses and electronic tongues. After a brief description of the fundamentals of both types of devices, the different approaches are critically commented, their strengths and weaknesses being highlighted. Finally, future trends in this field are also mentioned in the last section of the article.

  13. Status of electron beam processing technology in Malaysia

    International Nuclear Information System (INIS)

    Ghazali, Zulkafli; Dahlan, Khairul Zaman; Aiasah, S.H.; Khomsaton, A.B.; Ting, T.M.

    2003-01-01

    The electron beam processing in Malaysia starting in 1991 at MINT (Malaysian Institute for Nuclear Technology Research) has been focussed on medical product sterilization, curing of surface coating and polymer modifications. Subsequent installation of accelerators by private companies promoted the development of radiation processing technologies for the use of production of heat-shrinkable products, pilot-scale flue gas purification, as well as wires, cables, tubes and hydrogels. Decomposition of a wide range of volatile organic compounds from industrial exhausts (car painting lines, volatile dioxin and furan from municipal waste incinerators) and purification of liquid wastewater and drinking water are also being under R and D work. Malaysia will continue to play an active part in the program on radiation technology to strengthen environmentally sustainable development in line with FNCA objectives. (S. Ohno)

  14. Electron beam processing in wire and cables and heat shrinkable products

    International Nuclear Information System (INIS)

    Tikku, V.K.

    2001-01-01

    In this paper in a general manner the commercially successful E-beam crosslinking in wire and cable and heat shrinkable products being manufactured first time in India are illustrated. We at NICCO in India have established first industrial electron accelerator of 150 kW power with 3 MeV beam energy and 50 mA beam current, near Calcutta; the facility is likely to be commissioned by January, 2002. This facility was conceived and the developmental work was carried out with the active support of our R and D partners at Rubber Technology Centre, IIT, Kharagpur and Bhabha Atomic Research Centre, Mumbai. The polymeric compound developments, its extrusion on to the cable samples and radiation crosslinking were successfully achieved in this joint R and D efforts. We have already executed the developmental order with the know-how developed indigenously with our research partners and using the 2 MeV electron accelerator facility at Bhabha Atomic Research Centre, Mumbai. (author)

  15. Integrated methodology for production related risk management of vehicle electronics (IMPROVE)

    OpenAIRE

    Geis, Stefan Rafael

    2006-01-01

    This scientific work is designated to provide an innovative and integrated conceptional approach to improve the assembly quality of automotive electronics. This is achieved by the reduction and elimination of production related risks of automotive electronics and the implementation of a sustainable solution process. The focus is the development and implementation of an integrated technical risk management approach for automotive electronics throughout the vehicle life cycle and the vehicle pr...

  16. Flexible organic electronic devices: Materials, process and applications

    International Nuclear Information System (INIS)

    Logothetidis, Stergios

    2008-01-01

    The research for the development of flexible organic electronic devices (FEDs) is rapidly increasing worldwide, since FEDs will change radically several aspects of everyday life. Although there has been considerable progress in the area of flexible inorganic devices (a-Si or solution processed Si), there are numerous advances in the organic (semiconducting, conducting and insulating), inorganic and hybrid (organic-inorganic) materials that exhibit customized properties and stability, and in the synthesis and preparation methods, which are characterized by a significant amount of multidisciplinary efforts. Furthermore, the development and encapsulation of organic electronic devices onto flexible polymeric substrates by large-scale and low-cost roll-to-roll production processes will allow their market implementation in numerous application areas, including displays, lighting, photovoltaics, radio-frequency identification circuitry and chemical sensors, as well as to a new generation of modern exotic applications. In this work, we report on some of the latest advances in the fields of polymeric substrates, hybrid barrier layers, inorganic and organic materials to be used as novel active and functional thin films and nanomaterials as well as for the encapsulation of the materials components for the production of FEDs (flexible organic light-emitting diodes, and organic photovoltaics). Moreover, we will emphasize on the real-time optical monitoring and characterization of the growing films onto the flexible polymeric substrates by spectroscopic ellipsometry methods. Finally, the potentiality for the in-line characterization processes for the development of organic electronics materials will be emphasized, since it will also establish the framework for the achievement of the future scientific and technological breakthroughs

  17. Electron accelerators for waste processing

    International Nuclear Information System (INIS)

    Kon'kov, N.G.

    1976-01-01

    The documents of the International symposium on radiation vaste processing are presented. Questions on waste utilization with the help of electron accelerators are considered. The electron accelerators are shown to have an advantage over some other ionizing radiation sources. A conclusion is made that radiation methods of waste processing are extensively elaborated in many developed countries. It has been pointed out that an electron accelerator is a most cheap and safe ionizing radiation source primarily for processing of gaseous and liquid wastes

  18. Electron beam accelerator at BARC-BRIT complex - electron beam processing of materials and industrial utilization

    International Nuclear Information System (INIS)

    Khader, S.A.; Patkari, R.K.; Sharma, K.S.S.

    2013-01-01

    During the last decade, the 2MeV/20kW electron beam (EB) accelerator located at BARC-BRIT complex, Vashi has been successfully utilised for non-thermal applications to develop speciality products useful for the industry. Polymer materials are exposed to high energy electrons to induce crosslinking and degradation reactions in a number of industrial products without the use of external chemicals and additives. Various EB crosslinked products viz. PE O-rings, automotive components, automobile tyres, electrical insulations, etc have been found to be much superior in quality compared to those produced conventionally. A process has been developed to enhance colours in the polished diamonds and gem stones using EB irradiation at the facility which has attracted much attention in the Indian diamond industry as a value-addition process. Recycling of polymer waste processed under EB to produce microfine PTFE powder, to reuse in automobile industry etc. has shown good potential for the industrial use. The process feasibility both in terms of economics and technology have been amply demonstrated on a technological scale by installing special conveyors at our facility for irradiating various industrial products. Around 100 km cable insulations, 1.5 million PE O-rings and more than 40000 carats of polished diamonds have been processed in our facility over a period of time on commercial scale. Encouraged with the results, Indian private entrepreneurs have set up dedicated EB machines in some of the most significant industries producing wire and cables, electrical gadgets based on polymer composites, automobile tyres and diamonds. The products are unique in properties and are in some cases, became import substitutes. The industry is now fully geared up to adapt the technology by realising the advantages viz ease in adaptability, convenient, safe and environmental-friendly nature. Encouraged by the process demonstrations, while five EB accelerators were setup and are in operation

  19. Measurements of Pair Production and Electron Capture from the Continuum in Heavy Particle Collisions

    CERN Multimedia

    2002-01-01

    Large transient Coulomb fields, which are generated in collisions of high-Z systems at sufficiently high energies, lead to copious production of electron-positron pairs. It has been suggested that these lepton pairs might mask signals arising from plasma phase interaction. Pair-production cross sections have been calculated by several authors with results which differ significantly from each other. Some of the electrons produced may be captured into bound states of the ion, thereby, reducing its charge state by one unit. This process which has been termed ``Electron Capture from Pair Production``, represents the only electron capture pro which increases with energy, and as such, will dominate all others in the ultrarelativistic energy regime. Ions having undergone this process would be lost from storage-type accelerators. The absolute cross sections for capture have been calculated with results which differ by as much as an order of magnitude. If as large as some of the calculations predict, Relativistic Heav...

  20. Measurements of Pair Production and Electron Capture from the Continuum in Heavy Particle Collisions

    CERN Multimedia

    2002-01-01

    % WA99 \\\\ \\\\ Large transient Coulomb fields, which are generated in collisions of high-Z systems at sufficiently high energies, lead to copious production of electron-positron pairs. It has been suggested that these lepton pairs might mask signals arising from plasma phase interaction. Pair-production cross-sections have been calculated by several authors with results that differ significantly from each other. For very heavy ions and high energies, multiple pairs are expected to be formed even in single peripheral collisions. Perturbative and nonperturbative treatments lead to various predictions for the fractions of multiple pair formation out of the total cross-sections. Some of the electrons produced will be captured into bound states of the ion, thereby, reducing its charge state by one unit. This process which has been termed $^{\\prime\\prime}$Electron Capture from Pair Production$^{\\prime\\prime}$, represents the only electron capture process which increases with energy, and as such, will dominate all oth...

  1. Production of an electron-positron plasma in a pulsar magnetosphere

    International Nuclear Information System (INIS)

    Gurevich, A.V.; Istomin, Y.N.

    1985-01-01

    A study is made of the production of electron-positron plasma in the vacuum state (''breakdown'' of the vacuum) in the presence of an inhomogeneous electric field and a strong curvilinear magnetic field. Such conditions are encountered in the magnetosphere of a rotating neutron star. A general system of kinetic equations is derived for the electrons, positrons, and γ photons in the curvilinear magnetic field with allowance for the production of electron-positron pairs and the emission of curvature and synchrotron photons. The conditions of occurrence of ''breakdown'' are determined, and the threshold value of the jump in the value of the electric field at the surface of the star is found. The process of multiplication of particles in the magnetosphere is investigated, and the distribution functions of the electrons, positrons, and photons are found. The extinction limit of pulsars is determined. It is shown that the theory is in agreement with observational data

  2. 21 CFR 1003.2 - Defect in an electronic product.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Defect in an electronic product. 1003.2 Section... electronic product. For the purpose of this part, an electronic product shall be considered to have a defect which relates to the safety of use by reason of the emission of electronic product radiation if: (a) It...

  3. Printing versus coating - What will be the future production technology for printed electronics?

    Energy Technology Data Exchange (ETDEWEB)

    Glawe, Andrea; Eggerath, Daniel; Schäfer, Frank [KROENERT GmbH and Co KG, Schuetzenstrasse 105, 22761 Hamburg (Germany)

    2015-02-17

    The market of Large Area Organic Printed Electronics is developing rapidly to increase efficiency and quality as well as to lower costs further. Applications for OPV, OLED, RFID and compact Printed Electronic systems are increasing. In order to make the final products more affordable, but at the same time highly accurate, Roll to Roll (R2R) production on flexible transparent polymer substrates is the way forward. There are numerous printing and coating technologies suitable depending on the design, the product application and the chemical process technology. Mainly the product design (size, pattern, repeatability) defines the application technology.

  4. Green electronics manufacturing creating environmental sensible products

    CERN Document Server

    Wang, John X

    2012-01-01

    Going ""green"" is becoming a major component of the mission for electronics manufacturers worldwide. While this goal seems simplistic, it poses daunting dilemmas. Yet, to compete effectively in the global economy, manufacturers must take the initiative to drive this crucial movement. Green Electronics Manufacturing: Creating Environmental Sensible Products provides you with a complete reference to design, develop, build, and install an electronic product with special consideration for the product's environmental impacts during its whole life cycle. The author discusses how to integrate the st

  5. Recent advances in electronic nose techniques for monitoring of fermentation process.

    Science.gov (United States)

    Jiang, Hui; Zhang, Hang; Chen, Quansheng; Mei, Congli; Liu, Guohai

    2015-12-01

    Microbial fermentation process is often sensitive to even slight changes of conditions that may result in unacceptable end-product quality. Thus, the monitoring of the process is critical for discovering unfavorable deviations as early as possible and taking the appropriate measures. However, the use of traditional analytical techniques is often time-consuming and labor-intensive. In this sense, the most effective way of developing rapid, accurate and relatively economical method for quality assurance in microbial fermentation process is the use of novel chemical sensor systems. Electronic nose techniques have particular advantages in non-invasive monitoring of microbial fermentation process. Therefore, in this review, we present an overview of the most important contributions dealing with the quality control in microbial fermentation process using the electronic nose techniques. After a brief description of the fundamentals of the sensor techniques, some examples of potential applications of electronic nose techniques monitoring are provided, including the implementation of control strategies and the combination with other monitoring tools (i.e. sensor fusion). Finally, on the basis of the review, the electronic nose techniques are critically commented, and its strengths and weaknesses being highlighted. In addition, on the basis of the observed trends, we also propose the technical challenges and future outlook for the electronic nose techniques.

  6. Electron spectroscopy in the fundamental process of electron-nucleus bremsstrahlung

    International Nuclear Information System (INIS)

    Hillenbrand, Pierre-Michel

    2013-07-01

    Within the scope of this thesis the fundamental process of electron-nucleus bremsstrahlung was studied in inverse kinematics at the Experimental Storage Ring ESR at GSI. For the system U 88+ + N 2 at 90 MeV/u it was shown, that by using inverse kinematics coincidence measurements between the scattered electron and the emitted photon can be performed for the case, in which the incoming electron transfers almost all of its kinetic energy onto the emitted photon. The sensitivity to the fundamental process could be achieved by measuring triple differential cross sections as a function of the emission angle of the photon and the scattered electron as well as the energy of the scattered electron. The optics of the magnetic electron spectrometer used were thoroughly revised and optimized to the experimental requirements. Analyzing different coincidences in this collision system, it was possible to determine the contributions to the electron distribution arising from radiative electron capture to the projectile continuum, nonradiative electron capture to the projectile continuum, and electron loss to the projectile continuum. The experimental results of each of these processes were compared to theoretical calculations. The electron spectra for the radiative and the nonradiative electron capture to continuum clearly reproduce the opposite asymmetry predicted by theory. Furthermore electron spectra for collisions of U 28+ with different gases were measured.

  7. 12 CFR 7.5004 - Sale of excess electronic capacity and by-products.

    Science.gov (United States)

    2010-01-01

    ... bank's needs for banking purposes include: (1) Data processing services; (2) Production and... 12 Banks and Banking 1 2010-01-01 2010-01-01 false Sale of excess electronic capacity and by-products. 7.5004 Section 7.5004 Banks and Banking COMPTROLLER OF THE CURRENCY, DEPARTMENT OF THE TREASURY...

  8. Purification of coal fired boiler flue gas and fertilizer production by using electron beam

    International Nuclear Information System (INIS)

    Maezawa, Akihiko

    1996-01-01

    Electron beam irradiation technology which is applied in electron accelerators is used in a variety of fields, including industry, medicine and etc.. In collaboration with the Japan Atomic Energy Research Institute, Ebara Corporation has developed a novel flue-gas treatment process by making use of the electron beam for the purification of flue gas emitted from industrial plant such as thermal power station. The E-beam flue gas treatment process (EBA Process) is applied to clean flue gas generated in the combustion of coal containing sulfur oxides (SOx) and nitrogen oxides (NOx), which are chemical pollutants responsible for acid rain. As a by-product of this process, ammonium sulfate and ammonium nitrate mixture is obtained. This mixture can be recovered from the process as a valuable fertilizer to promote the growth of agricultural produce. The EBA process thus serves two important purposes at the same time: It helps prevent environmental pollution and produces a fertilizer that is vitally important for increasing food production to meet the world's future population growth. (J.P.N.)

  9. The prospects for very high-power electron accelerators for processing bulk materials

    International Nuclear Information System (INIS)

    Cleland, M.R.; Thompson, C.C.; Malone, H.F.

    1977-01-01

    The recent growth in the industrial usage of ionizing radiation has been stimulated by the development of reliable, high-power, electron beam generators which operate in the beam power range of 10 to 100 kilowatts. This high output has reduced the costs of radiation processes to about 0.001 dollars per megarad-pound of product material. At this rate electron beam treatment is now less expensive than conventional methods for curing plastic and rubber products and sterilizing medical disposables. Future applications of electron beam radiation to bulk chemicals and waste materials will require even larger generators operating in the power range of 100 to 1000 kilowatts to handle greater material thruputs. Unit processing costs must be further reduced because of the lower intrinsic values of these materials. Fortunately, lower unit costs will follow the development of more powerful equipment because most of the cost factors do not increase in proportion to the output power. This is demonstrated by analyzing the downward trends in radiation processing costs as the machine voltage and the beam current are increased. The Dynamitron accelerator technology is reviewed to show that this could be one method of achieving the projected power levels. Several large-scale radiation processes are discussed to show that applications can be found for electron beam systems operating in the projected range. (author)

  10. Electron microscopy of hydrocarbon production in parthenium argentatum (guayule)

    Energy Technology Data Exchange (ETDEWEB)

    Bauer, Thomas E. [Univ. of California, Berkeley, CA (United States)

    1977-11-01

    The electron microscope was used to study the biological processes involved in hydrocarbon production. The little desert shrub Guayule (Parthenium argentatum) was selected for study. This shrub can produce hydrocarbons (rubber) in concentrations up to 1/4 of its dry weight. It grows on semi-arid land and has been extensively studied. The potential of Guayule is described in detail. Results of an investigation into the morphology of Guayule at the electron microscope level are given. Experiments, which would allow the biosynthesis of hydrocarbon in Guayule to be followed, were designed. In order to do this, knowledge of the biochemistry of rubber formation was used to select a tracer, mevalonic acid. Mevalonic acid is the precursor of all the terpenoids, a large class of hydrocarbons which includes rubber. It was found that when high enough concentrations of mevalonic acid are administered to seedling Guayule plants, build-ups of metabolized products are found within the chloroplasts of the seedlings. Also, tritium labeled mevalonic acid was used as a precursor, and its metabolic progress was followed by using the technique of electron microscope autoradiography. The results of these experiments also implicated chloroplasts of the Guayule plant in hydrocarbon production. The final task was the development of a system to produce three-dimensional stereo reconstructions of organelles suspected of involvement in hydrocarbon biosynthesis in Guayule. The techniques are designed to reconstruct an object from serial sections of that object. The techniques use stereo imaging both to abstract information for computer processing, and also in the computer produced reconstruction.

  11. Study of device mass production capability of the character projection based electron beam direct writing process technology toward 14 nm node and beyond

    Science.gov (United States)

    Kojima, Yoshinori; Takahashi, Yasushi; Takakuwa, Masaki; Ohshio, Shuzo; Sugatani, Shinji; Tujimura, Ryo; Takita, Hiroshi; Ogino, Kozo; Hoshino, Hiromi; Ito, Yoshio; Miyajima, Masaaki; Kon, Jun-ichi

    2012-03-01

    Techniques to appropriately control the key factors for a character projection (CP) based electron beam direct writing (EBDW) technology for mass production are shown and discussed. In order to achieve accurate CD control, the CP technique using the master CP is adopted. Another CP technique, the Packed CP, is used to obtain suitable shot count. For the alignment on the some critical layers which have the normally an even surface, the alignment methodology differ from photolithography is required. The process that etches the SiO2 material in the shallow trench isolation is added and then the alignment marks can be detected using electron beam even at the gate layer, which is normally on an even surface. The proximity effect correction using the simplified electron energy flux model and the hybrid exposure are used to obtain enough process margins. As a result, the sufficient CD accuracy, overlay accuracy, and yield are obtained on the 65 nm node device. The condition in our system is checked using self-diagnosis on a regular basis, and scheduled maintenances have been properly performed. Due to the proper system control, more than 10,000 production wafers have been successfully exposed so far without any major system downtime. It is shown that those techniques can be adapted to the 32 nm node production with slight modifications. For the 14 nm node and beyond, however, the drastic increment of the shot count becomes more of a concern. The Multi column cell (MCC) exposure method, the key concept of which is the parallelization of the electron beam columns with a CP, can overcome this concern. It is expected that by using the MCC exposure system, those techniques will be applicable to the rapid establishment for the 14 nm node technology.

  12. New electron-ion-plasma equipment for modification of materials and products surface

    International Nuclear Information System (INIS)

    Koval', N.N.

    2013-01-01

    the report the processes of electron-beam nanostructurization of material surfaces are considered. In IHCE SB RAS the equipment 'SOLO' of electron-beam treatment of product surface has been developed. By 'SOLO' the processes of electron-beam polishing of metals and alloys surface are realized. Furthermore the processes of superfast surface hardening of materials are developed by 'SOLO'. That leads to nanostructurization of surface layer. In process of electron-beam treatment the pulse electron beam with energy of (5÷50) J/cm 2 , getting on a treated surface, makes superfast heating (10 8 -10 9 K/s) (during pulse duration of ((50÷200) µs) to temperature of melting point of substrate material. Then superfast (10 6 -10 8 K/s) cooling occurs at the expense of heat removal in deeper cold layers of a substrate. As a result of superfast hardening in near-surface layer with thickness of several units to several tens micron the improved structure of treated material is formed. The surface is cleared; fusible impurity is evaporated; in steels hardening submicro- and nanocrystalline structure is formed; surface hardness increases. Besides, in vacuum in a liquid phase smoothing of surfaces relief (polishing) occurs by surface tension force. The essential changes of a structural-phase state of surface layer lead to significant improvement of physical, chemical, and strength properties of the material. That is impossible by traditional methods of surface treatment. In the report the examples of combined processes of surface layers nanostructurization, including perspective electron-beam mixing of coating/substrate systems are demonstrated. The presented equipment and realized processes are a basis of development of new electron-ion-plasma technologies of nanostructurization of material and product surfaces. These methods allow considerably improving physical and chemical, exploitation properties of details and instruments surface. That leads to

  13. Photon Production through Multi-step Processes Important in Nuclear Fluorescence Experiments

    International Nuclear Information System (INIS)

    Hagmann, C; Pruet, J

    2006-01-01

    The authors present calculations describing the production of photons through multi-step processes occurring when a beam of gamma rays interacts with a macroscopic material. These processes involve the creation of energetic electrons through Compton scattering, photo-absorption and pair production, the subsequent scattering of these electrons, and the creation of energetic photons occurring as these electrons are slowed through Bremsstrahlung emission. Unlike single Compton collisions, during which an energetic photon that is scattered through a large angle loses most of its energy, these multi-step processes result in a sizable flux of energetic photons traveling at large angles relative to an incident photon beam. These multi-step processes are also a key background in experiments that measure nuclear resonance fluorescence by shining photons on a thin foil and observing the spectrum of back-scattered photons. Effective cross sections describing the production of backscattered photons are presented in a tabular form that allows simple estimates of backgrounds expected in a variety of experiments. Incident photons with energies between 0.5 MeV and 8 MeV are considered. These calculations of effective cross sections may be useful for those designing NRF experiments or systems that detect specific isotopes in well-shielded environments through observation of resonance fluorescence

  14. Radiation processes for the development, production and examination of materials

    International Nuclear Information System (INIS)

    Wiesner, L.

    1984-01-01

    The process of doping semi-conductors by irradiating them with beams of ions, called ion implantation, has long been part of the industrial mass production of electronic solid components as the basis of the micro-electronics revolution. The use of electron and X-ray beams has made the manufacture of small silicon chips possible, so as to increase the memory and microprocessor capacity of a wafer. Using electron and γ rays, many compound materials based on polymers, have been manufactured, where only a small part of the nearly infinite number of combinations has been touched so far. The applications of radiation hardening extend from the treatment of coatings and paints for surface protection to glues and printed colours. The multiplicity of nuclear examination processes for solids and their surfaces has produced knowledge on the structure of materials, which accelerates the purposeful development of improved and new materials and makes it easier, sometimes even making it possible. Radiation methods are an important aid for quality control and assurance for material production. (orig./HP) [de

  15. Introduction to electron beam processing

    Energy Technology Data Exchange (ETDEWEB)

    Kawakami, Waichiro [Japan Atomic Energy Research Inst., Takasaki, Gunma (Japan). Takasaki Radiation Chemistry Research Establishment

    1994-12-31

    The contents are general features in the irradiation of polymers, electron beam machines - low energy, medium energy, high energy; application of EB machine in industries, engineering of EB processing, dosimetry of EB (electron beam) safe operation of EB machine, recent topics on EB processing under development. 3 tabs., 4 figs., 17 refs.

  16. Introduction to electron beam processing

    International Nuclear Information System (INIS)

    Waichiro Kawakami

    1994-01-01

    The contents are general features in the irradiation of polymers, electron beam machines - low energy, medium energy, high energy; application of EB machine in industries, engineering of EB processing, dosimetry of EB (electron beam) safe operation of EB machine, recent topics on EB processing under development. 3 tabs., 4 figs., 17 refs

  17. METHOD OF ELECTRON BEAM PROCESSING

    DEFF Research Database (Denmark)

    2003-01-01

    As a rule, electron beam welding takes place in a vacuum. However, this means that the workpieces in question have to be placed in a vacuum chamber and have to be removed therefrom after welding. This is time−consuming and a serious limitation of a process the greatest advantage of which is the o......As a rule, electron beam welding takes place in a vacuum. However, this means that the workpieces in question have to be placed in a vacuum chamber and have to be removed therefrom after welding. This is time−consuming and a serious limitation of a process the greatest advantage of which...... is the option of welding workpieces of large thicknesses. Therefore the idea is to guide the electron beam (2) to the workpiece via a hollow wire, said wire thereby acting as a prolongation of the vacuum chamber (4) down to workpiece. Thus, a workpiece need not be placed inside the vacuum chamber, thereby...... exploiting the potential of electron beam processing to a greater degree than previously possible, for example by means of electron beam welding...

  18. One-spin asymmetries in pair production and Bremsstrahlung processes

    International Nuclear Information System (INIS)

    Arbuzov, A.B.; Kuraev, E.A.; Tarasov, A.V.; Merenkov, N.P.; Peresun'ko, D.Yu.

    1995-01-01

    Asymmetries in the interaction processes at high energies of circularly polarized photons or longitudinally polarized electrons with charged targets are considered. The derivations are shown in detail. The asymmetries are shown to be proportional to the degree of polarization of the initial particle, they do not decrease with increasing of the energy and could reach several percents for pure QED processes or tens percents in the case of a quark-antiquark jets production. Transverse to the beam direction components of the outgoing particle momenta are assumed to be large compared with the electron (quark) mass. 6 refs., 3 tabs

  19. Binary encounter electron production in ion-atom collisions

    International Nuclear Information System (INIS)

    Grabbe, S.; Bhalla, C.P.; Shingal, R.

    1993-01-01

    The binary encounter electrons are produced by hard collisions between the target electrons and the energetic projectiles. Richard et al. found the measured double differential cross section for BEe production at zero degree laboratory scattering angle, in collisions of F q+ with H 2 and He targets, to increase as the charge state of the projectile was decreased. The binary encounter electron production has recently been a subject of detailed investigations. We have calculated the differential elastic scattering cross sections of electrons from several ions incorporating the exchange contribution of the continuum and the bound orbitals in addition to the static potential. The double differential binary encounter electron production cross sections are presented using the impulse approximation

  20. Electron beam processing of polymers

    International Nuclear Information System (INIS)

    Silva, Leonardo G. Andrade e; Dias, Djalma B.; Calvo, Wilson A.P.; Miranda, Leila F. de

    2011-01-01

    The aim of this work is the use of electron beam produced by industrial electron accelerators to process polymers. There are several applications, such as, irradiation of wires and electric cables for automotive, aerospace, household appliance, naval and computing industries. The effect of different radiation doses in low density polyethylene (LDPE) was also studied. After irradiation and crosslinking it was thermally expanded forming LDPE foam. In addition, poly(N-vinyl-2-pyrrolidone) (PVP) hydrogels using electron beam processing were prepared. In all cases studied crosslinking percentages of the samples were determined. (author)

  1. Surface modification of the metal plates using continuous electron beam process (CEBP)

    International Nuclear Information System (INIS)

    Kim, Jisoo; Kim, Jin-Seok; Kang, Eun-Goo; Park, Hyung Wook

    2014-01-01

    Highlights: • We performed surface modification of SM20C, SUS303, and Al6061 using CEBP. • We analyzed surface properties and microstructure after electron-beam irradiation. • The surface quality was improved after electron-beam irradiation. • The surface hardness for SM20C was increased by ∼50% after CEBP irradiation. - Abstract: The finishing process is an important component of the quality-control procedure for final products in manufacturing applications. In this study, we evaluated the performance of continuous electron-beam process as the final process for finishing SM20C (steel alloy), SUS303 (stainless steel alloy), and Al6061 (aluminum alloy) surfaces both on the initially smooth and rough surfaces. Surface modification of the metals was carried out by varying the feed and frequency of the continuous electron-beam irradiation procedure. The resulting surface roughness was examined with respect to the initial surface roughness of the metals. SM20C and SUS303 experienced an improvement in surface roughness, particularly for initially rough surfaces. Continuous electron-beam process produced craters during the process and the effect of this phenomenon on the resulting surface roughness was relatively large with the initially smooth SM20C and SUS303 alloy surfaces. For Al6061, the continuous electron-beam process was effective at improving its surface roughness even with the initially smooth surface under the optimized conditions of process; this was attributed to its low melting point. Scanning electron microscopy was used to identify metallurgical variation within the thin melted and re-solidification layers of the tested alloys. Changes in the surface contact angle and hardness before and after electron-beam irradiation were also examined

  2. Surface modification of the metal plates using continuous electron beam process (CEBP)

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Jisoo, E-mail: kimjisu16@unist.ac.kr [School of Mechanical and Advanced Materials Engineering, Ulsan National Institute of Science and Technology, UNIST-gil 50, Eonyang-eup, Ulju-gun, Ulsan Metropolitan City 689-798 (Korea, Republic of); Kim, Jin-Seok, E-mail: totoro22@kitech.re.kr [Korea Institute of Industrial Technology (KITECH), KITECH Cheonan Headquarters 35-3 Hongcheon-ri, Ipjang-myeon, Cheonan-si, Chungcheongnam-do 330-825 (Korea, Republic of); Kang, Eun-Goo, E-mail: egkang@kitech.re.kr [Korea Institute of Industrial Technology (KITECH), KITECH Cheonan Headquarters 35-3 Hongcheon-ri, Ipjang-myeon, Cheonan-si, Chungcheongnam-do 330-825 (Korea, Republic of); Park, Hyung Wook, E-mail: hwpark@unist.ac.kr [School of Mechanical and Advanced Materials Engineering, Ulsan National Institute of Science and Technology, UNIST-gil 50, Eonyang-eup, Ulju-gun, Ulsan Metropolitan City 689-798 (Korea, Republic of)

    2014-08-30

    Highlights: • We performed surface modification of SM20C, SUS303, and Al6061 using CEBP. • We analyzed surface properties and microstructure after electron-beam irradiation. • The surface quality was improved after electron-beam irradiation. • The surface hardness for SM20C was increased by ∼50% after CEBP irradiation. - Abstract: The finishing process is an important component of the quality-control procedure for final products in manufacturing applications. In this study, we evaluated the performance of continuous electron-beam process as the final process for finishing SM20C (steel alloy), SUS303 (stainless steel alloy), and Al6061 (aluminum alloy) surfaces both on the initially smooth and rough surfaces. Surface modification of the metals was carried out by varying the feed and frequency of the continuous electron-beam irradiation procedure. The resulting surface roughness was examined with respect to the initial surface roughness of the metals. SM20C and SUS303 experienced an improvement in surface roughness, particularly for initially rough surfaces. Continuous electron-beam process produced craters during the process and the effect of this phenomenon on the resulting surface roughness was relatively large with the initially smooth SM20C and SUS303 alloy surfaces. For Al6061, the continuous electron-beam process was effective at improving its surface roughness even with the initially smooth surface under the optimized conditions of process; this was attributed to its low melting point. Scanning electron microscopy was used to identify metallurgical variation within the thin melted and re-solidification layers of the tested alloys. Changes in the surface contact angle and hardness before and after electron-beam irradiation were also examined.

  3. Electronic repository and standardization of processes and electronic documents in transport

    Directory of Open Access Journals (Sweden)

    Tomasz DĘBICKI

    2007-01-01

    Full Text Available The article refers to the idea of the use of electronic repository to store standardised scheme of processes between a Logistics Service Provider and its business partners. Application of repository for automatic or semi-automatic configuration of interoperability in electronic data interchange between information systems of differentcompanies based on transport (road, rail, sea and combined related processes. Standardisation includes processes, scheme of cooperation and related to them, electronic messages.

  4. New electron beam facility for R&D and production at acsion industries

    Science.gov (United States)

    Lopata, V. J.; Barnard, J. W.; Saunders, C. B.; Stepanik, T. M.

    2003-08-01

    Since its incorporation in 1998, Acsion Industries Inc. has been working with clients to develop industrial uses of electron processing for improving products and manufacturing processes. Acsion has promoted this technology for sterilizing medical devices and pharmaceuticals, for treating wood pulp in the viscose/rayon process, for reducing pathogens in food and animal feed, and for curing advanced composites for the aerospace industry. As a result of significant developments in its composite curing programs, Acsion has recently made major modifications to its facility to increase its production and R&D capabilities. These modifications are described in this paper.

  5. A new process of electron beam refining of niobium

    International Nuclear Information System (INIS)

    Pinatti, D.G.

    1981-01-01

    A review of thermodynamic equilibrium, the kinetic theory and experimental results of the metal-gas interaction in refractory metals is presented. N 2 , H 2 and CO absorption and desorption take place by a reversible process while O 2 takes place by a irreversible process with atom absorption and metal oxide desorption. A new technology of electron beam refining of Niobium is proposed based on four points: 1) preparation of the aluminothermic reduced electrode, 2) zone refining in the first melt, 3) kinetic theory of refining in the following melts and 4) design of a compact furnace. Experimental results in a pilot plant of 300 KW have shown complete agreement with the proposed technology yielding a productivity 2.4 times larger than the value predicted by the conventional technology of electron beam refining of Niobium. (Author) [pt

  6. Looking for Guidelines for the Production of Electronic Textbooks.

    Science.gov (United States)

    Landoni, M.; Wilson, R.; Gibb, F.

    2001-01-01

    Reports the results of two studies of electronic book production, including production on the World Wide Web, and explains EBONI (Electronic Books On-screen Interface) that focuses on the evaluation of electronic resources and compiling guidelines for publishing electronic materials on the Internet for the United Kingdom higher education…

  7. Heterogeneous semiconductor photocatalysts for hydrogen production from aqueous solutions of electron donors

    Science.gov (United States)

    Kozlova, E. A.; Parmon, V. N.

    2017-09-01

    Current views on heterogeneous photocatalysts for visible- and near-UV-light-driven production of molecular hydrogen from water and aqueous solutions of inorganic and organic electron donors are analyzed and summarized. Main types of such photocatalysts and methods for their preparation are considered. Particular attention is paid to semiconductor photocatalysts based on sulfides that are known to be sensitive to visible light. The known methods for increasing the quantum efficiency of the target process are discussed, including design of the structure, composition and texture of semiconductor photocatalysts and variation of the medium pH and the substrate and photocatalyst concentrations. Some important aspects of the activation and deactivation of sulfide photocatalysts and the evolution of their properties in the course of hydrogen production processes in the presence of various types of electron donors are analyzed. The bibliography includes 276 references.

  8. Shimmed electron beam welding process

    Science.gov (United States)

    Feng, Ganjiang; Nowak, Daniel Anthony; Murphy, John Thomas

    2002-01-01

    A modified electron beam welding process effects welding of joints between superalloy materials by inserting a weldable shim in the joint and heating the superalloy materials with an electron beam. The process insures a full penetration of joints with a consistent percentage of filler material and thereby improves fatigue life of the joint by three to four times as compared with the prior art. The process also allows variable shim thickness and joint fit-up gaps to provide increased flexibility for manufacturing when joining complex airfoil structures and the like.

  9. Production of a monoenergetic electron bunch in a self-injected laser-wakefield accelerator

    International Nuclear Information System (INIS)

    Chang, C.-L.; Hsieh, C.-T.; Ho, Y.-C.; Chen, Y.-S.; Lin, J.-Y.; Wang, J.; Chen, S.-Y.

    2007-01-01

    Production of a monoenergetic electron bunch in a self-injected laser-wakefield accelerator is investigated with a tomographic method which resolves the electron injection and acceleration processes. It is found that all the electrons in the monoenergetic electron bunch are injected at the same location in the plasma column and then accelerated with an acceleration gradient exceeding 2 GeV/cm. The injection position shifts with the position of pump-pulse focus, and no significant deceleration is observed for the monoenergetic electron bunch after it reaches the maximum energy. The results are consistent with the model of transverse wave breaking and beam loading for the injection of monoenergetic electrons. The tomographic method adds a crucial dimension to the whole array of existing diagnostics for laser beams, plasma waves, and electron beams. With this method the details of the underlying physical processes in laser-plasma interactions can be resolved and compared directly to particle-in-cell simulations

  10. Alanine-EPR dosimetry in 10 MeV electron beam to optimize process parameters for food irradiation

    International Nuclear Information System (INIS)

    Sanyal, B.; Kumar, S.; Kumar, M.; Mittal, K.C.; Sharma, A.

    2011-01-01

    Absorbed dose in a food product is determined and controlled by several components of the LINAC irradiation facility as well as the product. Standardization of the parameters characterizing the facility components, process load and the irradiation conditions collectively termed as 'process parameters' are of paramount importance for successful dose delivery to the food products. In the present study alanine-EPR dosimetry system was employed to optimize the process parameters of 10 MeV electron beam of a LINAC facility for commercial irradiation of food. Three sets of experiments were carried out with different food commodities namely, mango, potato and rawa with the available product conveying system of different irradiation geometry like one sided or both sided mode of irradiation. Three dimensional dose distributions into the process load for low dose requiring food commodities (0.25 to 1 kGy) were measured in each experiment. The actual depth dose profile in food product and useful scan width of the electron beam were found out to be satisfactory for commercial radiation processing of food. Finally a scaled up experiment with commercial food product (packets of Rawa) exhibited adequate dose uniformity ratio of 3 proving the feasibility of the facility for large scale radiation processing of food commodities. (author)

  11. Application of electron-chemical curing in the production of thin composite materials

    International Nuclear Information System (INIS)

    Kopetchenov, V.; Shik, V.; Konev, V.; Kurapov, A.; Misin, I.; Gavrilov, V.; Malik, V.

    1993-01-01

    Thousands of tons of various thin composite materials in rolls for electrotechnical and domestic application including a whole range of electrical insulating materials, such as varnished and polymer fabrics, glass-micatapes, prepregs, thin laminated plastics and clad laminates, materials for decorative and domestic purposes - pressure sensitive adhesive tape and laminates, covering and finishing compositions based on fabrics, films and papers are produced. An important advantage of the electron-chemical processing in the production of composite materials is an essential energy saving (reduction of energy consumption 3-5 times). Absence of the organic diluents in binders decreases fire and explosion hazards of the production and sufficiently decreases danger for the environment of the technology used. Research and Production Company ''Polyrad'' is engaged in the development of technologies and equipment for the production of thin composite materials by the Electron-Chemical Method. (author)

  12. Processing of food and agricultural commodities with electron beam from microtron

    International Nuclear Information System (INIS)

    Sharma, Arun; Behere, Arun; Jadhav, S.S.; Bongirwar, D.R.; Kaul, Ahinsa; Soni, H.C.; Ganesh, S.

    2001-01-01

    A microtron machine source installed by the Centre for Advanced Technology (CAT), Indore, at Mangalore University, was used to study effects of irradiation on onion, potato, rava, and spices. The microbial load in spice samples was determined immediately after the experiment, as well as after six months of storage at the ambient temperature (26±2 deg C). Onion and potato samples were stored for a six months period both at ambient temperature and 15 deg C for observing the effect of electron beam irradiation on sprouting in these commodities. Rawa samples were stored at ambient temperature for observing the effect of electron beam irradiation on insect disinfestation. The results are discussed in detail in this paper. These lab-scale studies showed that electron beam could in principle be used for processing of various food products after standardizing the machine parameters and ensuring uniform dose distribution in the product. (author)

  13. Dosimetry study for electron beam irradiation in radiation processing

    International Nuclear Information System (INIS)

    Sunaga, Hiromi; Haruyama, Yasuyuki; Takizawa, Haruki; Kojima, Takuji; Yotsumoto, Keiichi

    1995-01-01

    For certain critical applications such as medical device sterilization and food irradiation, accurate calibration of electron energy and absorbed dose is required to assure the quality of irradiated products. To meet this requirement, TRCRE, JAERI has carried out research and development on high dose radiation dosimetry for electron beams in the energy range used in radiation processing (0.15 - 3.0 MeV). JAERI has developed a simultaneous electron beam energy and dosimeter calibration system that consist of a total absorption calorimeter, an electron current density meter, and a stacked thin-film dosimeter set. For low energy electrons, where it is important to measure the depth-dose profile in materials with high depth resolution, we studied the feasibility of a method using Gafchromic film dosimeters. This film, which has an 8-μm thick sensitive layer, is combined with a stepped array of absorber films of the same thickness to produce a high-resolution depth-dose profile on the Gafchromic film. The depth-dose profile obtained in this manner has about five times greater resolution than conventional radiochromic film dosimetry. (author)

  14. Development strategy and process models for phased automation of design and digital manufacturing electronics

    Science.gov (United States)

    Korshunov, G. I.; Petrushevskaya, A. A.; Lipatnikov, V. A.; Smirnova, M. S.

    2018-03-01

    The strategy of quality of electronics insurance is represented as most important. To provide quality, the processes sequence is considered and modeled by Markov chain. The improvement is distinguished by simple database means of design for manufacturing for future step-by-step development. Phased automation of design and digital manufacturing electronics is supposed. The MatLab modelling results showed effectiveness increase. New tools and software should be more effective. The primary digital model is proposed to represent product in the processes sequence from several processes till the whole life circle.

  15. US-Total Electron Content Product (USTEC)

    Data.gov (United States)

    National Oceanic and Atmospheric Administration, Department of Commerce — The US Total Electron Content (US-TEC) product is designed to specify TEC over the Continental US (CONUS) in near real-time. The product uses a Kalman Filter data...

  16. Production of Medical Isotopes with Electron Linacs

    Energy Technology Data Exchange (ETDEWEB)

    Rotsch, D A; Alford, K.; Bailey, J. L.; Bowers, D. L.; Brossard, T.; Brown, M. A.; Chemerisov, S. D.; Ehst, D.; Greene, J.; Gromov, R. G.; Grudzinski, J.J.; Hafenrichter, L.; Hebden, A. S.; Henning, W.; Heltemes, T. A.; Jerden, J.; Jonah, C. D.; Kalensky, M.; Krebs, J. F.; Makarashvili, V.; Micklich, B.; Nolen, J.; Quigley, K. J.; Schneider, J. F.; Smith, N. A.; Stepinski, D. C.; Sun, Z.; Tkac, P.; Vandegrift, G. F.; Virgo, M J; Wesolowski, K. A.; Youker, A. J.

    2017-06-01

    Radioisotopes play important roles in numerous areas ranging from medical treatments to national security and basic research. Radionuclide production technology for medical applications has been pursued since the early 1900s both commercially and in nuclear science centers. Many medical isotopes are now in routine production and are used in day-to-day medical procedures. Despite these advancements, research is accelerating around the world to improve the existing production methodologies as well as to develop novel radionuclides for new medical appli-cations. Electron linear accelerators (linacs) represent a unique method for the production of radioisotopes. Even though the basic technology has been around for decades, only recently have electron linacs capable of producing photons with sufficient energy and flux for radioisotope production become available. Housed in Argonne Nation-al Laboratory’s Low Energy Accelerator Facility (LEAF) is a newly upgraded 55 MeV/25-kW electron linear ac-celerator, capable of producing a wide range of radioiso-topes. This talk will focus on the work being performed for the production of the medical isotopes 99Mo (99Mo/99mTc generator), 67Cu, and 47Sc.

  17. Defect production and annihilation in metals through electronic excitation by energetic heavy ion bombardment

    Energy Technology Data Exchange (ETDEWEB)

    Iwase, Akihiro [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    1997-03-01

    Defect production, radiation annealing and defect recovery are studied in Ni and Cu irradiated with low-energy ({approx}1-MeV) and high-energy ({approx}100-MeV) ions. Irradiation of Ni with {approx}100-MeV ions causes an anomalous reduction, or even a complete disappearance of the stage-I recovery. This result shows that the energy transferred from excited electrons to lattice atoms through the electron-lattice interaction contributes to the annihilation of the stage-I interstitials. This effect is also observed in Ni as a large radiation annealing during 100-MeV heavy ion irradiation. On the other hand, in Cu thin foils, we find the defect production process strongly associated with electron excitation, where the defect production cross section is nearly proportional to S{sub e}{sup 2}. (author)

  18. Application of electron-chemical curing in the production of thin composite materials

    Energy Technology Data Exchange (ETDEWEB)

    Kopetchenov, V.; Shik, V.; Konev, V.; Kurapov, A.; Misin, I.; Gavrilov, V.; Malik, V. (Polyrad Research and Production Co., Moscow (Russian Federation))

    Thousands of tons of various thin composite materials in rolls for electrotechnical and domestic application including a whole range of electrical insulating materials, such as varnished and polymer fabrics, glass-micatapes, prepregs, thin laminated plastics and clad laminates, materials for decorative and domestic purposes - pressure sensitive adhesive tape and laminates, covering and finishing compositions based on fabrics, films and papers are produced. An important advantage of the electron-chemical processing in the production of composite materials is an essential energy saving (reduction of energy consumption 3-5 times). Absence of the organic diluents in binders decreases fire and explosion hazards of the production and sufficiently decreases danger for the environment of the technology used. Research and Production Company ''Polyrad'' is engaged in the development of technologies and equipment for the production of thin composite materials by the Electron-Chemical Method. (author).

  19. Electron-positron pair production in inhomogeneous electromagnetic fields

    International Nuclear Information System (INIS)

    Kohlfürst, C.

    2015-01-01

    The process of electron-positron pair production is investigated within the phase-space Wigner formalism. The similarities between atomic ionization and pair production for homogeneous, but time-dependent linearly polarized electric fields are examined mainly in the regime of multiphoton absorption (field-dependent threshold, above-threshold pair production). Characteristic signatures in the particle spectra are identified (effective mass, channel closing). The non-monotonic dependence of the particle yield on the carrier frequency is discussed as well. The investigations are then extended to spatially inhomogeneous electric fields. New effects arising due to the spatial dependence of the effective mass are discussed in terms of a semi-classical interpretation. An increase in the normalized particle yield is found for various field configurations.Pair production in inhomogeneous electric and magnetic fields is also studied. The influence of a time-dependent spatially inhomogeneous magnetic field on the momentum spectrum and the particle yield is investigated. The Lorentz invariants are identified to be crucial in order to understand pair production by strong electric fields in the presence of strong magnetic fields. (author) [de

  20. New electron beam facility for R and D and production at acsion industries

    Energy Technology Data Exchange (ETDEWEB)

    Lopata, V.J.; Barnard, J.W.; Saunders, C.B.; Stepanik, T.M. E-mail: stepanik@acsion.com

    2003-08-01

    Since its incorporation in 1998, Acsion Industries Inc. has been working with clients to develop industrial uses of electron processing for improving products and manufacturing processes. Acsion has promoted this technology for sterilizing medical devices and pharmaceuticals, for treating wood pulp in the viscose/rayon process, for reducing pathogens in food and animal feed, and for curing advanced composites for the aerospace industry. As a result of significant developments in its composite curing programs, Acsion has recently made major modifications to its facility to increase its production and R and D capabilities. These modifications are described in this paper.

  1. Pilot material handling system for radiation processing of agricultural and medical products

    International Nuclear Information System (INIS)

    Sandha, R.S.; Nageswar Rao, J; Dwivedi, Jishnu; Petwal, V.C.; Soni, H.C.

    2005-01-01

    A 10 MeV, 10 kW electron LINAC based radiation processing facility is being constructed at Centre for Advanced Technology, Indore for radiation processing of various food products like potatoes, onion, spices, home pack items and medical sterilization. A pilot material handling system has been designed, manufactured, and installed at CAT to verify process parameters viz. conveying speed, dose uniformity, and to study the effect of packing shape and size for radiation processing of different product. This paper describes various features of pilot material handling system. (author)

  2. Material Processing Opportunites Utilizing a Free Electron Laser

    Science.gov (United States)

    Todd, Alan

    1996-11-01

    Many properties of photocathode-driven Free Electron Lasers (FEL) are extremely attractive for material processing applications. These include: 1) broad-band tunability across the IR and UV spectra which permits wavelength optimization, depth deposition control and utilization of resonance phenomena; 2) picosecond pulse structure with continuous nanosecond spacing for optimum deposition efficiency and minimal collateral damage; 3) high peak and average radiated power for economic processing in quantity; and 4) high brightness for spatially defined energy deposition and intense energy density in small spots. We discuss five areas: polymer, metal and electronic material processing, micromachining and defense applications; where IR or UV material processing will find application if the economics is favorable. Specific examples in the IR and UV, such as surface texturing of polymers for improved look and feel, and anti-microbial food packaging films, which have been demonstrated using UV excimer lamps and lasers, will be given. Unfortunately, although the process utility is readily proven, the power levels and costs of lamps and lasers do not scale to production margins. However, from these examples, application specific cost targets ranging from 0.1=A2/kJ to 10=A2/kJ of delivered radiation at power levels from 10 kW to 500 kW, have been developed and are used to define strawman FEL processing systems. Since =46EL radiation energy extraction from the generating electron beam is typically a few percent, at these high average power levels, economic considerations dictate the use of a superconducting RF accelerator with energy recovery to minimize cavity and beam dump power loss. Such a 1 kW IR FEL, funded by the US Navy, is presently under construction at the Thomas Jefferson National Accelerator Facility. This dual-use device, scheduled to generate first light in late 1997, will test both the viability of high-power FELs for shipboard self-defense against cruise

  3. Electron beam application in industrial polymer processing - Review and outlook

    International Nuclear Information System (INIS)

    Gielenz, G.

    2001-01-01

    Full text: The various established industrial electron beam (EB) applications as related to polymers, their corresponding material and process fundamentals are discussed in this paper. The basics of nowadays most common irradiation processes, which are for continuous stranded products: Single Beam, Rotary Technique; Single Beam, Multiple Pass Technique; Dual Beam, Multiple Pass Technique; and Single Beam, Single (Multiple) Pass Technique by means of a conveyor belt or cart system for discontinuous goods are briefly addressed together with some typical examples for illustration. Some comments on the (dis)advantages and the future economic optimization potential which EB processing technologies could provide to the respective polymer processing industries are presented with respect to material, accelerator equipment and related product handling hardware. The future competitiveness of irradiation crosslinking technologies, which offer numerous advantages in comparison to conventional CV curing and silane crosslinking technologies, only can be maintained by increasing their economic attractiveness, which is: high processing speeds, high material throughput at low production costs and comparatively low capital investment of the hardware involved. Other, more sophisticated irradiation process proposals found in the literature and respective patent publications will be briefly presented, although all of which lack more or less practical evidence for industrial economic and reliable application. Finally, the authors vision of a more efficient, economical EB-process design, by combining quasi state of the art EB-equipment components with a novel beam deflection system to practically achieve a 'Dual Beam, Four Side Crossfiring Process' for continuous strand-products, will be presented. (author)

  4. Product Innovation in High-tech SMEs: A Case Study of Weili Electronics Co.,Ltd

    Institute of Scientific and Technical Information of China (English)

    HE Zheng; LI Shi-ming

    2006-01-01

    Product innovation is an important strategy for high-tech firms, especially for small and medium enterprises. This paper proposes that the technological strategies for SMEs are dynamic and during different phase, there is different innovation strategy which leads to various market performances. In particular, through the case study of Weili Electronics Co., Ltd, we find that organizational learning abilities play a fundamental role in strategic decision. In addition, the frameworks for the determinants of technological strategies in three stages are established to illustrate the evolutionary processes of product innovation in Weili Electronics Co., Ltd.

  5. Selectron production in quasi-elastic electron-proton scattering

    International Nuclear Information System (INIS)

    Bartels, J.; Hollik, W.

    1985-08-01

    We calculate the cross section for the production of selectrons in quasi-elastic electron proton scattering at HERA energies. In the region of very small momentum transfer the cross section turns out to be large: e.g. sigma=36 pb for a selectron mass of 60 GeV, tsub(min) 2 ), and photino mass small compared to the selectron mass. Together with the clean experimental signature, this large cross section makes the reaction e+P->e+γ tilde+P one of the most promising HERA-processes in connection with the search for supersymmetric particles. (orig.)

  6. Indirect processes in electron-ion scattering

    International Nuclear Information System (INIS)

    Bottcher, C.; Griffin, D.C.; Pindzola, M.S.; Phaneuf, R.A.

    1983-10-01

    A summary is given of an informal workshop held at Oak Ridge National Laboratory on June 22-23, 1983, in which the current status of theoretical calculations of indirect processes in electron-ion scattering was reviewed. Processes of particular interest in astrophysical and fusion plasmas were emphasized. Topics discussed include atomic structure effects, electron-impact ionization, and dielectronic recombination

  7. Indirect processes in electron-ion scattering

    Energy Technology Data Exchange (ETDEWEB)

    Bottcher, C.; Griffin, D.C.; Pindzola, M.S.; Phaneuf, R.A.

    1983-10-01

    A summary is given of an informal workshop held at Oak Ridge National Laboratory on June 22-23, 1983, in which the current status of theoretical calculations of indirect processes in electron-ion scattering was reviewed. Processes of particular interest in astrophysical and fusion plasmas were emphasized. Topics discussed include atomic structure effects, electron-impact ionization, and dielectronic recombination.

  8. A New View at the Planning Marketing Popular Products: Exploratory Study Electronics Sector with Companies in Brazil.

    Directory of Open Access Journals (Sweden)

    Evange Elias Assis

    2015-06-01

    Full Text Available The increased consumption of appliance and electronic products by lower-income population represented a growth opportunity for companies in the sector. The overall objective of this paper is to investigate how appliance and electronic product manufacturers draw up their marketing planning for low-end products. An exploratory approach was taken in this study, comprising the literature review and the empirical research which was conducted in two stages by combining the qualitative and quantitative approaches. The study group comprised companies affiliated with National Association of Appliance and Electronic Product Manufacturers (ELETROS. Results indicate that in 87.5% of cases the marketing planning focuses on the product. The companies are concerned to differentiate the low-end products on the market mainly by design (87.5% and innovation (62.5%. Within this context, it seems that the opportunity for growth of the appliance and electronic product companies can be boosted when implementing specific marketing planning for low-end products. Innovation is needed in all processes from project design of the product to its distribution. 

  9. Customer Buying Behavior : - Online shopping towards electronic product

    OpenAIRE

    Wang, Dan; Yang, Liuzi

    2010-01-01

    ABSTRACT Online shopping in EU has been shown to a good potential market. The electronic equipment takes a high percent of the individuals shopping. Compared with other goods, online shopping of electronic goods adds great convenience to the life of the people. Buying electronic gadgets online gives customers an opportunity to find a great variety of product online, and customers can review a wide selection of products and find special offers and discount with the best deals online. In the co...

  10. Electronic devices for analog signal processing

    CERN Document Server

    Rybin, Yu K

    2012-01-01

    Electronic Devices for Analog Signal Processing is intended for engineers and post graduates and considers electronic devices applied to process analog signals in instrument making, automation, measurements, and other branches of technology. They perform various transformations of electrical signals: scaling, integration, logarithming, etc. The need in their deeper study is caused, on the one hand, by the extension of the forms of the input signal and increasing accuracy and performance of such devices, and on the other hand, new devices constantly emerge and are already widely used in practice, but no information about them are written in books on electronics. The basic approach of presenting the material in Electronic Devices for Analog Signal Processing can be formulated as follows: the study with help from self-education. While divided into seven chapters, each chapter contains theoretical material, examples of practical problems, questions and tests. The most difficult questions are marked by a diamon...

  11. Radiation processing of liquid with low energy electron accelerator

    International Nuclear Information System (INIS)

    Makuuchi, Keizo

    2003-01-01

    Radiation induced emulsion polymerization, radiation vulcanization of NR latex (RVNRL) and radiation degradation of natural polymers were selected and reviewed as the radiation processing of liquid. The characteristic of high dose rate emulsion polymerization is the occurrence of cationic polymerization. Thus, it can be used for the production of new materials that cannot be obtained by radical polymerization. A potential application will be production of polymer emulsion that can be used as water-borne UV/EB curing resins. The technology of RVNRL by γ-ray has been commercialized. RVNRL with low energy electron accelerator is under development for further vulcanization cost reduction. Vessel type irradiator will be favorable for industrial application. Radiation degradation of polysaccharides is an emerging and promising area of radiation processing. However, strict cost comparison between liquid irradiation with low energy EB and state irradiation with γ-ray should be carried out. (author)

  12. Radiation processing of liquid with low energy electron accelerator

    Energy Technology Data Exchange (ETDEWEB)

    Makuuchi, Keizo [Japan Atomic Energy Research Inst., Takasaki, Gunma (Japan). Takasaki Radiation Chemistry Research Establishment

    2003-02-01

    Radiation induced emulsion polymerization, radiation vulcanization of NR latex (RVNRL) and radiation degradation of natural polymers were selected and reviewed as the radiation processing of liquid. The characteristic of high dose rate emulsion polymerization is the occurrence of cationic polymerization. Thus, it can be used for the production of new materials that cannot be obtained by radical polymerization. A potential application will be production of polymer emulsion that can be used as water-borne UV/EB curing resins. The technology of RVNRL by {gamma}-ray has been commercialized. RVNRL with low energy electron accelerator is under development for further vulcanization cost reduction. Vessel type irradiator will be favorable for industrial application. Radiation degradation of polysaccharides is an emerging and promising area of radiation processing. However, strict cost comparison between liquid irradiation with low energy EB and state irradiation with {gamma}-ray should be carried out. (author)

  13. Direct electron-pair production by high energy heavy charged particles

    Science.gov (United States)

    Takahashi, Y.; Gregory, J. C.; Hayashi, T.; Dong, B. L.

    1989-01-01

    Direct electron pain production via virtual photons by moving charged particles is a unique electro-magnetic process having a substantial dependence on energy. Most electro-magnetic processes, including transition radiation, cease to be sensitive to the incident energy above 10 TeV/AMU. Thus, it is expected, that upon establishment of cross section and detection efficiency of this process, it may provide a new energy measuring technique above 10 TeV/AMU. Three accelerator exposures of emulsion chambers designed for measurements of direct electron-pains were performed. The objectives of the investigation were to provide the fundamental cross-section data in emulsion stacks to find the best-fit theoretical model, and to provide a calibration of measurements of direct electron-pairs in emulsion chamber configurations. This paper reports the design of the emulsion chambers, accelerator experiments, microscope measurements, and related considerations for future improvements of the measurements, and for possible applications to high energy cosmic ray experiments. Also discussed are the results from scanning 56m of emulsion tracks at 1200x magnification so that scanning efficiency is optimized. Measurements of the delta-ray range spectrum were also performed for much shorter track lengths, but with sufficiently large statistics in the number of measured delta-rays.

  14. Simulating Policy Processes through Electronic Mail.

    Science.gov (United States)

    Flynn, John P.

    1987-01-01

    Focuses on the use of electronic mail for teaching and learning about social welfare policy processes and compares electronic mail as a simulation medium to more structured computer applications. (Author)

  15. Multiple-electron processes in fast ion-atom collisions

    International Nuclear Information System (INIS)

    Schlachter, A.S.

    1989-03-01

    Research in atomic physics at the Lawrence Berkeley Laboratory Super-HILAC and Bevalac accelerators on multiple-electron processes in fast ion-atom collisions is described. Experiments have studied various aspects of the charge-transfer, ionization, and excitation processes. Examples of processes in which electron correlation plays a role are resonant transfer and excitation and Auger-electron emission. Processes in which electron behavior can generally be described as uncorrelated include ionization and charge transfer in high-energy ion-atom collisions. A variety of experiments and results for energies from 1 MeV/u to 420 MeV/u are presented. 20 refs., 15 figs

  16. Electron Beam Processing of Polymers: Facts and Opportunities

    International Nuclear Information System (INIS)

    Gielenz, G.

    2006-01-01

    Electron Beam (EB) processing of polymers is a well established and mature technology in a multitude of industrial polymer applications for more than 40 years. Constant research effort in combination with emerging tailored EB process technologies, have led to numerous new (niche) applications and products within the past decade. Nonetheless, and despite the fact, that nowadays a large variety of EB and related process equipment is readily available for use by the respective industries, EB processing of polymers still takes up only a small niche in comparison to the overall polymer business. In this lecture the author attempts to present a short overview on the current industrial established and emerging radiation processing applications and the related EB equipment suppliers. Then some selected plastics business facts and figures with a forecast of the global plastics consumption situation up to 2010 will be shown. As a conclusion from these facts, some comments will be deduced, regarding the future potential, attractiveness and economical relevance of irradiation processing technologies in present day competitive global markets

  17. Electronic cigarettes: product characterisation and design considerations.

    Science.gov (United States)

    Brown, Christopher J; Cheng, James M

    2014-05-01

    To review the available evidence regarding electronic cigarette (e-cigarette) product characterisation and design features in order to understand their potential impact on individual users and on public health. Systematic literature searches in 10 reference databases were conducted through October 2013. A total of 14 articles and documents and 16 patents were included in this analysis. Numerous disposable and reusable e-cigarette product options exist, representing wide variation in product configuration and component functionality. Common e-cigarette components include an aerosol generator, a flow sensor, a battery and a nicotine-containing solution storage area. e-cigarettes currently include many interchangeable parts, enabling users to modify the character of the delivered aerosol and, therefore, the product's 'effectiveness' as a nicotine delivery product. Materials in e-cigarettes may include metals, rubber and ceramics. Some materials may be aerosolised and have adverse health effects. Several studies have described significant performance variability across and within e-cigarette brands. Patent applications include novel product features designed to influence aerosol properties and e-cigarette efficiency at delivering nicotine. Although e-cigarettes share a basic design, engineering variations and user modifications result in differences in nicotine delivery and potential product risks. e-cigarette aerosols may include harmful and potentially harmful constituents. Battery explosions and the risks of exposure to the e-liquid (especially for children) are also concerns. Additional research will enhance the current understanding of basic e-cigarette design and operation, aerosol production and processing, and functionality. A standardised e-cigarette testing regime should be developed to allow product comparisons.

  18. Production Supervision Incorporated With Network Technology-A Solution For Controlling In-Process Inventory

    Directory of Open Access Journals (Sweden)

    Suraj Yadav

    2013-06-01

    Full Text Available In context to the manufacturing management in medium scale production floor, work-in-process (WIP management or the inprocess inventory and control as the inevitable result of the production process has become a vital link of production plan. Due to the growing production requirements and the potential economic benefits of manufacturing process flow, enterprises have been pushed to integrate work-in-process management with their manufacturing process and the larger the company the larger the list of in-process inventory and this all are typically hard to manage so for the same respect the author in this paper has lighted on the integration of sophisticated electronics and networking technologies with the W.I.P with an native and low cost solution for managing the same, specially for the medium scaled company dealing with large number of product or with the customized product with reference to study of present scenario of a multinational company’s plant engineering department.

  19. Spectral-Product Methods for Electronic Structure Calculations (Preprint)

    National Research Council Canada - National Science Library

    Langhoff, P. W; Mills, J. E; Boatz, J. A

    2006-01-01

    .... The spectral-product approach to molecular electronic structure avoids the repeated evaluations of the one- and two-electron integrals required in construction of polyatomic Hamiltonian matrices...

  20. Spectral-Product Methods for Electronic Structure Calculations (Postprint)

    National Research Council Canada - National Science Library

    Langhoff, P. W; Hinde, R. J; Mills, J. D; Boatz, J. A

    2007-01-01

    .... The spectral-product approach to molecular electronic structure avoids the repeated evaluations of the one- and two-electron integrals required in construction of polyatomic Hamiltonian matrices...

  1. Research of the internal electron-positron pair production

    International Nuclear Information System (INIS)

    Fenyes, Tibor

    1985-01-01

    The phenomenon of internal electron-positron pair production by excited nuclei is briefly reviewed. The advantages of this phenomenon in nuclear structure investigations are pointed. The new Si(Li)-Si(Li) electron spectrometer with superconducting magnetic transporter (SMS) built at ATOMKI, Hungary, was tested for detection of internal electron-positron pair production events. Proton beam of a Van de Graaff accelerator of 5 MV was used to excite the target nuclei of sup(27)Al, sup(42)Ca and sup(19)F. The internal pair production coefficients were measured and compared with the data of literature. The detection efficiency of SMS is calculated to be (37+-7)%. The test proved that the SMS is suitable for nuclear structure investigations producing electron-positron pairs. The SMS of ATOMKI is recently the top instrument all over the world in this field: its detection efficiency, energy resolution and applicability for multipolarity identification are much better than these properties of other detectors. (D.Gy.)

  2. The effectiveness of the microbiological radiation decontamination process of agricultural products with the use of low energy electron beam

    Science.gov (United States)

    Gryczka, Urszula; Migdał, Wojciech; Bułka, Sylwester

    2018-02-01

    The effectiveness of the radiation decontamination process was tested for electron beam of energy 200 keV and 300 keV. The energy of electrons was controlled by the measurements of its penetration ability in stack of B3 dosimetric film. In the presented work, the reduction of total aerobic bacteria count was observed, depending on time of irradiation for samples of dried black pepper, onion flakes and bay leaves. The results were compared with the effect observed for the process where high energy electron beam was used.

  3. Plasma excitation processes in flue gas simulated with Monte Carlo electron dynamics

    Energy Technology Data Exchange (ETDEWEB)

    Tas, M.A.; Veldhuizen, E.M. van; Rutgers, W.R. [Eindhoven University of Technology (Netherlands). Div. of Electrical Energy Systems

    1997-06-07

    The excitation of gas molecules in flue gas by electron impact is calculated with a Monte Carlo (MC) algorithm for electron dynamics in partially ionized gases. The MC algorithm is straightforward for any mixture of molecules for which cross sections are available. Electron drift is simulated in the first case for homogeneous electric fields and in the second case for secondary electrons which are produced by electron-beam irradiation. The electron energy distribution function {epsilon}-bar{sub {theta}}, V-bar{sub d}, {lambda}-bar, the energy branching and the rate of excitation are calculated for standard gas mixtures of Ar-N{sub 2}, O{sub 2} and H{sub 2}O. These fundamental process parameters are needed for the study of reactions to remove NO{sub x} from flue gas. The calculated results indicate that the production of highly excited molecules in the high electric field of a streamer corona discharge has an efficiency similar to that of electron-beam irradiation. (author)

  4. LEOS 2002: summer electronics and signal processing symposium

    International Nuclear Information System (INIS)

    Karadzhinov, Ljupcho; Ivanovski, Zoran

    2002-01-01

    LEOS 2002 was the first Macedonian symposium on electronics and signal processing. It was organized in recognition to a growing need to exchange the research results as well as to raise competent discussions among different research groups from both academic and industrial environment in Macedonia. The topics covered in this meeting were defined by the IEEE experts as follows: Power Electronics, Industrial Electronics, Signal Processing, Image and Video Processing, Instrumentation and Measurements, Engineering in Medicine and Biology, Electron Devices and Automatic Control. Papers were mainly from Macedonia, but there was one invited lecture

  5. Application of electron accelerator worldwide

    International Nuclear Information System (INIS)

    Machi, Sueo

    2003-01-01

    Electron accelerator is an important radiation source for radiation technology, which covers broad fields such as industry, health care, food and environmental protection. There are about 1,000 electron accelerators for radiation processing worldwide. Electron accelerator has advantage over Co-60 irradiator in term of high dose rate and power, assurance of safety, and higher economic performance at larger volume of irradiation. Accelerator generating higher energy in the range of 10 MeV and high power electron beam is now commercially available. There is a trend to use high-energy electron accelerator replacing Co-60 in case of large through-put of medical products. Irradiated foods, in particular species, are on the commercial market in 35 countries. Electron accelerator is used efficiently and economically for production of new or modified polymeric materials through radiation-induced cross-linking, grafting and polymerization reaction. Another important application of electron beam is the curing of surface coatings in the manufacture of products. Electron accelerators of large capacity are used for cleaning exhaust gases in industrial scale. Economic feasibility studies of this electron beam process have shown that this technology is more cost effective than the conventional process. It should be noted that the conventional limestone process produce gypsum as a by-product, which cannot be used in some countries. By contrast, the by-product of the electron beam process is a valuable fertilizer. (Y. Tanaka)

  6. Application of electron accelerator worldwide

    Energy Technology Data Exchange (ETDEWEB)

    Machi, Sueo [Japan Atomic Industrial Forum, Inc., Tokyo (Japan)

    2003-02-01

    Electron accelerator is an important radiation source for radiation technology, which covers broad fields such as industry, health care, food and environmental protection. There are about 1,000 electron accelerators for radiation processing worldwide. Electron accelerator has advantage over Co-60 irradiator in term of high dose rate and power, assurance of safety, and higher economic performance at larger volume of irradiation. Accelerator generating higher energy in the range of 10 MeV and high power electron beam is now commercially available. There is a trend to use high-energy electron accelerator replacing Co-60 in case of large through-put of medical products. Irradiated foods, in particular species, are on the commercial market in 35 countries. Electron accelerator is used efficiently and economically for production of new or modified polymeric materials through radiation-induced cross-linking, grafting and polymerization reaction. Another important application of electron beam is the curing of surface coatings in the manufacture of products. Electron accelerators of large capacity are used for cleaning exhaust gases in industrial scale. Economic feasibility studies of this electron beam process have shown that this technology is more cost effective than the conventional process. It should be noted that the conventional limestone process produce gypsum as a by-product, which cannot be used in some countries. By contrast, the by-product of the electron beam process is a valuable fertilizer. (Y. Tanaka)

  7. Electron quantum optics as quantum signal processing

    OpenAIRE

    Roussel, B.; Cabart, C.; Fève, G.; Thibierge, E.; Degiovanni, P.

    2016-01-01

    The recent developments of electron quantum optics in quantum Hall edge channels have given us new ways to probe the behavior of electrons in quantum conductors. It has brought new quantities called electronic coherences under the spotlight. In this paper, we explore the relations between electron quantum optics and signal processing through a global review of the various methods for accessing single- and two-electron coherences in electron quantum optics. We interpret electron quantum optics...

  8. Electric fields, electron production, and electron motion at the stripper foil in the Los Alamos Proton Storage Ring

    International Nuclear Information System (INIS)

    Plum, M.

    1995-01-01

    The beam instability at the Los Alamos Proton Storage Ring (PSR) most likely involves coupled oscillations between electrons and protons. For this instability to occur, there must be a strong source of electrons. Investigation of the various sources of electrons in the PSR had begun. Copious electron production is expected in the injection section because this section contains the stripper foil. This foil is mounted near the center of the beam pipe, and both circulating and injected protons pass through it, thus allowing ample opportunity for electron production. This paper discusses various mechanisms for electron production, beam-induced electric fields, and electron motion in the vicinity of the foil

  9. Extracellular Electron Transport Coupling Biogeochemical Processes Centimeters

    DEFF Research Database (Denmark)

    Risgaard-Petersen, Nils; Fossing, Henrik; Christensen, Peter Bondo

    2010-01-01

    of the oxygen uptake in laboratory incubations of initially homogenized and stabilized sediment. Using microsensors and process rate measurements we further investigated the effect of the electric currents on sediment biogeochemistry. Dissolved sulfide readily donated electrons to the networks and could...... confirmed the depth range of the electric communication and indicated donation of electrons directly from organotrophic bacteria. The separation of oxidation and reduction processes created steep pH gradients eventually causing carbonate precipitation at the surface. The results indicate that electron...... exchanging organisms have major biogeochemical importance as they allow widely separated electron donors and acceptors to react with one another....

  10. Electronic processes in organic electronics bridging nanostructure, electronic states and device properties

    CERN Document Server

    Kudo, Kazuhiro; Nakayama, Takashi; Ueno, Nobuo

    2015-01-01

    The book covers a variety of studies of organic semiconductors, from fundamental electronic states to device applications, including theoretical studies. Furthermore, innovative experimental techniques, e.g., ultrahigh sensitivity photoelectron spectroscopy, photoelectron yield spectroscopy, spin-resolved scanning tunneling microscopy (STM), and a material processing method with optical-vortex and polarization-vortex lasers, are introduced. As this book is intended to serve as a textbook for a graduate level course or as reference material for researchers in organic electronics and nanoscience from electronic states, fundamental science that is necessary to understand the research is described. It does not duplicate the books already written on organic electronics, but focuses mainly on electronic properties that arise from the nature of organic semiconductors (molecular solids). The new experimental methods introduced in this book are applicable to various materials (e.g., metals, inorganic and organic mater...

  11. Electronics manufacturing and assembly in Japan

    Science.gov (United States)

    Kukowski, John A.; Boulton, William R.

    1995-02-01

    In the consumer electronics industry, precision processing technology is the basis for enhancing product functions and for minimizing components and end products. Throughout Japan, manufacturing technology is seen as critical to the production and assembly of advanced products. While its population has increased less than 30 percent over twenty-five years, Japan's gross national product has increase thirtyfold; this growth has resulted in large part from rapid replacement of manual operations with innovative, high-speed, large-scale, continuously running, complex machines that process a growing number of miniaturized components. The JTEC panel found that introduction of next-generation electronics products in Japan goes hand-in-hand with introduction of new and improved production equipment. In the panel's judgment, Japan's advanced process technologies and equipment development and its highly automated factories are crucial elements of its domination of the consumer electronics marketplace - and Japan's expertise in manufacturing consumer electronics products gives it potentially unapproachable process expertise in all electronics markets.

  12. Novel production techniques of radioisotopes using electron accelerators

    Science.gov (United States)

    Lowe, Daniel Robert

    Non-traditional radioisotope production techniques using a compact, high power linear electron accelerator have been demonstrated and characterized for the production of 18F, 47Sc, 147 Pm, and 99mTc from a variety of target candidates. These isotopes are used extensively in the medical field as diagnostic and therapy radioisotopes, as well as the space industry as RTG's. Primary focus was placed on 99mTc as it constitutes approximately 80% of all diagnostic procedures in the medical community that use radioactive tracers. It was also the prime focus due to recent events at the Chalk River nuclear reactor, which caused global shortages of this isotope a few years ago. A Varian K15 LINAC was first used to show proof of principle in Las Vegas. Various samples were then taken to the Idaho Accelerator Center where they were activated using an electron LINAC capable of electron energies from 4 to 25 MeV at a beam power of approximately 1 kW. Production rates, cross sections, and viability studies were then performed and conducted to assess the effectiveness of the candidate target and the maximum production rate for each radioisotope. Production rates for 18F from lithium fluoride salts were shown to be ideal at 21MeV, namely 1.7 Ci per kg of LiF salt, per kW of beam current, per 10 hour irradiation time. As the typical hospital consumption of 18F is around 500 mCi per day, it is clear that a large amount of 18F can be made from a small (300 gram) sample of LiF salt. However, since there is no current separation process for 18F from 19F, the viability of this technique is limited until a separations technique is developed. Furthermore, the calculated cross section for this reaction is in good agreement with literature, which supports the techniques for the isotopes mentioned below. Production rates for 47Sc from vanadium oxide targets were shown to be a maximum at 25 MeV with a production rate of 2 mCi per day, assuming a 2 kW beam and a 10 kg target. While this

  13. Integrated control system for electron beam processes

    Science.gov (United States)

    Koleva, L.; Koleva, E.; Batchkova, I.; Mladenov, G.

    2018-03-01

    The ISO/IEC 62264 standard is widely used for integration of the business systems of a manufacturer with the corresponding manufacturing control systems based on hierarchical equipment models, functional data and manufacturing operations activity models. In order to achieve the integration of control systems, formal object communication models must be developed, together with manufacturing operations activity models, which coordinate the integration between different levels of control. In this article, the development of integrated control system for electron beam welding process is presented as part of a fully integrated control system of an electron beam plant, including also other additional processes: surface modification, electron beam evaporation, selective melting and electron beam diagnostics.

  14. Potential to Improve the Competitiveness of China's Electronic and Mechanical Products Exports

    Institute of Scientific and Technical Information of China (English)

    Wei Hao; Wang Xi; Wang Luxi

    2010-01-01

    @@ Since launching the reform and opening policy,and particularly since the mid-1990s with accelerating industrialization processes and further policy changes,the electronics and mechanical industry in China has made huge strides in production and foreign trade value,now accounting for approximately half of total exports from China and becoming a pillar industry of China's foreign trade.

  15. Polyelectrolytes processing at pilot scale level by electron beam irradiation

    International Nuclear Information System (INIS)

    Martin, D.; Cirstea, E.; Craciun, G.; Ighigeanu, D.; Marin, Gheorghe G.

    2002-01-01

    Three years of research, combined with engineering activities, have culminated in the development of a new method of electron beam processing applicable up to the pilot scale level, namely, the polyelectrolytes (acrylamide - acrylic acid copolymers) electron beam processing. This new radiation processing method has been achieved by bilateral co-operation between the National Institute for Laser, Plasma and Radiation Physics (NILPRP) and the Electrical Design and Research Institute, EDRI - Bucharest. The polyelectrolytes electron beam (EB) processing was put in operation at EDRI, where, recently, an industrial electron accelerator of 2 MeV and 20 kW, manufactured by Institute of Nuclear Physics, Novosibirsk, Russia was installed in a specially designed irradiation facility. Automatic start-up via computer control makes it compatible with industrial processing. According to the first conclusions, which resulted from our experimental research with regard to acrylamide - acrylic acid copolymers production by EB irradiation, the proper physical and chemical characteristics can be well controlled by chemical composition to be treated and by suitable adjustment of absorbed dose and absorbed dose rate. So, it was possible to obtain a very large area of characteristics and therefore a large area of applications. The conversion coefficient is very high (> 98%) and concentration of the residual monomer is under 0.05%. The tests applied to some wastewaters from the vegetable oil plants demonstrated that the fatty substances, matters in suspension, chemical oxygen demand and biological oxygen demand over 5 days were much reduced, in comparison with classical treatment. Also, sedimentation time was around four times smaller and sediment volume was 60% smaller than the values obtained in case of classical treatment. The necessary EB absorbed dose for the acrylamide - acrylic acid aqueous solution polymerization, established by optimization of chemical composition and irradiation

  16. MATHEMATICAL МODELLING OF SELECTING INFORMATIVE FEATURES FOR ANALYZING THE LIFE CYCLE PROCESSES OF RADIO-ELECTRONIC MEANS

    Directory of Open Access Journals (Sweden)

    Николай Григорьевич Стародубцев

    2017-09-01

    Full Text Available The subject of the study are methods and models for extracting information about the processes of the life cycle of radio electronic means at the design, production and operation stages. The goal is to develop the fundamentals of the theory of holistic monitoring of the life cycle of radio electronic means at the stages of their design, production and operation, in particular the development of information models for monitoring life cycle indicators in the production of radio electronic means. The attainment of this goal is achieved by solving such problems: research and development of a methodology for solving the problems of selecting informative features characterizing the state of the life cycle of radio electronic means; choice of informative features characterizing the state of the life cycle processes of radio electronic means; identification of the state of the life cycle processes of radio electronic means. To solve these problems, general scientific methods were used: the main provisions of functional analysis, nonequilibrium thermodynamics, estimation and prediction of random processes, optimization methods, pattern recognition. The following results are obtained. Methods for solving the problems of selecting informative features for monitoring the life cycle of radioelectronic facilities are developed by classifying the states of radioelectronic means and the processes of LC in the space of characteristics, each of which has a certain significance, which allowed finding a complex criterion and formalizing the selection procedures. When the number of a priori data is insufficient for a correct classification, heuristic methods of selection according to the criteria for using basic prototypes and information priorities are proposed. Conclusions. The solution of the problem of mathematical modeling of the efficiency functions of the processes of the life cycle of radioelectronic facilities and the choice of informative features for

  17. HMI Data Processing and Electronics Departmenmt. Scientific report 1984

    International Nuclear Information System (INIS)

    1985-01-01

    The Data Processing and Electronics Department carries out application-centered R+D work in the fields of general and process-related data processing, digital and analog measuring systems, and electronic elements. As part of the HMI infrastructure, the Department carries out central data processing and electronics functions. The R+D activities of the Department and its infrastructural tasks were carried out in seven Working Groups and one Project Group: Computer systems; Mathematics and graphical data processing; Software developments; Process computer systems, hardware; Nuclear electronics, measuring and control systems; Research on structural elements and irradiation testing; Computer center and cooperation in the 'Central Project Leader Group of the German Research Network' (DFN). (orig./RB) [de

  18. Processing of food and agricultural commodities with electron beam from microtron

    International Nuclear Information System (INIS)

    Sharma, Arun; Behere, Arun; Jadhav, S.S.; Bongirwar, D.R.; Kaul, Ahinsa; Soni, H.C.; Ganesh, S.

    2001-01-01

    A microtron machine source installed by the Centre for Advanced Technology (CAT), Indore, at Mangalore University, was used in the study. The machine was operated at a beam power of 1.8 W, beam energy of 8.6 MeV, and a beam current of 20 mA. After initial standardization, the irradiation of commodities was carried out. The doses employed were 0.06 kGy for onion, 0.10 kGy for potato, 0.25 kGy for rawa, and 8 kGy for spices. The desired dose was delivered by exposing the samples from the two opposite sides of the box. The microbial load in spice samples was determined immediately after the experiment, as well as after six months of storage at the ambient temperature (26±2 degC). Onion and potato samples were stored for a six months period both at ambient temperature and 15 degC for observing the effect of electron beam irradiation on sprouting in these commodities. Rawa samples were stored at ambient temperature for observing the effect of electron beam irradiation on insect disinfestation. The electron beam irradiation at the recommended doses was found to be as effective as gamma radiation in bringing down the microbial load of the tested spices to the desired level, disinfestations of rawa, and inhibition of sprouting in onion. In the case of potato even four-side irradiation of the product box did not inhibit the sprouting completely. This indicated the necessity of standardization of machine parameters for uniform dose distribution in the product box for each commodity. These lab-scale studies showed that electron beam could in principle be used for processing of various food products after standardizing the machine parameters and ensuring uniform dose distribution in the product. Use of this technology on commercial scale would need standardization on larger machines

  19. Electron capture and transfer-ionization processes in {sup 4}He{sup 2+}+Ar collision at 12.5 keV amu{sup -1}

    Energy Technology Data Exchange (ETDEWEB)

    Moretto-Capelle, P.; Bordenave-Montesquieu, D.; Bordenave-Montesquieu, A.; Benhenni, M. [Laboratoire Collisions, Agregats, Reactivite, IRSAMC, UMR 5589 CNRS and Universite Paul Sabatier, 31062 Toulouse Cedex (France)

    1998-05-14

    Electron emission in the {sup 4}He{sup 2+}+Ar collisional system has been investigated at 35 deg. and 12.5 keV amu{sup -1} collision velocity, in coincidence with the recoil target ion charges. Direct single ionization is found to be negligible with respect to single-electron capture. Contributions of transfer-ionization processes are stressed in the production of Ar{sup 2+} to Ar{sup 4+} ions; those of direct ionization, double excitation of the target and double capture into autoionization states of helium are instead found to be much less probable. Among the two-electron processes which explain the formation of Ar{sup 2+} ions, the double capture into autoionizing states of helium remains unimportant with respect to a pure transfer ionization process (one captured electron plus one ionized electron). The measured predominant production of Ar{sup 3+} ions illustrates the role played by three-electron processes, mainly a two-electron transfer accompanied by a single-target ionization. Finally, the formation of Ar{sup 4+} ions is connected with more complex transfer ionization processes. A qualitative analysis of these results is made within the quasimolecular approach. (author). Letter-to-the-editor.

  20. Accelerated electron beams for production of heat shrinkable polymeric products and PTFE wastes recovery

    Energy Technology Data Exchange (ETDEWEB)

    Marin, Gh; Marcuta, M [SC ICPE Electrostatica SA, Bucharest (Romania); Jipa, S [' Valahia' University, Targoviste (Romania)

    2001-07-01

    Radiation curing, i.e. curing under the action of ionizing radiation (predominantly electron beams) is one of the most important areas of radiation processing. There are many practical applications of electron beam processing. Our research activity was focused on two of them: radiation cross-linking of polymeric materials; recovery of PTFE wastes. For this purpose we have used: an industrial electron accelerator ILU-6 with 2.5 MeV electron energy and 40kW beam power; equipment for the transport of materials under the electron beam; and a technologic line with typical equipment for the expansion process.

  1. Accelerated electron beams for production of heat shrinkable polymeric products and PTFE wastes recovery

    International Nuclear Information System (INIS)

    Marin, Gh.; Marcuta, M.; Jipa, S.

    2001-01-01

    Radiation curing, i.e. curing under the action of ionizing radiation (predominantly electron beams) is one of the most important areas of radiation processing. There are many practical applications of electron beam processing. Our research activity was focused on two of them: radiation cross-linking of polymeric materials; recovery of PTFE wastes. For this purpose we have used: an industrial electron accelerator ILU-6 with 2.5 MeV electron energy and 40kW beam power; equipment for the transport of materials under the electron beam; and a technologic line with typical equipment for the expansion process

  2. Advanced fusion welding processes, solid state joining and a successful marriage. [production of aerospace structures

    Science.gov (United States)

    Miller, F. R.

    1972-01-01

    Joining processes for aerospace systems combine fusion welding and solid state joining during production of metal structures. Detailed characteristics of electron beam welding, plasma arc welding, diffusion welding, inertia welding and weldbond processes are discussed.

  3. Electron collision data for polyatomic molecules in plasma processing and environmental processes

    International Nuclear Information System (INIS)

    Tanaka, H.; Kitajima, M.; Cho, H.

    2002-01-01

    The experimental studies for electron-polyatomic molecule collision are reviewed in connection with the plasma processing and environmental issues. Recent developments in electron scattering experiments on the differential cross section measurements for various processes such as elastic scattering, vibrational, and electronic excitations are summarized from high to low energy regions (1-100 eV). The need for cross-section data for a broad variety of molecular species is also discussed because there is an urgent need to develop an international program to provide the scientific and technological communities with authoritative cross sections for electron-molecule interactions

  4. Electron beam additive manufacturing with wire - Analysis of the process

    Science.gov (United States)

    Weglowski, Marek St.; Błacha, Sylwester; Pilarczyk, Jan; Dutkiewicz, Jan; Rogal, Łukasz

    2018-05-01

    The electron beam additive manufacturing process with wire is a part of global trend to find fast and efficient methods for producing complex shapes elements from costly metal alloys such as stainless steels, nickel alloys, titanium alloys etc. whose production by other conventional technologies is unprofitable or technically impossible. Demand for additive manufacturing is linked to the development of new technologies in the automotive, aerospace and machinery industries. The aim of the presented work was to carried out research on electron beam additive manufacturing with a wire as a deposited (filler) material. The scope of the work was to investigate the influence of selected technological parameters such as: wire feed rate, beam current, travelling speed, acceleration voltage on stability of the deposition process and geometric dimensions of the padding welds. The research revealed that, at low beam currents, the deposition process is unstable. The padding weld reinforcement is non-uniform. Irregularity of the width, height and straightness of the padding welds can be observed. At too high acceleration voltage and beam current, burn-through of plate and excess penetration weld can be revealed. The achieved results and gained knowledge allowed to produce, based on EBAM with wire process, whole structure from stainless steel.

  5. Ion beam processing of advanced electronic materials

    International Nuclear Information System (INIS)

    Cheung, N.W.; Marwick, A.D.; Roberto, J.B.

    1989-01-01

    This report contains research programs discussed at the materials research society symposia on ion beam processing of advanced electronic materials. Major topics include: shallow implantation and solid-phase epitaxy; damage effects; focused ion beams; MeV implantation; high-dose implantation; implantation in III-V materials and multilayers; and implantation in electronic materials. Individual projects are processed separately for the data bases

  6. Design of production process main shaft process with lean manufacturing to improve productivity

    Science.gov (United States)

    Siregar, I.; Nasution, A. A.; Andayani, U.; Anizar; Syahputri, K.

    2018-02-01

    This object research is one of manufacturing companies that produce oil palm machinery parts. In the production process there is delay in the completion of the Main shaft order. Delays in the completion of the order indicate the low productivity of the company in terms of resource utilization. This study aimed to obtain a draft improvement of production processes that can improve productivity by identifying and eliminating activities that do not add value (non-value added activity). One approach that can be used to reduce and eliminate non-value added activity is Lean Manufacturing. This study focuses on the identification of non-value added activity with value stream mapping analysis tools, while the elimination of non-value added activity is done with tools 5 whys and implementation of pull demand system. Based on the research known that non-value added activity on the production process of the main shaft is 9,509.51 minutes of total lead time 10,804.59 minutes. This shows the level of efficiency (Process Cycle Efficiency) in the production process of the main shaft is still very low by 11.89%. Estimation results of improvement showed a decrease in total lead time became 4,355.08 minutes and greater process cycle efficiency that is equal to 29.73%, which indicates that the process was nearing the concept of lean production.

  7. Hydrogen production processes

    International Nuclear Information System (INIS)

    2003-01-01

    The goals of this first Gedepeon workshop on hydrogen production processes are: to stimulate the information exchange about research programs and research advances in the domain of hydrogen production processes, to indicate the domains of interest of these processes and the potentialities linked with the coupling of a nuclear reactor, to establish the actions of common interest for the CEA, the CNRS, and eventually EDF, that can be funded in the framework of the Gedepeon research group. This document gathers the slides of the 17 presentations given at this workshop and dealing with: the H 2 question and the international research programs (Lucchese P.); the CEA's research program (Lucchese P., Anzieu P.); processes based on the iodine/sulfur cycle: efficiency of a facility - flow-sheets, efficiencies, hard points (Borgard J.M.), R and D about the I/S cycle: Bunsen reaction (Colette S.), R and D about the I/S cycle: the HI/I 2 /H 2 O system (Doizi D.), demonstration loop/chemical engineering (Duhamet J.), materials and corrosion (Terlain A.); other processes under study: the Westinghouse cycle (Eysseric C.), other processes under study at the CEA (UT3, plasma,...) (Lemort F.), database about thermochemical cycles (Abanades S.), Zn/ZnO cycle (Broust F.), H 2 production by cracking, high temperature reforming with carbon trapping (Flamant G.), membrane technology (De Lamare J.); high-temperature electrolysis: SOFC used as electrolyzers (Grastien R.); generic aspects linked with hydrogen production: technical-economical evaluation of processes (Werkoff F.), thermodynamic tools (Neveu P.), the reactor-process coupling (Aujollet P.). (J.S.)

  8. Closed-Loop Process Control for Electron Beam Freeform Fabrication and Deposition Processes

    Science.gov (United States)

    Taminger, Karen M. (Inventor); Hafley, Robert A. (Inventor); Martin, Richard E. (Inventor); Hofmeister, William H. (Inventor)

    2013-01-01

    A closed-loop control method for an electron beam freeform fabrication (EBF(sup 3)) process includes detecting a feature of interest during the process using a sensor(s), continuously evaluating the feature of interest to determine, in real time, a change occurring therein, and automatically modifying control parameters to control the EBF(sup 3) process. An apparatus provides closed-loop control method of the process, and includes an electron gun for generating an electron beam, a wire feeder for feeding a wire toward a substrate, wherein the wire is melted and progressively deposited in layers onto the substrate, a sensor(s), and a host machine. The sensor(s) measure the feature of interest during the process, and the host machine continuously evaluates the feature of interest to determine, in real time, a change occurring therein. The host machine automatically modifies control parameters to the EBF(sup 3) apparatus to control the EBF(sup 3) process in a closed-loop manner.

  9. Production of hydrogen and deuterium negative ions in an electron cyclotron resonance driven plasma

    Energy Technology Data Exchange (ETDEWEB)

    Dougar-Jabon, V.D. [Industrial Univ. of Santander, Bucaramanga (Colombia)

    2001-04-01

    An electron cyclotron resonance source with driven plasma rings for hydrogen isotope ion production is studied. Extracted currents of positive and negative ions depending on gas pressure, microwave power value and extraction voltage are obtained. The study shows that the negative ion yield is an order of magnitude higher than the yield of positive particles when a driven ring is in contact with the surface of the plasma electrode. The production of negative ions of deuterium, D{sup -}, is close to the production of negative ions of light hydrogen isotope, H{sup -}. The comparison of the experimental data with the calculated ones shows that the most probable process of the H{sup -} and D{sup -} ion formation in the electron cyclotron driven plasma is dissociative attachment of electrons to molecules in high Rydberg states. For hydrogen ions and ions of deuterium, the negative current at a microwave power of 200 W through a 3-mm aperture and 8 kV extraction voltage are 4.7 mA and 3.1 mA respectively. (orig.)

  10. Production of hydrogen and deuterium negative ions in an electron cyclotron resonance driven plasma

    International Nuclear Information System (INIS)

    Dougar-Jabon, V.D.

    2001-01-01

    An electron cyclotron resonance source with driven plasma rings for hydrogen isotope ion production is studied. Extracted currents of positive and negative ions depending on gas pressure, microwave power value and extraction voltage are obtained. The study shows that the negative ion yield is an order of magnitude higher than the yield of positive particles when a driven ring is in contact with the surface of the plasma electrode. The production of negative ions of deuterium, D - , is close to the production of negative ions of light hydrogen isotope, H - . The comparison of the experimental data with the calculated ones shows that the most probable process of the H - and D - ion formation in the electron cyclotron driven plasma is dissociative attachment of electrons to molecules in high Rydberg states. For hydrogen ions and ions of deuterium, the negative current at a microwave power of 200 W through a 3-mm aperture and 8 kV extraction voltage are 4.7 mA and 3.1 mA respectively. (orig.)

  11. Shake-off processes at the electron transitions in atoms

    International Nuclear Information System (INIS)

    Matveev, V.I.; Parilis, Eh.S.

    1982-01-01

    Elementary processes in multielectron atoms - radiative and Auger transitions, photoionization and ionization by an electron impact etc. are usually followed by the relaxation of electron shells. The conditions under which such multielectron problem could be solved in the shake-off approximation are considered. The shake-off processes occurring. as a result of the electron transitions are described from the general point of view. The common characteristics and peculiar features of this type of excitation in comparison with the electron shake-off under nuclear transformations are pointed out. Several electron shake-off processes are considered, namely: radiative Auger effect, the transition ''two electrons-one photon'', dipole ionization, spectral line broadening, post collision interaction, Auger decay stimulated by collision with fast electrons, three-electron Auger transitions: double and half Auger effect. Their classification is given according to the type of the electron transition causing the shake-off process. The experimental data are presented and the methods of theoretical description are reviewed. Other similar effects, which could follow the transitions in electron shells are pointed out. The deduction of shake-off approximation is presented, and it is pointed out that this approach is analogous to the distorted waves approximation in the theory of scattering. It was shown that in atoms the shake-off approximation is a very effective method, which allows to obtain the probability of different electronic effects

  12. Production of heavy neutral MSSM Higgs boson in photon-electron colliders

    International Nuclear Information System (INIS)

    Mahmoud, I.S.H.

    2004-01-01

    The production of heavy neutral Higgs boson has been studied through all the possible three out states processes by using the minimal supersymmetric standard model (MSSM) in the photon - electron colliders. The Feynman diagrams have been drawing for each possible mode of the interaction. The total cross section as a function of center of mass energy has been calculated for each process. It has been found that, the most dominant process occurs when the heavy neutral Higgs boson (Hο) produces with e-neutrino (v e ) and the negative charged Higgs boson (H - ) at centre of mass energy -√s≡ 1430 GeV at a value of the order ≅ 1.0 x 10 -4 pbarn

  13. Production and Decay of Excited Electrons at the LHC

    CERN Document Server

    Cakir, O; Mehdiyev, R; Belyaev, A

    2004-01-01

    We study single production of excited electrons at the CERN LHC through contact interactions of fermions. Subsequent decays of excited electrons to ordinary electrons and light fermions via gauge and contact interactions are examined. The mass range accessible with the ATLAS detector is obtained.

  14. Electron beam processing of sugar cane bagasse to cellulose hydrolysis

    International Nuclear Information System (INIS)

    Ribeiro, Marcia A.; Cardoso, Vanessa M.; Mori, Manoel N.; Duarte, Celina L.

    2009-01-01

    Sugarcane bagasse has been considered as a substrate for single cell protein, animal feed, and renewable energy production. Sugarcane bagasse generally contain up to 45% glucose polymer cellulose, 40% hemicelluloses, and 20% lignin. Pure cellulose is readily depolymerised by radiation, but in biomass, the cellulose is intimately bonded with lignin, that protect it from radiation effects. The objective of this study is the evaluation of the electron beam irradiation as a pre-treatment to enzymatic hydrolysis of cellulose in order to facilitate its fermentation and improves the production of ethanol biofuel. Samples of sugarcane bagasse were obtained in sugar/ethanol Iracema Mill sited in Piracicaba, Brazil, and were irradiated using Radiation Dynamics Electron Beam Accelerator with 1.5 MeV energy and 37kW, in batch systems. The applied absorbed doses of the fist sampling, Bagasse A, were 20 kGy, 50 kGy, 100 kGy and 200 kGy. After the evaluation the preliminary obtained results, it was applied lower absorbed doses in the second assay: 5 kGy, 10 kGy, 20 kGy, 30 kGy, 50 kGy, 70 kGy, 100 kGy and 150 kGy. The electron beam processing took to changes in the sugarcane bagasse structure and composition, lignin and cellulose cleavage. The yield of enzymatic hydrolyzes of cellulose increase about 40 % with 30 kGy of absorbed dose. (author)

  15. Penning ionization processes studied by electron spectroscopy

    International Nuclear Information System (INIS)

    Yencha, A.J.

    1978-01-01

    The technique of measuring the kinetic energy of electrons ejected from atomic or molecular species as a result of collisional energy transfer between a metastable excited rare gas atom and an atom or molecule is known as Penning ionization spectroscopy. Like the analogous photoionization process of photoelectron spectroscopy, a considerable amount of information has been gained about the ionization potentials of numerous molecular systems. It is, in fact, through the combined analyses of photoelectron and Penning electron spectra that affords a probe of the particle-particle interactions that occur in the Penning process. In this paper a short survey of the phenomenon of Penning ionization, as studied by electron spectroscopy, will be presented as it pertains to the ionization processes of simple molecules by metastable excited atoms. (author)

  16. Electron beam processing of carbon fibre reinforced braided composites beams

    International Nuclear Information System (INIS)

    Halasz, L.; Zsigmond, B.; Czvikovszky, T.

    2002-01-01

    Complete text of publication follows. In this paper the possibility of producing a new type carbon fiber reinforced composite is examined by applying braiding, a well-known process of textile technology. The appearance of the new Hungarian carbon fiber with excellent mechanical properties in the market enables the development of newer type carbon fiber reinforced composites in the continuously widening range of engineering applications. Advanced hollow profiles, pipes and other composite products can be manufactured in continuous operation. A new way of composite production of this kind is the manufacturing of reinforcing structure by braiding technology producing a composite with sufficient mechanical properties from this cross directional fabric-like textile structure by impregnation. This manufacturing process can complete the variety of hollow products serving the same purpose as pultrusion or filament winding. This way a profile type framework element with a hollow cross section is manufactured having favorable mechanical properties. Owing to its small mass and high specific strength this product can be applied in dynamically loaded structures e.g. in the automotive industry. For crosslinking of the matrix the method of high-speed electron beam curing has been examined in order to reach continuous operation. The field of use and application of carbon fiber braided structures has a great chance especially in machine engineering and in the automotive industry. The main reason for this is that braiding processes are capable of producing structures having good mechanical properties at a low processing price. The mass of the composite load-bearing structure produced this way is one fifth of the steel product having similar geometry, and its specific mechanical properties are nearly as good as that of the most commonly applied semiproduct and structural component, the welded steel profile

  17. First high-temperature electronics products survey 2005.

    Energy Technology Data Exchange (ETDEWEB)

    Normann, Randy Allen

    2006-04-01

    On April 4-5, 2005, a High-Temperature Electronics Products Workshop was held. This workshop engaged a number of governmental and private industry organizations sharing a common interest in the development of commercially available, high-temperature electronics. One of the outcomes of this meeting was an agreement to conduct an industry survey of high-temperature applications. This report covers the basic results of this survey.

  18. Direction of CRT waste glass processing: Electronics recycling industry communication

    International Nuclear Information System (INIS)

    Mueller, Julia R.; Boehm, Michael W.; Drummond, Charles

    2012-01-01

    Highlights: ► Given a large flow rate of CRT glass ∼10% of the panel glass stream will be leaded. ► The supply of CRT waste glass exceeded demand in 2009. ► Recyclers should use UV-light to detect lead oxide during the separation process. ► Recycling market analysis techniques and results are given for CRT glass. ► Academic initiatives and the necessary expansion of novel product markets are discussed. - Abstract: Cathode Ray Tube, CRT, waste glass recycling has plagued glass manufacturers, electronics recyclers and electronics waste policy makers for decades because the total supply of waste glass exceeds demand, and the formulations of CRT glass are ill suited for most reuse options. The solutions are to separate the undesirable components (e.g. lead oxide) in the waste and create demand for new products. Achieving this is no simple feat, however, as there are many obstacles: limited knowledge of waste glass composition; limited automation in the recycling process; transportation of recycled material; and a weak and underdeveloped market. Thus one of the main goals of this paper is to advise electronic glass recyclers on how to best manage a diverse supply of glass waste and successfully market to end users. Further, this paper offers future directions for academic and industry research. To develop the recommendations offered here, a combination of approaches were used: (1) a thorough study of historic trends in CRT glass chemistry; (2) bulk glass collection and analysis of cullet from a large-scale glass recycler; (3) conversations with industry members and a review of potential applications; and (4) evaluation of the economic viability of specific uses for recycled CRT glass. If academia and industry can solve these problems (for example by creating a database of composition organized by manufacturer and glass source) then the reuse of CRT glass can be increased.

  19. Models and standards for production systems integration: Technological process and documents

    Directory of Open Access Journals (Sweden)

    Lečić Danica

    2005-01-01

    Full Text Available Electronic business demands from production companies to collaborate with customers, suppliers and end users and start electronic manufacturing. To achieve this goal companies have to integrate their subsystems (Application to Application-A2A and they have to collaborate with their business partners (Business to Business - B2B. For this purpose models and unique standards for integration are necessary. In this paper, ebXML and OAGI specifications have been used to present metamodel process by UML class diagram and standardized model of document Working Order for technological process in the form of OAGI BOD XML document. Based on it, from an example, model of technological process is presented by activity diagram (DA in XML form and an appearance of document Working Order. Just as well, rules of transformation DA to XML are presented.

  20. Managing Product Usability: How companies deal with usability in the development of electronic consumer products

    OpenAIRE

    Van Kuijk, J.I.

    2010-01-01

    Problem statement: Even though there is a large amount of methods for user-centred design, the usability of electronic consumer products (e.g., portable music players, washing machines and mobile phones) is under pressure. Usability is the extent to which a product can be used by specified users to achieve specified goals with effectiveness, efficiency and satisfaction in a specified context of use. That the usability of electronic consumer products is under pressure is attributed to an incre...

  1. Failure of single electron descriptions of molecular orbital collision processes. [Electron promotion mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Elston, S.B.

    1978-01-01

    Inner-shell excitation occurring in low and moderate (keV range) energy collisions between light atomic and ionic systems is frequently describable in terms of molecular promotion mechanisms, which were extensively explored both theoretically and experimentally. The bulk of such studies have concentrated on processes understandable through the use of single- and independent-electron models. Nonetheless, it is possible to find cases of inner-shell excitation in relatively simple collision systems which involve nearly simultaneous multiple-electron transitions and transitions induced by inherently two-electron interactions. Evidence for these many- and nonindependent-electron phenomena in inner-shell excitation processes and the importance of considering such effects in the interpretation of collisionally induced excitation spectra is discussed. 13 references.

  2. Electrochemical/chemical oxidation of bisphenol A in a four-electron/two-proton process in aprotic organic solvents

    International Nuclear Information System (INIS)

    Chan, Ya Yun; Yue, Yanni; Li, Yongxin; Webster, Richard D.

    2013-01-01

    Graphical abstract: - Highlights: • Bisphenol A undergoes a chemically irreversible voltammetric oxidation process. • Chemical oxidation was performed to overcome adsorption effects that cause electrode fouling. • A new product was isolated from chemical oxidation with 4 mol equiv. of the one-electron oxidant, NO + . • The oxidative mechanism was proposed to be a four-electron/two-proton process. - Abstract: The electrochemical behavior of bisphenol A (BPA) was examined using cyclic voltammetry, bulk electrolysis and chemical oxidation in aprotic organic solvents. It was found that BPA undergoes a chemically irreversible voltammetric oxidation process to form compounds that cannot be electrochemically converted back to the starting materials on the voltammetric timescale. To overcome the effects of electrode fouling during controlled potential electrolysis experiments, NO + was used as a one-electron chemical oxidant. A new product, hydroxylated bisdienone was isolated from the chemical oxidation of BPA with 4 mol equiv of NO + SbF 6 − in low water content CH 3 CN. The structure of the cation intermediate species was deduced and it was proposed that BPA is oxidized in a four-electron/two-proton process to form a relatively unstable dication which reacts quickly in the presence of water in acetonitrile (in a mechanism that is similar to phenols in general). However, as the water content of the solvent increased it was found that the chemical oxidation mechanism produced a nitration product in high yield. The findings from this study provide useful insights into the reactions that can occur during oxidative metabolism of BPA and highlight the possibility of the role of a bisdienone cation as a reactive metabolite in biological systems

  3. Managing innovation in SMEs product development in small Irish electronics firms

    CERN Document Server

    Ledwith, Ann; Bessant, John

    2009-01-01

    Innovating and developing new products is critical for the survival and growth of any small firm but particularly for technology based firms. This study of NPD at small Irish electronics firms makes two main contributions to knowledge. Firstly, the management of NPD at small firms is found to be different from that at large firms in several respects including; NPD resources and expenditure, organising for NPD, NPD process proficiency, marketing and technical skills and proficiency, R&D/marke...

  4. PROPOSAL OF SPATIAL OPTIMIZATION OF PRODUCTION PROCESS IN PROCESS DESIGNER

    Directory of Open Access Journals (Sweden)

    Peter Malega

    2015-03-01

    Full Text Available This contribution is focused on optimizing the use of space in the production process using software Process Designer. The aim of this contribution is to suggest possible improvements to the existing layout of the selected production process. Production process was analysed in terms of inputs, outputs and course of actions. Nowadays there are many software solutions aimed at optimizing the use of space. One of these software products is the Process Designer, which belongs to the product line Tecnomatix. This software is primarily aimed at production planning. With Process Designer is possible to design the layout of production and subsequently to analyse the production or to change according to the current needs of the company.

  5. Convoy electron production by heavy ions in solids

    International Nuclear Information System (INIS)

    Sellin, I.A.

    1984-01-01

    The term convoy electron refers to those electrons ejected in fast ion-atom and ion-solid collisions closely matched in vector velocity to that of the incident heavy particles responsible for their ejection. Similarities and differences among electrons ejected into such states through binary electron capture to continuum and electron loss to continuum processes in single ion-atom encounters are compared and contrasted to more complex ejection processes occurring in solid targets. Puzzles posed by the apparent strong projectile Z dependence but weak emergent ion charge dependence of the yield in the case of solid targets are reviewed. Very recent progress in resolving these puzzles has been made by recent observations that the apparent mean free path for electron scattering out of the forward direction within the target is observed to be an order of magnitude greater than that for free electrons of equal velocity provided the projectile charge is high. 13 references, 2 figures, 1 table

  6. Work-related musculoskeletal disorders (WMDs) risk assessment at core assembly production of electronic components manufacturing company

    Science.gov (United States)

    Yahya, N. M.; Zahid, M. N. O.

    2018-03-01

    This study conducted to assess the work-related musculoskeletal disorders (WMDs) among the workers at core assembly production in an electronic components manufacturing company located in Pekan, Pahang, Malaysia. The study is to identify the WMDs risk factor and risk level. A set of questionnaires survey based on modified Nordic Musculoskeletal Disorder Questionnaires have been distributed to respective workers to acquire the WMDs risk factor identification. Then, postural analysis was conducted in order to measure the respective WMDs risk level. The analysis were based on two ergonomics assessment tools; Rapid Upper Limb Assessment (RULA) and Rapid Entire Body Assessment (REBA). The study found that 30 respondents out of 36 respondents suffered from WMDs especially at shoulder, wrists and lower back. The WMDs risk have been identified from unloading process, pressing process and winding process. In term of the WMDs risk level, REBA and RULA assessment tools have indicated high risk level to unloading and pressing process. Thus, this study had established the WMDs risk factor and risk level of core assembly production in an electronic components manufacturing company at Malaysia environment.

  7. Photons emission processes in electron scattering

    International Nuclear Information System (INIS)

    Soto Vargas, C.W.

    1996-01-01

    The investigations involving the scattering sections arising in virtual an real photon emission processes of electron and positron scattering by an atomic nucleus, have the need for thorough and complete calculations of the virtual photon spectrum and then introduce the distorted wave formulation, which is mathematically involved an numerically elaborated, but accessible to its use in experimental electron scattering facilities. (author) [es

  8. Electron beam induced purification of dilute off gases from industrial processes and automobile tunnels

    International Nuclear Information System (INIS)

    Paur, H.-R.; Maetzing, H.

    1993-01-01

    The electron beam process has proved to be an efficient method for the removal of inorganic pollutants from flue gas. Since it simulates natural processes which occur in the atmospheric photochemistry, it appeared attractive to investigate the potential of the e-beam process to clean off-gases which contain hydrocarbon and inorganic trace components. Such emissions arise from industrial processes and from automobile tunnels. Commercial solvents were vaporized in air and irradiated with energetic electrons (300 keV). CO, CO 2 and aerosol particles were found as products and were determined quantitatively. The aerosol particles can be collected by a gravel bed filter and can be removed by combustion or biological degradation. From experiments and model calculations it was found that the e-beam process is a very economic tool to remove hydrocarbons from large off-gas volumes at initial concentrations of 50-100 mg C/m 3 , and that NO x can be removed very efficiently from tunnel off-gas. (author)

  9. A study of success and failure in product innovation with specific reference to the South African electronics industry

    OpenAIRE

    2014-01-01

    M.Com. (Business Management) The innovation and marketing of new products has become increasingly important for the profitability of companies. Developing new products is a risky endeavour. Research shows that new product development often fails. As such, it is very important that product development managers are aware of the factors that can cause product failure. This research studied the product innovation process in the South African electronics industry. The objective of the study was...

  10. Comparison of biohydrogen production processes

    International Nuclear Information System (INIS)

    Manish, S.; Banerjee, Rangan

    2008-01-01

    For hydrogen to be a viable energy carrier, it is important to develop hydrogen generation routes that are renewable like biohydrogen. Hydrogen can be produced biologically by biophotolysis (direct and indirect), photo-fermentation and dark-fermentation or by combination of these processes (such as integration of dark- and photo-fermentation (two-stage process), or biocatalyzed electrolysis, etc.). However, production of hydrogen by these methods at commercial level is not reported in the literature and challenges regarding the process scale up remain. In this scenario net energy analysis (NEA) can provide a tool for establishing the viability of different methods before scaling up. The analysis can also be used to set targets for various process and design parameters for bio-hydrogen production. In this paper, four biohydrogen production processes (dark-fermentation, photo-fermentation, two-stage process and biocatalyzed electrolysis) utilizing sugarcane juice as the carbon source, are compared with base case method steam methane reforming (SMR) on the basis of net energy ratio, energy efficiency and greenhouse gas (GHG) emissions. It was found that when by-products are not considered, the efficiencies of biological hydrogen processes are lower than that of SMR. However, these processes reduce GHG emissions and non-renewable energy use by 57-73% and 65-79%, respectively, as compared to the SMR process. Efficiencies of biohydrogen processes increase significantly when by-products are considered hence by-products removal and utilization is an important issue in biological hydrogen production. (author)

  11. An Investigation of Sintering Parameters on Titanium Powder for Electron Beam Melting Processing Optimization.

    Science.gov (United States)

    Drescher, Philipp; Sarhan, Mohamed; Seitz, Hermann

    2016-12-01

    Selective electron beam melting (SEBM) is a relatively new additive manufacturing technology for metallic materials. Specific to this technology is the sintering of the metal powder prior to the melting process. The sintering process has disadvantages for post-processing. The post-processing of parts produced by SEBM typically involves the removal of semi-sintered powder through the use of a powder blasting system. Furthermore, the sintering of large areas before melting decreases productivity. Current investigations are aimed at improving the sintering process in order to achieve better productivity, geometric accuracy, and resolution. In this study, the focus lies on the modification of the sintering process. In order to investigate and improve the sintering process, highly porous titanium test specimens with various scan speeds were built. The aim of this study was to decrease build time with comparable mechanical properties of the components and to remove the residual powder more easily after a build. By only sintering the area in which the melt pool for the components is created, an average productivity improvement of approx. 20% was achieved. Tensile tests were carried out, and the measured mechanical properties show comparatively or slightly improved values compared with the reference.

  12. Electron transfer and decay processes of highly charged iodine ions

    International Nuclear Information System (INIS)

    Sakaue, Hiroyuki A.; Danjo, Atsunori; Hosaka, Kazumoto

    2005-01-01

    In the present experimental work we have investigated multi-electron transfer processes in I q+ (q=10, 15, 20 and 25) + Ne, Ar, Kr and Xe collisions at 1.5q keV energy. The branching ratios between Auger and radiative decay channels have been measured in decay processes of multiply excited states formed by multi-electron transfer collisions. It has been shown that, in all the multi-electron transfer processes investigated, the Auger decays are far dominant over the radiative decay processes and the branching ratios are clearly characterized by the average principal quantum number of the initial excited states of projectile ions. We could express the branching ratios in high Rydberg states formed in multi-electron transfer processes by using the decay probability of one Auger electron emission. (author)

  13. K-shell-hole production, multiple-hole production, charge transfer, and antisymmetry

    International Nuclear Information System (INIS)

    Reading, J.F.; Ford, A.L.

    1980-01-01

    In calculating K-shell-hole production when an ion collides with an atom, account must be taken of the fact that processes involving electrons other than the K-shell electron can occur. For example, after making a K-shell hole an L-shell electron may be knocked into it, or an L-shell vacancy may be produced and the K-shell electron promoted to that vacancy in the ''Fermi sea'' of the target-atom orbitals. In 1973 a theorem was proved by one of the present authors demonstrating that all these multielectron processes cancel in an independent-particle model for the target atom. In this paper it is shown that the same thing occurs for hole production by charge transfer to the ion. The authors demonstrate that multihole production does not obey this simple rule and that the probability for multihole production is not the product of independent single-electron probabilities. The correct expressions that should be used for these processes are given, together with new results for charge-transfer processes accompanied by hole production

  14. Martian Dust Devil Electron Avalanche Process and Associated Electrochemistry

    Science.gov (United States)

    Jackson, Telana L.; Farrell, William M.; Delory, Gregory T.; Nithianandam, Jeyasingh

    2010-01-01

    Mars' dynamic atmosphere displays localized dust devils and larger, global dust storms. Based on terrestrial analog studies, electrostatic modeling, and laboratory work these features will contain large electrostatic fields formed via triboelectric processes. In the low-pressure Martian atmosphere, these fields may create an electron avalanche and collisional plasma due to an increase in electron density driven by the internal electrical forces. To test the hypothesis that an electron avalanche is sustained under these conditions, a self-consistent atmospheric process model is created including electron impact ionization sources and electron losses via dust absorption, electron dissociation attachment, and electron/ion recombination. This new model is called the Dust Devil Electron Avalanche Model (DDEAM). This model solves simultaneously nine continuity equations describing the evolution of the primary gaseous chemical species involved in the electrochemistry. DDEAM monitors the evolution of the electrons and primary gas constituents, including electron/water interactions. We especially focus on electron dynamics and follow the electrons as they evolve in the E field driven collisional gas. When sources and losses are self-consistently included in the electron continuity equation, the electron density grows exponentially with increasing electric field, reaching an equilibrium that forms a sustained time-stable collisional plasma. However, the character of this plasma differs depending upon the assumed growth rate saturation process (chemical saturation versus space charge). DDEAM also shows the possibility of the loss of atmospheric methane as a function of electric field due to electron dissociative attachment of the hydrocarbon. The methane destruction rates are presented and can be included in other larger atmospheric models.

  15. Secondary electron emission from plasma processed accelerating cavity grade niobium

    Science.gov (United States)

    Basovic, Milos

    Advances in the particle accelerator technology have enabled numerous fundamental discoveries in 20th century physics. Extensive interdisciplinary research has always supported further development of accelerator technology in efforts of reaching each new energy frontier. Accelerating cavities, which are used to transfer energy to accelerated charged particles, have been one of the main focuses of research and development in the particle accelerator field. Over the last fifty years, in the race to break energy barriers, there has been constant improvement of the maximum stable accelerating field achieved in accelerating cavities. Every increase in the maximum attainable accelerating fields allowed for higher energy upgrades of existing accelerators and more compact designs of new accelerators. Each new and improved technology was faced with ever emerging limiting factors. With the standard high accelerating gradients of more than 25 MV/m, free electrons inside the cavities get accelerated by the field, gaining enough energy to produce more electrons in their interactions with the walls of the cavity. The electron production is exponential and the electron energy transfer to the walls of a cavity can trigger detrimental processes, limiting the performance of the cavity. The root cause of the free electron number gain is a phenomenon called Secondary Electron Emission (SEE). Even though the phenomenon has been known and studied over a century, there are still no effective means of controlling it. The ratio between the electrons emitted from the surface and the impacting electrons is defined as the Secondary Electron Yield (SEY). A SEY ratio larger than 1 designates an increase in the total number of electrons. In the design of accelerator cavities, the goal is to reduce the SEY to be as low as possible using any form of surface manipulation. In this dissertation, an experimental setup was developed and used to study the SEY of various sample surfaces that were treated

  16. Secondary Electron Emission from Plasma Processed Accelerating Cavity Grade Niobium

    Energy Technology Data Exchange (ETDEWEB)

    Basovic, Milos [Old Dominion Univ., Norfolk, VA (United States)

    2016-05-01

    Advances in the particle accelerator technology have enabled numerous fundamental discoveries in 20th century physics. Extensive interdisciplinary research has always supported further development of accelerator technology in efforts of reaching each new energy frontier. Accelerating cavities, which are used to transfer energy to accelerated charged particles, have been one of the main focuses of research and development in the particle accelerator field. Over the last fifty years, in the race to break energy barriers, there has been constant improvement of the maximum stable accelerating field achieved in accelerating cavities. Every increase in the maximum attainable accelerating fields allowed for higher energy upgrades of existing accelerators and more compact designs of new accelerators. Each new and improved technology was faced with ever emerging limiting factors. With the standard high accelerating gradients of more than 25 MV/m, free electrons inside the cavities get accelerated by the field, gaining enough energy to produce more electrons in their interactions with the walls of the cavity. The electron production is exponential and the electron energy transfer to the walls of a cavity can trigger detrimental processes, limiting the performance of the cavity. The root cause of the free electron number gain is a phenomenon called Secondary Electron Emission (SEE). Even though the phenomenon has been known and studied over a century, there are still no effective means of controlling it. The ratio between the electrons emitted from the surface and the impacting electrons is defined as the Secondary Electron Yield (SEY). A SEY ratio larger than 1 designates an increase in the total number of electrons. In the design of accelerator cavities, the goal is to reduce the SEY to be as low as possible using any form of surface manipulation. In this dissertation, an experimental setup was developed and used to study the SEY of various sample surfaces that were treated

  17. Recent advances in electron beam processing of polymers

    International Nuclear Information System (INIS)

    Gueven, Olgun

    2005-01-01

    The synergy achieved through developments on the design and manufacturing of new low, medium and high energy electron accelerators with relatively high powers and innovative solutions in material formulation better responding to radiation processing has opened new application opportunities in electron beam treatment of polymers. All these developments are still based however, on the major ultimate effects of ionizing radiation on polymers namely, crosslinking, curing, grafting and chain scissionning. The objective of this paper is to provide an overview of recent developments and emerging applications toward commercialization of electron beam processing of polymers. (author)

  18. Electron - ion recombination processes - an overview

    International Nuclear Information System (INIS)

    Hahn, Yukap

    1997-01-01

    Extensive theoretical and experimental studies have been carried out for the past 20 years on electron - ion recombination processes, as they are applied to the analysis of astrophysical and laboratory plasmas. We review the basic understanding gained through these efforts, with emphasis on some of the more recent progress made in recombination theory as the recombining system is affected by time-dependent electric fields and plasma particles at low temperature. Together with collisional ionization and excitation processes, recombination is important in determining ionization balance and excited-state population in non-equilibrium plasmas. The radiation emitted by plasmas is usually the principal medium with which to study the plasma condition, as it is produced mainly during the recombination and decay of excited states of ions inside the plasma. This is especially true when the plasma under study is not readily accessible by direct probes, as in astrophysical plasmas. Moreover, external probes may sometimes cause undesirable disturbances of the plasma. Electron-ion recombination proceeds in several different modes. The direct modes include three-body recombination (TBR) and one-step radiative recombination (RR), all to the ground- and singly-excited states of the target ions. By contrast, the indirect resonant mode is a two-step dielectronic recombination (DR), which proceeds first with the formation of doubly-excited states by radiationless excitation/capture. The resonant states thus formed may relax by autoionization and/or radiative cascades. For more exotic modes of recombination, we consider off-shell dielectronic recombination (radiative DR = RDR), in which an electron capture is accompanied by simultaneous radiative emission and excitation of the target ion. Some discussion on attachment of electrons to neutral atoms, resulting in the formation of negative ions, is also given. When resonance states involve one or more electrons in high Rydberg states

  19. Effect of processing on the microstructure of finger millet by X-ray diffraction and scanning electron microscopy.

    Science.gov (United States)

    Dharmaraj, Usha; Parameswara, P; Somashekar, R; Malleshi, Nagappa G

    2014-03-01

    Finger millet is one of the important minor cereals, and carbohydrates form its major chemical constituent. Recently, the millet is processed to prepare hydrothermally treated (HM), decorticated (DM), expanded (EM) and popped (PM) products. The present research aims to study the changes in the microstructure of carbohydrates using X-ray diffraction and scanning electron microscopy. Processing the millet brought in significant changes in the carbohydrates. The native millet exhibited A-type pattern of X-ray diffraction with major peaks at 2θ values of 15.3, 17.86 and 23.15°, whereas, all other products showed V-type pattern with single major peak at 2θ values ranging from 19.39 to 19.81°. The corresponding lattice spacing and the number of unit cells in a particular direction of reflection also reduced revealing that crystallinity of starch has been decreased depending upon the processing conditions. Scanning electron microscopic studies also revealed that the orderly pattern of starch granules changed into a coherent mass due to hydrothermal treatment, while high temperature short time treatment rendered a honey-comb like structure to the product. However, the total carbohydrates and non-starch polysaccharide contents almost remained the same in all the products except for DM and EM, but the individual carbohydrate components changed significantly depending on the type of processing.

  20. Including product features in process redesign

    DEFF Research Database (Denmark)

    Hvam, Lars; Hauksdóttir, Dagný; Mortensen, Niels Henrik

    2017-01-01

    do not take into account how the product features are applied throughout the process, which makes it difficult to obtain a comprehensive understanding of the activities in the processes and to generate significant improvements. The suggested approach models the product family using the so......This article suggests a visual modelling method for integrating models of product features with business process models for redesigning the business processes involving specifications of customer-tailored products and services. The current methods for redesigning these types of business processes......-called product variant master and the business process modelling notation for modelling the process flow. The product model is combined with the process map by identifying features used in each step of the process flow. Additionally, based on the information absorbed from the integrated model, the value stream...

  1. Improvement Of Search Process In Electronic Catalogues

    Directory of Open Access Journals (Sweden)

    Titas Savickas

    2014-05-01

    Full Text Available The paper presents investigation on search in electronic catalogues. The chosen problem domain is the search system in the electronic catalogue of Lithuanian Academic Libraries. The catalogue uses ALEPH system with MARC21 bibliographic format. The article presents analysis of problems pertaining to the current search engine and user expectations related to the search system of the electronic catalogue of academic libraries. Subsequent to analysis, the research paper presents the architecture for a semantic search system in the electronic catalogue that uses search process designed to improve search results for users.

  2. Additive Manufacturing Processes: Selective Laser Melting, Electron Beam Melting and Binder Jetting-Selection Guidelines.

    Science.gov (United States)

    Gokuldoss, Prashanth Konda; Kolla, Sri; Eckert, Jürgen

    2017-06-19

    Additive manufacturing (AM), also known as 3D printing or rapid prototyping, is gaining increasing attention due to its ability to produce parts with added functionality and increased complexities in geometrical design, on top of the fact that it is theoretically possible to produce any shape without limitations. However, most of the research on additive manufacturing techniques are focused on the development of materials/process parameters/products design with different additive manufacturing processes such as selective laser melting, electron beam melting, or binder jetting. However, we do not have any guidelines that discuss the selection of the most suitable additive manufacturing process, depending on the material to be processed, the complexity of the parts to be produced, or the design considerations. Considering the very fact that no reports deal with this process selection, the present manuscript aims to discuss the different selection criteria that are to be considered, in order to select the best AM process (binder jetting/selective laser melting/electron beam melting) for fabricating a specific component with a defined set of material properties.

  3. Additive Manufacturing Processes: Selective Laser Melting, Electron Beam Melting and Binder Jetting—Selection Guidelines

    Science.gov (United States)

    Konda Gokuldoss, Prashanth; Kolla, Sri; Eckert, Jürgen

    2017-01-01

    Additive manufacturing (AM), also known as 3D printing or rapid prototyping, is gaining increasing attention due to its ability to produce parts with added functionality and increased complexities in geometrical design, on top of the fact that it is theoretically possible to produce any shape without limitations. However, most of the research on additive manufacturing techniques are focused on the development of materials/process parameters/products design with different additive manufacturing processes such as selective laser melting, electron beam melting, or binder jetting. However, we do not have any guidelines that discuss the selection of the most suitable additive manufacturing process, depending on the material to be processed, the complexity of the parts to be produced, or the design considerations. Considering the very fact that no reports deal with this process selection, the present manuscript aims to discuss the different selection criteria that are to be considered, in order to select the best AM process (binder jetting/selective laser melting/electron beam melting) for fabricating a specific component with a defined set of material properties. PMID:28773031

  4. Current status of electron beam processing applications and the latest accelerator technologies in Japan

    International Nuclear Information System (INIS)

    Hoshi, Yasuhisa

    1998-01-01

    Electron Beam (EB) processing has been increasing in popularity as a cross-linking process since the beginning of its industrial use. Examples are heat resistance improvement of electric wires, high quality foamed polyethylene (PE) and polypropylene (PP), automotive tire manufacturing and heat shrinkable products. EB is also used in the tire manufacturing process as a pre-vulcanisation of rubber sheet before forming process. Cross-linking of electric wire insulators is the most popular industrial application of electron beam accelerators in Japan. EB cross-linked wires are widely used in electrical appliances and automotive wire harnesses. Curing of inks or coating is a promising application of low energy EB. EB cure is often compared with Ultra-Violet (UV) curing. Both has a common advantage compared with a conventional heat curing process such as no solvent requirement. A typical advantage is that no initiators are required to start curing process. EB can also be used to remove SO 2 and NO x from coal flue gas. This paper reports some of these applications and discusses the latest equipment design. (author)

  5. Cure Behavior and Thermal Properties of Diepoxidized Cardanol Resin Cured by Electron Beam Process

    International Nuclear Information System (INIS)

    Cho, Donghwan; Cheon, Jinsil

    2013-01-01

    Thermal curing of epoxy resin requires high temperature, time-consuming process and the volatilization of hardener. It has known that electron beam curing of epoxy resin is a fast process and occurs at low or room temperature that help reduce residual mechanical stresses in thermosetting polymers. Diepoxidized cardanol (DEC) can be synthesized by an enzymatic method from cashew nut shell liquid (CNSL), that constitutes nearly one-third of the total nut weight. A large amount of CNSL can be formed as a byproduct of the mechanical processes used to render the cashew kerneledible and its total production approaches one million tons annually, which can be bio-degradable and replace the industrial thermosetting plastics. It is expected that DEC may be cured as in an epoxy resin, which was constituted on two epoxide group and long alkyl chain, and two-types of onium salts (cationic initiator) were used as a photo-initiator. The experimental variables of this study are type and concentration of photo-initiators and electron beam dosage. In this study, the effects of initiator type and concentration on the cure behavior and the thermal properties of DEC resin processed by using electron beam technology were studied using FT-IR, TGA, TMA, DSC, and DMA. Figure 1 is the FT-IR results, showing the change of chemical structure of pure DEC and electron beam cured DEC. The characteristic absorption peak of epoxide group appeared at 850cm -1 . The shape and the height were reduced when the sample was irradiated with electron beam. From this result, the epoxide groups is DEC were opened by electron beam and cured. After then, electron beam cured DEC was investigated the effect of forming 3-dimensional network

  6. Cure Behavior and Thermal Properties of Diepoxidized Cardanol Resin Cured by Electron Beam Process

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Donghwan; Cheon, Jinsil [Kumoh National Institute of Technology, Gumi (Korea, Republic of)

    2013-07-01

    Thermal curing of epoxy resin requires high temperature, time-consuming process and the volatilization of hardener. It has known that electron beam curing of epoxy resin is a fast process and occurs at low or room temperature that help reduce residual mechanical stresses in thermosetting polymers. Diepoxidized cardanol (DEC) can be synthesized by an enzymatic method from cashew nut shell liquid (CNSL), that constitutes nearly one-third of the total nut weight. A large amount of CNSL can be formed as a byproduct of the mechanical processes used to render the cashew kerneledible and its total production approaches one million tons annually, which can be bio-degradable and replace the industrial thermosetting plastics. It is expected that DEC may be cured as in an epoxy resin, which was constituted on two epoxide group and long alkyl chain, and two-types of onium salts (cationic initiator) were used as a photo-initiator. The experimental variables of this study are type and concentration of photo-initiators and electron beam dosage. In this study, the effects of initiator type and concentration on the cure behavior and the thermal properties of DEC resin processed by using electron beam technology were studied using FT-IR, TGA, TMA, DSC, and DMA. Figure 1 is the FT-IR results, showing the change of chemical structure of pure DEC and electron beam cured DEC. The characteristic absorption peak of epoxide group appeared at 850cm{sup -1}. The shape and the height were reduced when the sample was irradiated with electron beam. From this result, the epoxide groups is DEC were opened by electron beam and cured. After then, electron beam cured DEC was investigated the effect of forming 3-dimensional network.

  7. Utilization of electron beam accelerators for polymer processing

    International Nuclear Information System (INIS)

    Sarma, K.S.S.

    2013-01-01

    During the last decade, electron beam processing has been amply demonstrated to the Indian cable industry by BARC using 2 MeV/20 kW electron beam (EB) accelerator (ILU-6 EBA facility) located at BARC-BRIT complex, Vashi. The electron beam accelerator is a machine producing high energy electrons which are made to impinge on the materials for inducing physical, chemical and biological modifications. The process is carried out at room temperature and in ambient atmospheric conditions. Lately, quite a few numbers of accelerators have been installed by the private cable industry and carrying out cross-linking of cable insulations for high performance viz. high temperature stability, good flame retardancy, lesser solvent-swelling, thinner insulations etc. The indigenously made accelerators at EB centre, particularly the 3 MeV/30 kW accelerator will be of much help for Indian industry for polymer processing as the market is poised to grow by adapting the technology

  8. Production process of VE

    International Nuclear Information System (INIS)

    1987-07-01

    This book tells of synopsis of production process of VE(value engineering), object selection method and establishment of target, collection of object information, design of function, write improvement suggestion, evaluation of improvement suggestion, all sorts of worksheets of production process of VE, explanation of IE, explanation of PERT.

  9. Optics for Processes, Products and Metrology

    Science.gov (United States)

    Mather, George

    1999-04-01

    Optical physics has a variety of applications in industry, including process inspection, coatings development, vision instrumentation, spectroscopy, and many others. Optics has been used extensively in the design of solar energy collection systems and coatings, for example. Also, with the availability of good CCD cameras and fast computers, it has become possible to develop real-time inspection and metrology devices that can accommodate the high throughputs encountered in modern production processes. More recently, developments in moiré interferometry show great promise for applications in the basic metals and electronics industries. The talk will illustrate applications of optics by discussing process inspection techniques for defect detection, part dimensioning, birefringence measurement, and the analysis of optical coatings in the automotive, glass, and optical disc industries. In particular, examples of optical techniques for the quality control of CD-R, MO, and CD-RW discs will be presented. In addition, the application of optical concepts to solar energy collector design and to metrology by moiré techniques will be discussed. Finally, some of the modern techniques and instruments used for qualitative and quantitative material analysis will be presented.

  10. Cusp electron production in 75--300 keV He+ + Ar collisions

    International Nuclear Information System (INIS)

    Plano, V.L.; Sarkadi, L.; Zavodszky, P.; Berenyi, D.; Palinkas, J.; Gulyas, L.; Takacs, E.; Toth, L.; Tanis, J.A.

    1992-01-01

    Cusp-electron production has been investigated in collisions of 75--300 keV He + with Ar. The relative contributions from electron capture to the continuum (ECC), transfer ionization (TI), and electron loss to the continuum (ELC) to the total cusp electron production were measured. Over the energy range investigated, ECC was found to decrease from about 86% to 80%, TI decreased from about 12% to 1%, and ELC increased from about 2% to 20%. The present results are consistent with earlier work for He + and O q+ projectiles

  11. Survey on neutron production by electron beam from high power CW electron linear accelerator

    International Nuclear Information System (INIS)

    Toyama, S.

    1999-04-01

    In Japan Nuclear Cycle Development Institute, the development of high current CW electron linear accelerator is in progress. It is possible for an accelerator to produce neutrons by means of a spallation and photo nuclear reactions. Application of neutron beam produced by bremsstrahlung is one of ways of the utilization for high current electron accelerator. It is actual that many electron linear accelerators which maximum energy is higher than a few hundreds MeV are used as neutron sources. In this report, an estimate of neutron production is evaluated for high current CW electron linear accelerator. The estimate is carried out by 10 MeV beam which is maximum energy limited from the regulation and rather low for neutron production. Therefore, the estimate is also done by 17 and 35 MeV beam which is possible to be accelerated. Beryllium is considered as a target for lower electron energy in addition to Lead target for higher energy, because Beryllium has low threshold energy for neutron production. The evaluation is carried out in account of the target thickness optimized by the radiation length and neutron cross section reducing the energy loss for both of electron and neutron, so as to get the maximum number of neutrons. The result of the calculations shows neutron numbers 1.9 x 10 10 , 6.1 x 10 13 and 4.8 x 10 13 (n/s), respectively, for 10, 17, and 35 MeV with low duty. The thermal removal from the target is one of critical points. The additional shielding and cooling system is necessary in order to endure radiation. A comparison with other facilities are also carried out. The estimate of neutron numbers suggests the possibility to be applied for neutron radiography and measurement of nuclear data by means of Lead spectrometer, for example. (author)

  12. Electronic cigarettes: product characterisation and design considerations

    OpenAIRE

    Brown, Christopher J; Cheng, James M

    2014-01-01

    Objective To review the available evidence regarding electronic cigarette (e-cigarette) product characterisation and design features in order to understand their potential impact on individual users and on public health. Methods Systematic literature searches in 10 reference databases were conducted through October 2013. A total of 14 articles and documents and 16 patents were included in this analysis. Results Numerous disposable and reusable e-cigarette product options exist, representing w...

  13. The application and processing of paints hardened by electron beams

    International Nuclear Information System (INIS)

    Anon.

    1984-01-01

    Electron beam hardening is a process for changing liquid surface coatings of different thicknesses by irradiation with electrons of high energy into solid, hard, elastic films. In contrast to the UV process, one can harden pigmented paints with electron beams. An electron accelerator, which remits free electrons is used as the energy source for starting the chemical reaction in the coating material. In order to irradiate flat parts, which were coated with liquid paint by rolling, pouring or spraying, equally with electrons, one must produce an 'electron curtain', similar to that in a paint pouring machine. (orig./PW) [de

  14. Materials, Processes, and Facile Manufacturing for Bioresorbable Electronics: A Review.

    Science.gov (United States)

    Yu, Xiaowei; Shou, Wan; Mahajan, Bikram K; Huang, Xian; Pan, Heng

    2018-05-07

    Bioresorbable electronics refer to a new class of advanced electronics that can completely dissolve or disintegrate with environmentally and biologically benign byproducts in water and biofluids. They have provided a solution to the growing electronic waste problem with applications in temporary usage of electronics such as implantable devices and environmental sensors. Bioresorbable materials such as biodegradable polymers, dissolvable conductors, semiconductors, and dielectrics are extensively studied, enabling massive progress of bioresorbable electronic devices. Processing and patterning of these materials are predominantly relying on vacuum-based fabrication methods so far. However, for the purpose of commercialization, nonvacuum, low-cost, and facile manufacturing/printing approaches are the need of the hour. Bioresorbable electronic materials are generally more chemically reactive than conventional electronic materials, which require particular attention in developing the low-cost manufacturing processes in ambient environment. This review focuses on material reactivity, ink availability, printability, and process compatibility for facile manufacturing of bioresorbable electronics. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Improved Nondestructive Disassembly Process using Augmented Reality and RFID Product/Part Tracking

    Directory of Open Access Journals (Sweden)

    Ile Mircheski

    2017-11-01

    Full Text Available The waste from electric and electronic equipment and discarded automobiles in the past grew rapidly and resulted with waste in billions of tones. The aim of this paper is to present an improved non-destructive disassembly process of electromechanical products using augmented reality based devices, such as glasses, tablets or mobile phones, and RFID technology for valuable product/part tracking. The proposed method includes tagging of components of interest in the product assembly by using a RFID tag. The valuable product is marked with specific ID number written in the RFID tag, in order to declare the product. The relevant data such as material and weight of components, guidelines for non-destructive disassembly for the valuable product and removing of component of interest will be obtained with the assistance of RFID tag and a centralized database. This modular system offers guidelines for the non-destructive disassembly process for obtaining valuable component of interest intended for easy repairs, remanufacture, reuse or recycling. The guidelines are in video presentation format using augmented reality for easy visualization of non-destructive disassembly process. The benefits of proposed modular system includes biggest percentage of reuse of the valuable components, easy maintaining, improved material recycling, environmental protection and greater total return form end of life products.

  16. Chitin and Cellulose Processing in Low-Temperature Electron Beam Plasma

    Directory of Open Access Journals (Sweden)

    Tatiana Vasilieva

    2017-11-01

    Full Text Available Polysaccharide processing by means of low-temperature Electron Beam Plasma (EBP is a promising alternative to the time-consuming and environmentally hazardous chemical hydrolysis in oligosaccharide production. The present paper considers mechanisms of the EBP-stimulated destruction of crab shell chitin, cellulose sulfate, and microcrystalline cellulose, as well as characterization of the produced oligosaccharides. The polysaccharide powders were treated in oxygen EBP for 1–20 min at 40 °C in a mixing reactor placed in the zone of the EBP generation. The chemical structure and molecular mass of the oligosaccharides were analyzed by size exclusion and the reversed phase chromatography, FTIR-spectroscopy, XRD-, and NMR-techniques. The EBP action on original polysaccharides reduces their crystallinity index and polymerization degree. Water-soluble products with lower molecular weight chitooligosaccharides (weight-average molecular mass, Mw = 1000–2000 Da and polydispersity index 2.2 and cellulose oligosaccharides with polymerization degrees 3–10 were obtained. The 1H-NMR analysis revealed 25–40% deacetylation of the EBP-treated chitin and FTIR-spectroscopy detected an increase of carbonyl- and carboxyl-groups in the oligosaccharides produced. Possible reactions of β-1,4-glycosidic bonds’ destruction due to active oxygen species and high-energy electrons are given.

  17. An Investigation of Sintering Parameters on Titanium Powder for Electron Beam Melting Processing Optimization

    Directory of Open Access Journals (Sweden)

    Philipp Drescher

    2016-12-01

    Full Text Available Selective electron beam melting (SEBM is a relatively new additive manufacturing technology for metallic materials. Specific to this technology is the sintering of the metal powder prior to the melting process. The sintering process has disadvantages for post-processing. The post-processing of parts produced by SEBM typically involves the removal of semi-sintered powder through the use of a powder blasting system. Furthermore, the sintering of large areas before melting decreases productivity. Current investigations are aimed at improving the sintering process in order to achieve better productivity, geometric accuracy, and resolution. In this study, the focus lies on the modification of the sintering process. In order to investigate and improve the sintering process, highly porous titanium test specimens with various scan speeds were built. The aim of this study was to decrease build time with comparable mechanical properties of the components and to remove the residual powder more easily after a build. By only sintering the area in which the melt pool for the components is created, an average productivity improvement of approx. 20% was achieved. Tensile tests were carried out, and the measured mechanical properties show comparatively or slightly improved values compared with the reference.

  18. Pretreatment of sugarcane bagasse using the advanced oxidation process by electron beam for enzymatic hydrolysis of cellulose

    International Nuclear Information System (INIS)

    Ribeiro, Marcia Almeida

    2013-01-01

    The sugar cane bagasse is a renewable energy source and a raw material promise in the biofuel production, once represents about 30% of glucose contained in the plant with the potential to be hydrolyzed and then converted to ethanol. The bagasse is composed of cellulose, straight chain of glucose, of hemicellulose, an amorphous polymer consisting of xylose, arabinose, galactose, and mannose, and of lignin, a complex polymer consisting of fenilpropan units that acts as waterproof coating on the fibers, which is hard to remove due its recalcitrant nature. The aim of this work was to study the electron beam processing as a pretreatment of sugarcane bagasse to enzymatic hydrolysis of cellulose. The pretreatment of sugarcane bagasse is one of the most important steps to make this material economically viable and competitive on the energy production. As a pretreatment the electron beam processing can weak the hemicellulose and lignin structures by the action highly reactive radicals that breaks the links, reducing the degree of polymerization fibers. It was evaluated the chemical and structural modifications on fibers caused by the irradiation, the enzymatic hydrolysis of electron beam as the only pretreatment and combined to steam explosion. For enzymatic hydrolysis it was used the commercial enzymes from Novozymes. The radiation processing promotes changes in structure and composition of sugarcane bagasse, increasing the solubility, that is related to hemicellulose and cellulose cleavage, and also increasing the enzymatic conversion yield. In the case of exploded bagasse there is no changes in the enzymatic hydrolysis yield, however the electron beam processing promoted a 67% reduction of furfural, that is formed in the steam explosion process. (author)

  19. Quantum tunneling resonant electron transfer process in Lorentzian plasmas

    International Nuclear Information System (INIS)

    Hong, Woo-Pyo; Jung, Young-Dae

    2014-01-01

    The quantum tunneling resonant electron transfer process between a positive ion and a neutral atom collision is investigated in nonthermal generalized Lorentzian plasmas. The result shows that the nonthermal effect enhances the resonant electron transfer cross section in Lorentzian plasmas. It is found that the nonthermal effect on the classical resonant electron transfer cross section is more significant than that on the quantum tunneling resonant charge transfer cross section. It is shown that the nonthermal effect on the resonant electron transfer cross section decreases with an increase of the Debye length. In addition, the nonthermal effect on the quantum tunneling resonant electron transfer cross section decreases with increasing collision energy. The variation of nonthermal and plasma shielding effects on the quantum tunneling resonant electron transfer process is also discussed

  20. Developing engineering processes through integrated modelling of product and process

    DEFF Research Database (Denmark)

    Nielsen, Jeppe Bjerrum; Hvam, Lars

    2012-01-01

    This article aims at developing an operational tool for integrated modelling of product assortments and engineering processes in companies making customer specific products. Integrating a product model in the design of engineering processes will provide a deeper understanding of the engineering...... activities as well as insight into how product features affect the engineering processes. The article suggests possible ways of integrating models of products with models of engineering processes. The models have been tested and further developed in an action research study carried out in collaboration...... with a major international engineering company....

  1. Acrylamide in processed potato products

    Science.gov (United States)

    Trace amounts of acrylamide are found in many foods cooked at high temperatures. Acrylamide in processed potato products is formed from reducing sugars and asparagine and is a product of the Maillard reaction. Processed potato products including fries and chips are relatively high in acrylamide comp...

  2. On-site installation and shielding of a mobile electron accelerator for radiation processing

    International Nuclear Information System (INIS)

    Catana, D.; Panaitescu, J.; Axinescu, S.; Manolache, D.; Matei, C.; Corcodel, C.; Ulmeanu, M..; Bestea, V.

    1995-01-01

    The development of radiation processing of some bulk products, e.g. grains or potatoes, would be sustained if the irradiation had been carried out at the place of storage, i.e. silo. A promising solution is proposed consisting of a mobile electron accelerator, installed on a couple of trucks and traveling from one customer to another. The energy of the accelerated electrons was chosen at 5 MeV, with 10 to 50 kW beam power. The irradiation is possible either with electrons or with bremsstrahlung. A major problem of the above solution is the provision of adequate shielding at the customer, with a minimum investment cost. Plans for a bunker are presented, which houses the truck carrying the radiation head. The beam is vertical downwards, through the truck floor, through a transport pipe and a scanning horn. The irradiation takes place in a pit, where the products are transported through a belt. The belt path is so chosen as to minimize openings in the shielding. Shielding calculations are presented supposing a working regime with 5 MeV bremsstrahlung. Leakage and scattered radiation are taken into account. (orig.)

  3. On-site installation and shielding of a mobile electron accelerator for radiation processing

    Energy Technology Data Exchange (ETDEWEB)

    Catana, D. [Institutul de Fizica Atomica, Bucharest (Romania); Panaitescu, J. [Institutul de Fizica Atomica, Bucharest (Romania); Axinescu, S. [Institutul de Fizica Atomica, Bucharest (Romania); Manolache, D. [Institutul de Fizica Atomica, Bucharest (Romania); Matei, C. [Institutul de Fizica Atomica, Bucharest (Romania); Corcodel, C. [Institutul de Fizica Atomica, Bucharest (Romania); Ulmeanu, M.. [Institutul de Fizica Atomica, Bucharest (Romania); Bestea, V. [Institutul de Fizica Atomica, Bucharest (Romania)

    1995-05-01

    The development of radiation processing of some bulk products, e.g. grains or potatoes, would be sustained if the irradiation had been carried out at the place of storage, i.e. silo. A promising solution is proposed consisting of a mobile electron accelerator, installed on a couple of trucks and traveling from one customer to another. The energy of the accelerated electrons was chosen at 5 MeV, with 10 to 50 kW beam power. The irradiation is possible either with electrons or with bremsstrahlung. A major problem of the above solution is the provision of adequate shielding at the customer, with a minimum investment cost. Plans for a bunker are presented, which houses the truck carrying the radiation head. The beam is vertical downwards, through the truck floor, through a transport pipe and a scanning horn. The irradiation takes place in a pit, where the products are transported through a belt. The belt path is so chosen as to minimize openings in the shielding. Shielding calculations are presented supposing a working regime with 5 MeV bremsstrahlung. Leakage and scattered radiation are taken into account. (orig.).

  4. Product and Process Modelling

    DEFF Research Database (Denmark)

    Cameron, Ian T.; Gani, Rafiqul

    . These approaches are put into the context of life cycle modelling, where multiscale and multiform modelling is increasingly prevalent in the 21st century. The book commences with a discussion of modern product and process modelling theory and practice followed by a series of case studies drawn from a variety......This book covers the area of product and process modelling via a case study approach. It addresses a wide range of modelling applications with emphasis on modelling methodology and the subsequent in-depth analysis of mathematical models to gain insight via structural aspects of the models...... to biotechnology applications, food, polymer and human health application areas. The book highlights to important nature of modern product and process modelling in the decision making processes across the life cycle. As such it provides an important resource for students, researchers and industrial practitioners....

  5. Two-process approach to electron beam welding control

    International Nuclear Information System (INIS)

    Lastovirya, V.N.

    1987-01-01

    The analysis and synthesis of multi-dimensional welding control systems, which require the usage of computers, should be conducted within the temporal range. From the general control theory point two approaches - one-process and two-process - are possible to electron beam welding. In case of two-process approach, subprocesses of heat source formation and direct metal melting are separated. Two-process approach leads to two-profile control system and provides the complete controlability of electron beam welding within the frameworks of systems with concentrated, as well as, with distributed parameters. Approach choice for the given problem solution is determined, first of all, by stability degree of heat source during welding

  6. Atomic spectral-product representations of molecular electronic structure: metric matrices and atomic-product composition of molecular eigenfunctions.

    Science.gov (United States)

    Ben-Nun, M; Mills, J D; Hinde, R J; Winstead, C L; Boatz, J A; Gallup, G A; Langhoff, P W

    2009-07-02

    Recent progress is reported in development of ab initio computational methods for the electronic structures of molecules employing the many-electron eigenstates of constituent atoms in spectral-product forms. The approach provides a universal atomic-product description of the electronic structure of matter as an alternative to more commonly employed valence-bond- or molecular-orbital-based representations. The Hamiltonian matrix in this representation is seen to comprise a sum over atomic energies and a pairwise sum over Coulombic interaction terms that depend only on the separations of the individual atomic pairs. Overall electron antisymmetry can be enforced by unitary transformation when appropriate, rather than as a possibly encumbering or unnecessary global constraint. The matrix representative of the antisymmetrizer in the spectral-product basis, which is equivalent to the metric matrix of the corresponding explicitly antisymmetric basis, provides the required transformation to antisymmetric or linearly independent states after Hamiltonian evaluation. Particular attention is focused in the present report on properties of the metric matrix and on the atomic-product compositions of molecular eigenstates as described in the spectral-product representations. Illustrative calculations are reported for simple but prototypically important diatomic (H(2), CH) and triatomic (H(3), CH(2)) molecules employing algorithms and computer codes devised recently for this purpose. This particular implementation of the approach combines Slater-orbital-based one- and two-electron integral evaluations, valence-bond constructions of standard tableau functions and matrices, and transformations to atomic eigenstate-product representations. The calculated metric matrices and corresponding potential energy surfaces obtained in this way elucidate a number of aspects of the spectral-product development, including the nature of closure in the representation, the general redundancy or

  7. Electron beam technology as a new industrial processing tool in Malaysia

    International Nuclear Information System (INIS)

    Zaman, K.

    1996-01-01

    Electron beam cross-linked products such as heat resistant automobile and home appliance wires, heat shrinkable tubes, sleeves, end caps for power and electronic industries, plastic packaging and semiconductors are commercially available in Malaysia and most of them are imported products. However, recently there are three newly established in-house industrial electron beam accelerators, in operation in Malaysia for cross-linking of home appliance wires and plastic packaging. Another electron beam accelerator of 3.0 MV, 90 kW is stationed in MINT which is used for research as well as for irradiation services. Research on electron beam cross-linking of natural polymer is one of the main subjects of interest. (author)

  8. Radiation processed polysaccharide products

    International Nuclear Information System (INIS)

    Nguyen, Quoc Hien

    2007-01-01

    Radiation crosslinking, degradation and grafting techniques for modification of polymeric materials including natural polysaccharides have been providing many unique products. In this communication, typical products from radiation processed polysaccharides particularly plant growth promoter from alginate, plant protector and elicitor from chitosan, super water absorbent containing starch, hydrogel sheet containing carrageenan/CM-chitosan as burn wound dressing, metal ion adsorbent from partially deacetylated chitin were described. The procedures for producing those above products were also outlined. Future development works on radiation processing of polysaccharides were briefly presented. (author)

  9. Applications of cross sections for electron-molecule collision processes

    International Nuclear Information System (INIS)

    Cartwright, D.C.

    1985-01-01

    The role of electron-molecule collision cross sections is discussed for the study of the ionospheric and auroral processes in planetary atmospheres and of discharge-pumped lasers. These two areas emphasize the importance of further theoretical and experimental studies concerning electron-impact processes. 13 refs., 3 figs., 2 tabs

  10. The pilot plant for electron beam food processing

    Science.gov (United States)

    Migdal, W.; Walis, L.; Chmielewski, A. G.

    1993-07-01

    In the frames of the national programme on the application of irradiation for food preservation and hygienization an experimental plant for electron beam processing has been established in INCT. The pilot plant has been constructed inside an old fort what decreases significantly the cost of the investment. The pilot plant is equipped with a small research accelerator Pilot (10 MeV, 1 kW) and an industrial unit Elektronika (10 MeV, 10 kW). This allows both laboratory and full technological scale testing of the elaborated process to be conducted. The industrial unit is being equipped with e-/X conversion target, for high density products irradiation. On the basis of the research there were performed at different scientific institutions in Poland, health authorities have issued permissions for permanent treatment of spices, garlic, onions and temporary permissions for mushrooms, and potatoes. Dosimetric methods have been elaborated for the routine use at the plant. In the INCT laboratory methods for the control of e-/X treated food have been established.

  11. The pilot plant for electron beam food processing

    International Nuclear Information System (INIS)

    Migdal, W.; Kosmal, W.; Malec-Czechowska, K.; Maciszewski, W.

    1992-01-01

    In the frames of the national programme on the application of irradiation for food preservation and hygienization an experimental plant for electron beam processing has been established in INCT. The pilot plant has been constructed inside an old fort what decreases significantly the cost of the investment. The pilot plants is equipped with a small research accelerator Pilot (10 MeV, 1 kW) and an industrial unit Elektronika (10 MeV, 10 kW). This allows both laboratory and full technological scale testing of the elaborated process to be conducted. The industrial unit is being equipped with e-/X conversion target, for high density products irradiation. On the basis of the research there were performed at different scientific institutions in Poland, health authorities have issued permissions for permanent treatment of spices, garlic, onions and temporary permissions for mushrooms, and potatoes. Dosimetric methods have been elaborated for the routine use at the plant. In the INCT laboratory methods for the control of e-/X treated food have been established. (author). 9 refs, 5 figs, 1 tab

  12. Progress of electron processing system useful for environmental preservation

    International Nuclear Information System (INIS)

    Hoshi, Yasuhisa

    1998-01-01

    Electron Processing has been used in the field of industrial application, mainly to process plastics or polymers, which is represented by the cross-linking of Polyethylene to improve heat resistance. On the other hand, there has been many research studies to use Electron Beam for an environmental preservation. Typical examples are Sterilization of Food, Flue Gas Treatment, Sterilization of Waste Water Sludge, Purification of Water, Removal of Volatile Organic Compound (VOC), etc. These research works have been done in the USA, Germany, Austria, Japan, etc. They reported some of the features of electron beam method. In addition, there is an unique report that the combination of Ozone and Electron Beam provides a better efficiency of the purification of the water. Recently, they have started the investigation for the practical use of Electron Beam in the environmental application. Flue gas treatment is a remarkable example of the investigation. They built the demonstration plant last year and they started the operation last fall. Presently, the system is in a demonstrative operation. This paper will report an outline of the R and D works of environmental applications of Electron Beam and also will introduce the latest technologies of Electron Processing Systems which will be available for the environmental preservation. (author)

  13. The Twin Worlds of Electronic Conferencing.

    Science.gov (United States)

    Sirc, Geoffrey

    1995-01-01

    Notes that the process of electronic conferencing can result in activity not readily apparent as leading to productive writing behaviors, which reintroduces the tension between process and product into composition studies. Argues for a broader notion of writing and urges attention to the transformative power of electronic conferencing to enable…

  14. A spherical electron cloud hopping model for studying product branching ratios of dissociative recombination.

    Science.gov (United States)

    Yu, Hua-Gen

    2008-05-21

    A spherical electron cloud hopping (SECH) model is proposed to study the product branching ratios of dissociative recombination (DR) of polyatomic systems. In this model, the fast electron-captured process is treated as an instantaneous hopping of a cloud of uniform spherical fractional point charges onto a target M+q ion (or molecule). The sum of point charges (-1) simulates the incident electron. The sphere radius is determined by a critical distance (Rc eM) between the incoming electron (e-) and the target, at which the potential energy of the e(-)-M+q system is equal to that of the electron-captured molecule M+q(-1) in a symmetry-allowed electronic state with the same structure as M(+q). During the hopping procedure, the excess energies of electron association reaction are dispersed in the kinetic energies of M+q(-1) atoms to conserve total energy. The kinetic energies are adjusted by linearly adding atomic momenta in the direction of driving forces induced by the scattering electron. The nuclear dynamics of the resultant M+q(-1) molecule are studied by using a direct ab initio dynamics method on the adiabatic potential energy surface of M+q(-1), or together with extra adiabatic surface(s) of M+q(-1). For the latter case, the "fewest switches" surface hopping algorithm of Tully was adapted to deal with the nonadiabaticity in trajectory propagations. The SECH model has been applied to study the DR of both CH+ and H3O+(H2O)2. The theoretical results are consistent with the experiment. It was found that water molecules play an important role in determining the product branching ratios of the molecular cluster ion.

  15. Investigation of 123I production using electron accelerator

    International Nuclear Information System (INIS)

    Avetisyan, Albert; Avagyan, Robert; Dallakyan, Ruben; Avdalyan, Gohar; Dobrovolsky, Nikolay; Gavalyan, Vasak; Kerobyan, Ivetta; Harutyunyan, Gevorg

    2017-01-01

    The possibility of 123 I isotope production with the help of the high-intensity bremsstrahlung photons produced by the electron beam of the LUE50 linear electron accelerator at the A.I. Alikhanyan National Science Laboratory (Yerevan Physics Institute [YerPhI]) is considered. The production method has been established and shown to be successful. The 124 Xe(γ,n) 123 Xe → 123 I nuclear reaction has been investigated and the cross-section was calculated by nuclear codes TALYS 1.6 and EMPIRE 3.2. The optimum parameter of the thickness of the target was determined by GEANT4 code. For the normalized yield of 123 I, the value of 143 Bq/(mg·μA·h) has been achieved.

  16. Comparison endpoint study of process plasma and secondary electron beam exciter optical emission spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Stephan Thamban, P. L.; Yun, Stuart; Padron-Wells, Gabriel; Hosch, Jimmy W.; Goeckner, Matthew J. [Department of Mechanical Engineering, University of Texas at Dallas, 800W Campbell Road, Richardson, Texas 75080 (United States); Department of Electrical Engineering, University of Texas at Dallas, 800W Campbell Road, Richardson, Texas 75080 (United States); Verity Instruments, Inc., 2901 Eisenhower Street, Carrollton, Texas 75007 (United States); Department of Mathematical Sciences, University of Texas at Dallas, 800 W Campbell Road, Richardson, Texas 75080 (United States)

    2012-11-15

    Traditionally process plasmas are often studied and monitored by optical emission spectroscopy. Here, the authors compare experimental measurements from a secondary electron beam excitation and direct process plasma excitation to discuss and illustrate its distinctiveness in the study of process plasmas. They present results that show excitations of etch process effluents in a SF{sub 6} discharge and endpoint detection capabilities in dark plasma process conditions. In SF{sub 6} discharges, a band around 300 nm, not visible in process emission, is observed and it can serve as a good indicator of etch product emission during polysilicon etches. Based on prior work reported in literature the authors believe this band is due to SiF{sub 4} gas phase species.

  17. Classical molecular dynamics simulation of electronically non-adiabatic processes.

    Science.gov (United States)

    Miller, William H; Cotton, Stephen J

    2016-12-22

    Both classical and quantum mechanics (as well as hybrids thereof, i.e., semiclassical approaches) find widespread use in simulating dynamical processes in molecular systems. For large chemical systems, however, which involve potential energy surfaces (PES) of general/arbitrary form, it is usually the case that only classical molecular dynamics (MD) approaches are feasible, and their use is thus ubiquitous nowadays, at least for chemical processes involving dynamics on a single PES (i.e., within a single Born-Oppenheimer electronic state). This paper reviews recent developments in an approach which extends standard classical MD methods to the treatment of electronically non-adiabatic processes, i.e., those that involve transitions between different electronic states. The approach treats nuclear and electronic degrees of freedom (DOF) equivalently (i.e., by classical mechanics, thereby retaining the simplicity of standard MD), and provides "quantization" of the electronic states through a symmetrical quasi-classical (SQC) windowing model. The approach is seen to be capable of treating extreme regimes of strong and weak coupling between the electronic states, as well as accurately describing coherence effects in the electronic DOF (including the de-coherence of such effects caused by coupling to the nuclear DOF). A survey of recent applications is presented to illustrate the performance of the approach. Also described is a newly developed variation on the original SQC model (found universally superior to the original) and a general extension of the SQC model to obtain the full electronic density matrix (at no additional cost/complexity).

  18. Food processing using electrons and X-rays

    International Nuclear Information System (INIS)

    Clouston, J.G.

    1985-01-01

    The ionizing radiation which will be used as process energy for the preservation of food, will be limited to high energy electrons (less than 10 MeV), X-rays (less than 5 MeV) and gamma rays emitted by cobalt-60 (1.17;1.33 MeV) and cesium -137 (0.663 MeV). When a foodstuff is irradiated with any of these radiations absorption of the radiant energy will initiate a variety of reactions between its atomic and molecular constituents causing permanent chemical, physical and biological changes. This paper focusses on radiation processing using electron or X-ray generators in the range 2 to 10 MeV

  19. Improvement production of bacterial cellulose by semi-continuous process in molasses medium.

    Science.gov (United States)

    Cakar, Fatih; Ozer, Işılay; Aytekin, A Özhan; Sahin, Fikrettin

    2014-06-15

    Bacterial cellulose (BC) has unique properties such as structural, functional, physical and chemical. The mass production of BC for industrial application has recently become attractive to produce more economical and high productive cellulose. In this study, to improve the productivity of bacterial cellulose (BC), BC production by Gluconacetobacter xylinus FC01 was investigated in molasses medium with static semi-continuous operation mode. Cell dry weight, polysaccharide, sugar and cellulose concentrations were monitored and cellulose was characterized by Fourier transform infrared spectroscopy (FT-IR) and scanning electron microscopy (SEM). The highest cellulose yield (1.637 g/L) was obtained in SCP50-7d, which molasses of 1/2 ratio for 7 days by static semi-continuous operation mode. The results show that BC can be highly produced by G. xylinus in molasses with static semi-continuous process than batch process. We claimed that low-cost medium with semi-continuous operation mode in static culture is a good candidate for industrial scale BC productions. Copyright © 2014 Elsevier Ltd. All rights reserved.

  20. Significance of fundamental processes of radiation chemistry in hot atom chemical processes: electron thermalization

    International Nuclear Information System (INIS)

    Nishikawa, M.

    1984-01-01

    The author briefly reviews the current understanding of the course of electron thermalization. An outline is given of the physical picture without going into mathematical details. The analogy of electron thermalization with hot atom processes is taken as guiding principle in this paper. Content: secondary electrons (generation, track structure, yields); thermalization (mechanism, time, spatial distribution); behaviour of hot electrons. (Auth.)

  1. Study on Effects of Electron Donors on Phosphine Production from Anaerobic Activated Sludge

    Directory of Open Access Journals (Sweden)

    Jianping Cao

    2017-07-01

    Full Text Available The effects of different types and concentrations of electron donors (glucose, starch, methanol and sodium acetate on the formation of phosphine from anaerobic activated sludge that has been domesticated for a prolonged period were studied in small batch experiments. The results show that types and concentrations of electron donor have significant effects on the production of phosphine from anaerobic activated sludge. Among them, glucose was the most favourable electron donor, whereas sodium acetate was the least favourable electron donor for the removal of phosphorus and the production of phosphine. Higher concentrations of electron donors were more favourable for the reduction of phosphate into phosphine, and supplying more than nine times the amount of electron donor as theoretically required for the reduction of phosphate into phosphine was favourable for the production of phosphine.

  2. Adverse Event extraction from Structured Product Labels using the Event-based Text-mining of Health Electronic Records (ETHER)system.

    Science.gov (United States)

    Pandey, Abhishek; Kreimeyer, Kory; Foster, Matthew; Botsis, Taxiarchis; Dang, Oanh; Ly, Thomas; Wang, Wei; Forshee, Richard

    2018-01-01

    Structured Product Labels follow an XML-based document markup standard approved by the Health Level Seven organization and adopted by the US Food and Drug Administration as a mechanism for exchanging medical products information. Their current organization makes their secondary use rather challenging. We used the Side Effect Resource database and DailyMed to generate a comparison dataset of 1159 Structured Product Labels. We processed the Adverse Reaction section of these Structured Product Labels with the Event-based Text-mining of Health Electronic Records system and evaluated its ability to extract and encode Adverse Event terms to Medical Dictionary for Regulatory Activities Preferred Terms. A small sample of 100 labels was then selected for further analysis. Of the 100 labels, Event-based Text-mining of Health Electronic Records achieved a precision and recall of 81 percent and 92 percent, respectively. This study demonstrated Event-based Text-mining of Health Electronic Record's ability to extract and encode Adverse Event terms from Structured Product Labels which may potentially support multiple pharmacoepidemiological tasks.

  3. Reactive Oxygen Species Production by Forward and Reverse Electron Fluxes in the Mitochondrial Respiratory Chain

    Science.gov (United States)

    Selivanov, Vitaly A.; Votyakova, Tatyana V.; Pivtoraiko, Violetta N.; Zeak, Jennifer; Sukhomlin, Tatiana; Trucco, Massimo; Roca, Josep; Cascante, Marta

    2011-01-01

    Reactive oxygen species (ROS) produced in the mitochondrial respiratory chain (RC) are primary signals that modulate cellular adaptation to environment, and are also destructive factors that damage cells under the conditions of hypoxia/reoxygenation relevant for various systemic diseases or transplantation. The important role of ROS in cell survival requires detailed investigation of mechanism and determinants of ROS production. To perform such an investigation we extended our rule-based model of complex III in order to account for electron transport in the whole RC coupled to proton translocation, transmembrane electrochemical potential generation, TCA cycle reactions, and substrate transport to mitochondria. It fits respiratory electron fluxes measured in rat brain mitochondria fueled by succinate or pyruvate and malate, and the dynamics of NAD+ reduction by reverse electron transport from succinate through complex I. The fitting of measured characteristics gave an insight into the mechanism of underlying processes governing the formation of free radicals that can transfer an unpaired electron to oxygen-producing superoxide and thus can initiate the generation of ROS. Our analysis revealed an association of ROS production with levels of specific radicals of individual electron transporters and their combinations in species of complexes I and III. It was found that the phenomenon of bistability, revealed previously as a property of complex III, remains valid for the whole RC. The conditions for switching to a state with a high content of free radicals in complex III were predicted based on theoretical analysis and were confirmed experimentally. These findings provide a new insight into the mechanisms of ROS production in RC. PMID:21483483

  4. Ion and electron swarm studies of relevance to plasma processing: positive ion-molecule and electron-molecule studies of SF6 and derivatives

    International Nuclear Information System (INIS)

    Atterbury, C.; Kennedy, R.A.; Critchley, A.D.J.; Mayhew, C.A.

    2002-01-01

    Many sequential and parallel chemical reactions involving charged species occur in a plasma. Data needed to model plasma's chemical and physical environment includes cross-section, rate coefficients, and product ion distribution of electron-molecule and ion-molecule processes. Such reactions are studied by our group away from the complexity of the plasma environment, with experimental techniques that allow us to concentrate on a single process, where usually only one or two species are involved. A molecule commonly used in plasma etching applications is SF 6 1,2 . We have performed a series of positive ion-molecule and electron attachment studies on SF 6 and related molecules, including SeF 6 , TeF 6 (i.e. XF 6 molecules), SF 5 CF 3 and SF 5 Cl (i.e. SF 5 X molecules) 3- (. The studies of ion reactions with and electron attachment to SF 6 and physically similar molecules are of value when seeking to understand the ion and electron chemistry occurring in SF 6 containing plasma. The result of these studies are presented in this poster. Ion-molecule reactions. Rate coefficients and ion product branching ratios have been determined with the Selected Ion Flow Tube (SIFT) at room temperature (300 K) for reactions of SF 5 X with the following twenty-two cations; Ne + , F + , Ar + , N 2 + , N + , CO + , CO 2 + , O + , N 2 O + , O 2 + , SF 4 + , CF 2 + , SF + , SF 2 + , NO 2 + , SF 5 + , NO + , CF + , CF 3 + , SF 3 + , and H 3 O + (listed in order of decreasing recombination energy). SF 2 + , NO 2 + , NO + , SF 3 + , and H 3 O + are found to be unreacted with both SF 5 CF 3 and SF 5 Cl. The majority of the other reactions proceed with rate coefficients that are close to the capture value. Those found to occur at rates significantly less than the capture mechanism value re the reactions of O 2 + , SF + , SF 5 + , and CF 3 + with SF 5 CF 3 , and SF 4 + and SF 5 + with SF 5 Cl. Several distinction processes are observed among the large number of reactions studied, including

  5. A Classification Scheme for Production System Processes

    DEFF Research Database (Denmark)

    Sørensen, Daniel Grud Hellerup; Brunø, Thomas Ditlev; Nielsen, Kjeld

    2018-01-01

    Manufacturing companies often have difficulties developing production platforms, partly due to the complexity of many production systems and difficulty determining which processes constitute a platform. Understanding production processes is an important step to identifying candidate processes...... for a production platform based on existing production systems. Reviewing a number of existing classifications and taxonomies, a consolidated classification scheme for processes in production of discrete products has been outlined. The classification scheme helps ensure consistency during mapping of existing...

  6. Production of runaway electrons by negative streamer discharges

    DEFF Research Database (Denmark)

    Chanrion, Olivier Arnaud; Neubert, Torsten

    2010-01-01

    thunderstorms, the so-called Terrestrial Gamma-Ray Flashes. The radiation is thought to be bremsstrahlung from energetic (MeV) electrons accelerated in a thunderstorm discharge. The observation goes against conventional wisdom that discharges in air are carried by electrons with energies below a few tens of e...... and the conditions on the electric field for the acceleration of electrons into the runaway regime. We use particle codes to describe the process of stochastic acceleration and introduce a novel technique that improves the statistics of the relatively few electrons that reach high energies. The calculation...

  7. PRODUCTION OF CARBON PRODUCTS USING A COAL EXTRACTION PROCESS

    Energy Technology Data Exchange (ETDEWEB)

    Dady Dadyburjor; Philip R. Biedler; Chong Chen; L. Mitchell Clendenin; Manoj Katakdaunde; Elliot B. Kennel; Nathan D. King; Liviu Magean; Peter G. Stansberry; Alfred H. Stiller; John W. Zondlo

    2004-08-31

    This Department of Energy National Energy Technology Laboratory sponsored project developed carbon products, using mildly hydrogenated solvents to extract the organic portion of coal to create synthetic pitches, cokes, carbon foam and carbon fibers. The focus of this effort was on development of lower cost solvents, milder hydrogenation conditions and improved yield in order to enable practical production of these products. This technology is needed because of the long-term decline in production of domestic feedstocks such as petroleum pitch and coal tar pitch. Currently, carbon products represents a market of roughly 5 million tons domestically, and 19 million tons worldwide. Carbon products are mainly derived from feedstocks such as petroleum pitch and coal tar pitch. The domestic supply of petroleum pitch is declining because of the rising price of liquid fuels, which has caused US refineries to maximize liquid fuel production. As a consequence, the long term trend has a decline in production of petroleum pitch over the past 20 years. The production of coal tar pitch, as in the case of petroleum pitch, has likewise declined significantly over the past two decades. Coal tar pitch is a byproduct of metallurgical grade coke (metcoke) production. In this industry, modern metcoke facilities are recycling coal tar as fuel in order to enhance energy efficiency and minimize environmental emissions. Metcoke production itself is dependent upon the production requirements for domestic steel. Hence, several metcoke ovens have been decommissioned over the past two decades and have not been replaced. As a consequence sources of coal tar are being taken off line and are not being replaced. The long-term trend is a reduction in coal tar pitch production. Thus import of feedstocks, mainly from Eastern Europe and China, is on the rise despite the relatively large transportation cost. To reverse this trend, a new process for producing carbon products is needed. The process must be

  8. Precision laser processing for micro electronics and fiber optic manufacturing

    Science.gov (United States)

    Webb, Andrew; Osborne, Mike; Foster-Turner, Gideon; Dinkel, Duane W.

    2008-02-01

    The application of laser based materials processing for precision micro scale manufacturing in the electronics and fiber optic industry is becoming increasingly widespread and accepted. This presentation will review latest laser technologies available and discuss the issues to be considered in choosing the most appropriate laser and processing parameters. High repetition rate, short duration pulsed lasers have improved rapidly in recent years in terms of both performance and reliability enabling flexible, cost effective processing of many material types including metal, silicon, plastic, ceramic and glass. Demonstrating the relevance of laser micromachining, application examples where laser processing is in use for production will be presented, including miniaturization of surface mount capacitors by applying a laser technique for demetalization of tracks in the capacitor manufacturing process and high quality laser machining of fiber optics including stripping, cleaving and lensing, resulting in optical quality finishes without the need for traditional polishing. Applications include telecoms, biomedical and sensing. OpTek Systems was formed in 2000 and provide fully integrated systems and sub contract services for laser processes. They are headquartered in the UK and are establishing a presence in North America through a laser processing facility in South Carolina and sales office in the North East.

  9. Production of slow-positron beams with an electron linac

    International Nuclear Information System (INIS)

    Howell, R.H.; Alvarez, R.A.; Stanek, M.

    1982-01-01

    Intense, pulsed beams of low-energy positrons have been produced by a high-energy beam from an electron linac. The production efficiency for low-energy positrons has been determined for electrons with 60 to 120 MeV energy, low-energy positron beams from a linac can be of much higher intensity than those beams currently derived from radioactive sources

  10. Flexible Electronics: Integration Processes for Organic and Inorganic Semiconductor-Based Thin-Film Transistors

    Directory of Open Access Journals (Sweden)

    Fábio F. Vidor

    2015-07-01

    Full Text Available Flexible and transparent electronics have been studied intensively during the last few decades. The technique establishes the possibility of fabricating innovative products, from flexible displays to radio-frequency identification tags. Typically, large-area polymeric substrates such as polypropylene (PP or polyethylene terephthalate (PET are used, which produces new requirements for the integration processes. A key element for flexible and transparent electronics is the thin-film transistor (TFT, as it is responsible for the driving current in memory cells, digital circuits or organic light-emitting devices (OLEDs. In this paper, we discuss some fundamental concepts of TFT technology. Additionally, we present a comparison between the use of the semiconducting organic small-molecule pentacene and inorganic nanoparticle semiconductors in order to integrate TFTs suitable for flexible electronics. Moreover, a technique for integration with a submicron resolution suitable for glass and foil substrates is presented.

  11. Optimizing the order processing of customized products using product configuration

    DEFF Research Database (Denmark)

    Hvam, Lars; Bonev, Martin; Denkena, B.

    2011-01-01

    . Product configuration based on integrated modular product structure and product family architecture has been recognized as an effective means for implementing mass customization. In order to evaluate the effects of product configuration on order processing, a study has been conducted by the Department...... and its benefits for the order processing have been evaluated....

  12. Process mining for electronic data interchange

    NARCIS (Netherlands)

    Engel, R.; Krathu, W.; Zapletal, M.; Pichler, C.; Aalst, van der W.M.P.; Werthner, H.; Huemer, C.; Setzer, T.

    2011-01-01

    Choreography modeling and service integration received a lot of attention in the last decade. However, most real-world implementations of inter-organizational systems are still realized by traditional Electronic Data Interchange (EDI) standards. In traditional EDI standards, the notion of process or

  13. A Product Line Enhanced Unified Process

    DEFF Research Database (Denmark)

    Zhang, Weishan; Kunz, Thomas

    2006-01-01

    The Unified Process facilitates reuse for a single system, but falls short handling multiple similar products. In this paper we present an enhanced Unified Process, called UPEPL, integrating the product line technology in order to alleviate this problem. In UPEPL, the product line related activit...... activities are added and could be conducted side by side with other classical UP activities. In this way both the advantages of Unified Process and software product lines could co-exist in UPEPL. We show how to use UPEPL with an industrial mobile device product line in our case study....

  14. Electronic Commerce

    OpenAIRE

    Slavko Đerić

    2016-01-01

    Electronic commerce can be defined in different ways. Any definition helps to understand and explain that concept as better as possible.. Electronic commerce is a set of procedures and technologies that automate the tasks of financial transactions using electronic means. Also, according to some authors, electronic commerce is defined as a new concept, which is being developed and which includes process of buying and selling or exchanging products, services or information via computer networks...

  15. Reductive dechlorination of trichloroethylene (TCE) in competition with Fe and Mn oxides – observed dynamics in H2-dependent terminal electron accepting processes

    DEFF Research Database (Denmark)

    Paul, Laiby; Jakobsen, Rasmus; Smolders, Erik

    2016-01-01

    The determination of hydrogen (H2) concentration together with the products of microbial reduction reactions in a trichloroethylene dechlorinating system is conducted to delineate the ongoing predominant terminal electron accepting processes (TEAP). Formate was used as electron donor and synthetic...

  16. Statistical process control for electron beam monitoring.

    Science.gov (United States)

    López-Tarjuelo, Juan; Luquero-Llopis, Naika; García-Mollá, Rafael; Quirós-Higueras, Juan David; Bouché-Babiloni, Ana; Juan-Senabre, Xavier Jordi; de Marco-Blancas, Noelia; Ferrer-Albiach, Carlos; Santos-Serra, Agustín

    2015-07-01

    To assess the electron beam monitoring statistical process control (SPC) in linear accelerator (linac) daily quality control. We present a long-term record of our measurements and evaluate which SPC-led conditions are feasible for maintaining control. We retrieved our linac beam calibration, symmetry, and flatness daily records for all electron beam energies from January 2008 to December 2013, and retrospectively studied how SPC could have been applied and which of its features could be used in the future. A set of adjustment interventions designed to maintain these parameters under control was also simulated. All phase I data was under control. The dose plots were characterized by rising trends followed by steep drops caused by our attempts to re-center the linac beam calibration. Where flatness and symmetry trends were detected they were less-well defined. The process capability ratios ranged from 1.6 to 9.3 at a 2% specification level. Simulated interventions ranged from 2% to 34% of the total number of measurement sessions. We also noted that if prospective SPC had been applied it would have met quality control specifications. SPC can be used to assess the inherent variability of our electron beam monitoring system. It can also indicate whether a process is capable of maintaining electron parameters under control with respect to established specifications by using a daily checking device, but this is not practical unless a method to establish direct feedback from the device to the linac can be devised. Copyright © 2015 Associazione Italiana di Fisica Medica. Published by Elsevier Ltd. All rights reserved.

  17. Positron-Electron Annihilation Process in (2,2)-Difluoropropane Molecule

    Science.gov (United States)

    Liu, Yang; Ma, Xiao-Guang; Zhu, Ying-Hao

    2016-04-01

    The positron-electron annihilation process in (2,2)-difluoropropane molecule and the corresponding gamma-ray spectra are studied by quantum chemistry method. The positrophilic electrons in (2,2)-difluoropropane molecule are found for the first time. The theoretical predictions show that the outermost 2s electrons of fluoride atoms play an important role in positron-electron annihilation process of (2,2)-difiuoropropane. In the present scheme, the correlation coefficient between the theoretical gamma-ray spectra and the experiments can be 99%. The present study gives an alternative annihilation model for positron-electron pair in larger molecules. Supported by the National Natural Science Foundation of China under Grant No. 11347011 and the Natural Science Foundation Project of Shandong Province under Grant No. ZR2011AM010 and 2014 Technology Innovation Fund of Ludong University under Grant Nos. 1d151007 and ld15l016

  18. 75 FR 65293 - Draft Guidelines on Pharmacovigilance of Veterinary Medicinal Products: Electronic Standards for...

    Science.gov (United States)

    2010-10-22

    ...] Draft Guidelines on Pharmacovigilance of Veterinary Medicinal Products: Electronic Standards for... Requirements for the Registration of Veterinary Medicinal Products (VICH) has developed a draft guideline titled ``Pharmacovigilance of Veterinary Medicinal Products: Electronic Standards for Transfer of Data...

  19. Quality control of the documentation process in electronic economic activities

    Directory of Open Access Journals (Sweden)

    Krutova A.S.

    2017-06-01

    Full Text Available It is proved that the main tool that will provide adequate information resources e economic activities of social and economic relations are documenting quality control processes as the basis of global information space. Directions problems as formation evaluation information resources in the process of documentation, namely development tools assess the efficiency of the system components – qualitative assessment; development of mathematical modeling tools – quantitative evaluation. A qualitative assessment of electronic documentation of economic activity through exercise performance, efficiency of communication; document management efficiency; effectiveness of flow control operations; relationship management effectiveness. The concept of quality control process documents electronically economic activity to components which include: the level of workflow; forms adequacy of information; consumer quality documents; quality attributes; type of income data; condition monitoring systems; organizational level process documentation; attributes of quality, performance quality consumer; type of management system; type of income data; condition monitoring systems. Grounded components of the control system electronic document subjects of economic activity. Detected components IT-audit management system economic activity: compliance audit; audit of internal control; detailed multilevel analysis; corporate risk assessment methodology. The stages and methods of processing electronic transactions economic activity during condition monitoring of electronic economic activity.

  20. Flexible embedded circuitry : a novel process for high density, cost effective electronics

    NARCIS (Netherlands)

    Brand, van den J.; Kusters, R.; Barink, M.; Dietzel, A.H.

    2010-01-01

    Flexible electronics are starting to emerge with all-printed but also hybrid cost effective, smart electronic products that will find a wide range of applications in large quantities in our society. Such products have to be built on low cost substrate materials like PEN or PET foils. Because of the

  1. Study of cerenkov radiation. Production of {gamma} rays by electron accelerators; Etude du rayonnement de freinage. Production de rayons {gamma} par des accelerateurs d'electrons

    Energy Technology Data Exchange (ETDEWEB)

    Bernard, J [Commissariat a l' Energie Atomique, Saclay (France). Centre d' Etudes Nucleaires

    1961-07-01

    This study is a critical comparison of the theories of Bremsstrahlung. Experimental results obtained by the production of {gamma} radiation with electron accelerators are compared to the theoretical results in order to estimate the extent to which the various theories are valid. (author) [French] Cette etude est une synthese des theories du rayonnement de freinage. Des resultats experimentaux, obtenus par la production de rayonnements {gamma} avec des accelerateurs d'electrons, sont compares aux resultats theoriques afin d'evaluer les domaines de validite des diverses theories. (auteur)

  2. Electronic Nose for Microbiological Quality Control of Food Products

    Directory of Open Access Journals (Sweden)

    M. Falasconi

    2012-01-01

    Full Text Available Electronic noses (ENs have recently emerged as valuable candidates in various areas of food quality control and traceability, including microbial contamination diagnosis. In this paper, the EN technology for microbiological screening of food products is reviewed. Four paradigmatic and diverse case studies are presented: (a Alicyclobacillus spp. spoilage of fruit juices, (b early detection of microbial contamination in processed tomatoes, (c screening of fungal and fumonisin contamination of maize grains, and (d fungal contamination on green coffee beans. Despite many successful results, the high intrinsic variability of food samples together with persisting limits of the sensor technology still impairs ENs trustful applications at the industrial scale. Both advantages and drawbacks of sensor technology in food quality control are discussed. Finally, recent trends and future directions are illustrated.

  3. Electronic Processes at Organic−Organic Interfaces: Insight from Modeling and Implications for Opto-electronic Devices †

    KAUST Repository

    Beljonne, David

    2011-02-08

    We report on the recent progress achieved in modeling the electronic processes that take place at interfaces between π-conjugated materials in organic opto-electronic devices. First, we provide a critical overview of the current computational techniques used to assess the morphology of organic: organic heterojunctions; we highlight the compromises that are necessary to handle large systems and multiple time scales while preserving the atomistic details required for subsequent computations of the electronic and optical properties. We then review some recent theoretical advances in describing the ground-state electronic structure at heterojunctions between donor and acceptor materials and highlight the role played by charge-transfer and long-range polarization effects. Finally, we discuss the modeling of the excited-state electronic structure at organic:organic interfaces, which is a key aspect in the understanding of the dynamics of photoinduced electron-transfer processes. © 2010 American Chemical Society.

  4. Transport equation theory of electron backscattering and x-ray production

    International Nuclear Information System (INIS)

    Fathers, D.J.; Rez, P.

    1978-02-01

    A transport equation theory of electron backscattering and x ray production is derived and applied to energy dissipation of 30-KeV electrons for copper as a function of depth and to the energy distribution of backscattered electrons for copper, aluminum, and gold. These results are plotted and compared with experiment. Plots for variations of backscattering with atomic number and with angle of incidence, and polar plots of backscattering for 30-keV electrons at normal incidence are also presented. 10 references, seven figures

  5. Triboelectric effect: A new perspective on electron transfer process

    Science.gov (United States)

    Pan, Shuaihang; Zhang, Zhinan

    2017-10-01

    As interest in the triboelectric effect increases in line with the development of tribo-electrification related devices, the mechanisms involved in this phenomenon require more systematic review from the dual perspectives of developed classical insights and emerging quantum understanding. In this paper, the clear energy changing and transferring process of electrons have been proposed from the quantum point of view as the trigger for the charging initiation process in the triboelectric effect, and the phonon modes on the friction surfaces are believed to hold great importance as one of the main driving forces. Compatible with Maxwell Displacement Current theory, the complete consideration for charging steady state, i.e., the competition mechanisms between the breakdown process and the continuously charging process, and the balance mechanisms of phonon-electron interaction, built voltage, and induced polarization, are illustrated. In brief, the proposed theory emphasizes the fundamental role of electron transferring in tribo-electrical fields. By comparing certain experimental results from the previous studies, the theory is justified.

  6. Mechanism of NOx removal by electron beam process in the presence of scavengers

    International Nuclear Information System (INIS)

    Chmielewski, A.G.; Sun Yongxia; Zimek, Z.; Bulka, S.; Licki, J.

    2002-01-01

    In this study NO x removal efficiency, with/without SO 2 in electron beam flue gas treatment process, was evaluated in the presence of different additives: ammonia, water, and alcohol. It was found that NO x removal efficiency increased by 20% and in the presence of alcohol, more than 70% NO x was oxidized/reduced at 6 kGy for the initial concentration of 500 ppm NO. Humidity and ammonia addition increased the NO x removal efficiency but not more than 10%. Organic products and inorganic products were analyzed by a GC-MS and ion chromatography, respectively. The focus of this paper is on the mechanism that accounts for the increased efficiency in NO x removal

  7. CO-PRODUCT ENHANCEMENT AND DEVELOPMENT FOR THE MASADA OXYNOL PROCESS PROCESS

    Energy Technology Data Exchange (ETDEWEB)

    Donald V. Watkins

    2010-06-14

    The focus of this project was an overall process improvement through the enhancement of the co-product streams. The enhancement of the process operations and co-products will increase both ethanol production and the value of other process outputs and reduces the amount of waste byproducts. This leads to a more economical and environmentally sound alternative to landfill disposal of municipal solid waste (MSW). These enhancements can greatly increase the commercial potential for the production of ethanol from MSW by the Masada CES OxyNol process. Both technological and economical issues were considered for steps throughout the conversion process. The research efforts of this project are varied but synergistic. The project investigated many of the operations involved in the Masada process with the overall goal of process improvements. The general goal of the testing was to improve co-product quality, improve conversions efficiencies, minimize process losses, increase energy efficiency, and mitigate process and commercialization risks. The project was divided into 16 subtasks as described in general terms below. All these tasks are interrelated but not necessarily interdependent.

  8. Stimulated Raman scattering and hot-electron production

    International Nuclear Information System (INIS)

    Drake, R.P.; Turner, R.E.; Lasinski, B.F.; Estabrook, K.G.; Campbell, E.M.; Wang, C.L.; Phillion, D.W.; Williams, E.A.; Kruer, W.L.

    1985-01-01

    High-intensity laser light can excite parametric instabilities that scatter or absorb it. One instability that can arise when laser light penetrates a plasma is sub-quarter-critical stimulated Raman (SQSR) scattering. It occurs below the quarter-critical density of the incident light and involves the decay of the incident light wave into a scattered light wave and electron plasma wave. The scattered-light wavelength ranges from 1 to 2 times that of the incident light, depending on the plasma density and temperature. This article reports studies of SQSR scattering and hot-electron production in plasmas produced by irradiating thick gold targets with up to 4 kJ of 0.53-μm light in 1-ns (FWHM) pulses. These studies have important implications for laser fusion. Hot electrons attributed to the SQSR instability can increase the difficulty of achieving high-gain implosions by penetrating and preheating the fusion fuel

  9. Report on the benchmark of products & processes and ranking of cruciality and criticity

    DEFF Research Database (Denmark)

    Islam, Aminul

    The objective of this deliverables is to present the results of benchmarking activities for each COTECH demonstrator and their planned production process. Each section is dedicated to a demonstrator mentioned below: Section 1 Innovative accommodable intra-ocular lens (BI) Section 2 Cheap substrat...... Micro socket for signal carriage of a hearing aid instruments (SONION) Section 8 Micro-cooling of electronic components (ATHERM)...

  10. The erosion and erosion products of tungsten and carbon based materials bombarded by high energy pulse electron beam

    International Nuclear Information System (INIS)

    Liu Xiang; Zhang Fu; Xu Zengyu; Liu Yong; Yoshida, N.; Noda, N.

    2002-01-01

    In this paper, the erosion behaviors and erosion products of tungsten and some carbon based materials, such as graphite, C/C composite and B 4 C/Cu functionally graded material, were investigated by using a pulse electron beam to simulate the vertical displacement events (VDE) process. The authors will focus on the forms and differences of erosion products among these testing materials, and make clear to their erosion mechanisms

  11. Electronic Versus Manual Data Processing: Evaluating the Use of Electronic Health Records in Out-of-Hospital Clinical Research

    Science.gov (United States)

    Newgard, Craig D.; Zive, Dana; Jui, Jonathan; Weathers, Cody; Daya, Mohamud

    2011-01-01

    Objectives To compare case ascertainment, agreement, validity, and missing values for clinical research data obtained, processed, and linked electronically from electronic health records (EHR), compared to “manual” data processing and record abstraction in a cohort of out-ofhospital trauma patients. Methods This was a secondary analysis of two sets of data collected for a prospective, population-based, out-of-hospital trauma cohort evaluated by 10 emergency medical services (EMS) agencies transporting to 16 hospitals, from January 1, 2006 through October 2, 2007. Eighteen clinical, operational, procedural, and outcome variables were collected and processed separately and independently using two parallel data processing strategies, by personnel blinded to patients in the other group. The electronic approach included electronic health record data exports from EMS agencies, reformatting and probabilistic linkage to outcomes from local trauma registries and state discharge databases. The manual data processing approach included chart matching, data abstraction, and data entry by a trained abstractor. Descriptive statistics, measures of agreement, and validity were used to compare the two approaches to data processing. Results During the 21-month period, 418 patients underwent both data processing methods and formed the primary cohort. Agreement was good to excellent (kappa 0.76 to 0.97; intraclass correlation coefficient 0.49 to 0.97), with exact agreement in 67% to 99% of cases, and a median difference of zero for all continuous and ordinal variables. The proportions of missing out-of-hospital values were similar between the two approaches, although electronic processing generated more missing outcomes (87 out of 418, 21%, 95% CI = 17% to 25%) than the manual approach (11 out of 418, 3%, 95% CI = 1% to 5%). Case ascertainment of eligible injured patients was greater using electronic methods (n = 3,008) compared to manual methods (n = 629). Conclusions In this

  12. Integrated Monitoring System of Production Processes

    Directory of Open Access Journals (Sweden)

    Oborski Przemysław

    2016-12-01

    Full Text Available Integrated monitoring system for discrete manufacturing processes is presented in the paper. The multilayer hardware and software reference model was developed. Original research are an answer for industry needs of the integration of information flow in production process. Reference model corresponds with proposed data model based on multilayer data tree allowing to describe orders, products, processes and save monitoring data. Elaborated models were implemented in the integrated monitoring system demonstrator developed in the project. It was built on the base of multiagent technology to assure high flexibility and openness on applying intelligent algorithms for data processing. Currently on the base of achieved experience an application integrated monitoring system for real production system is developed. In the article the main problems of monitoring integration are presented, including specificity of discrete production, data processing and future application of Cyber-Physical-Systems. Development of manufacturing systems is based more and more on taking an advantage of applying intelligent solutions into machine and production process control and monitoring. Connection of technical systems, machine tools and manufacturing processes monitoring with advanced information processing seems to be one of the most important areas of near future development. It will play important role in efficient operation and competitiveness of the whole production system. It is also important area of applying in the future Cyber-Physical-Systems that can radically improve functionally of monitoring systems and reduce the cost of its implementation.

  13. Intracellular response to process optimization and impact on productivity and product aggregates for a high-titer CHO cell process.

    Science.gov (United States)

    Handlogten, Michael W; Lee-O'Brien, Allison; Roy, Gargi; Levitskaya, Sophia V; Venkat, Raghavan; Singh, Shailendra; Ahuja, Sanjeev

    2018-01-01

    A key goal in process development for antibodies is to increase productivity while maintaining or improving product quality. During process development of an antibody, titers were increased from 4 to 10 g/L while simultaneously decreasing aggregates. Process development involved optimization of media and feed formulations, feed strategy, and process parameters including pH and temperature. To better understand how CHO cells respond to process changes, the changes were implemented in a stepwise manner. The first change was an optimization of the feed formulation, the second was an optimization of the medium, and the third was an optimization of process parameters. Multiple process outputs were evaluated including cell growth, osmolality, lactate production, ammonium concentration, antibody production, and aggregate levels. Additionally, detailed assessment of oxygen uptake, nutrient and amino acid consumption, extracellular and intracellular redox environment, oxidative stress, activation of the unfolded protein response (UPR) pathway, protein disulfide isomerase (PDI) expression, and heavy and light chain mRNA expression provided an in-depth understanding of the cellular response to process changes. The results demonstrate that mRNA expression and UPR activation were unaffected by process changes, and that increased PDI expression and optimized nutrient supplementation are required for higher productivity processes. Furthermore, our findings demonstrate the role of extra- and intracellular redox environment on productivity and antibody aggregation. Processes using the optimized medium, with increased concentrations of redox modifying agents, had the highest overall specific productivity, reduced aggregate levels, and helped cells better withstand the high levels of oxidative stress associated with increased productivity. Specific productivities of different processes positively correlated to average intracellular values of total glutathione. Additionally

  14. UV photon and low-energy (5--150 eV) electron-stimulated processes at environmental interfaces

    International Nuclear Information System (INIS)

    Orlando, T.M.

    1997-01-01

    Irradiation of surfaces and interfaces with low-energy (5--150 eV) electrons and ultraviolet photons occurs during the storage of ''mixed'' (chemical/radioactive) waste forms and during processing steps which involve the use of low temperature plasmas. It is well known that electron- and photon-stimulated desorption (ESD and PSD) from wide band-gap materials and interfaces can be initiated by Auger decay of deep valence and shallow core holes. This process consists of hole production, Auger decay, reversal of the Madelung potential, and ion expulsion due to the Coulomb repulsion. ESD and PSD of neutrals also occurs and involves production of electron-hole pairs and excitons. Generally, neutral yields dominate ESD and PSD cross sections, which typically vary between ∼10 -16 and 10 -22 cm 2 . The authors present results on the ESD and PSD of environmentally relevant substrates such as ZrO 2 (100), soda-glass, and NaNO 3 . The major cation thresholds and yields indicate that ESD and PSD from these complex materials involves Auger stimulated events. In particular, desorption thresholds correlate with ionization of the O(2s), Zr(4p), Si(2p) and Na(2s) levels. The near band-gap threshold energy (∼5--7 eV) for the desorption of neutrals (i.e., atomic oxygen, NO, etc) demonstrate the overall importance of self-trapped and localized excitons in both ESD and PSD of typical ceramics and oxides

  15. Production of electronic grade lunar silicon by disproportionation of silicon difluoride

    Science.gov (United States)

    Agosto, William N.

    1993-01-01

    Waldron has proposed to extract lunar silicon by sodium reduction of sodium fluorosilicate derived from reacting sodium fluoride with lunar silicon tetrafluoride. Silicon tetrafluoride is obtained by the action of hydrofluoric acid on lunar silicates. While these reactions are well understood, the resulting lunar silicon is not likely to meet electronic specifications of 5 nines purity. Dale and Margrave have shown that silicon difluoride can be obtained by the action of silicon tetrafluoride on elemental silicon at elevated temperatures (1100-1200 C) and low pressures (1-2 torr). The resulting silicon difluoride will then spontaneously disproportionate into hyperpure silicon and silicon tetrafluoride in vacuum at approximately 400 C. On its own merits, silicon difluoride polymerizes into a tough waxy solid in the temperature range from liquid nitrogen to about 100 C. It is the silicon analog of teflon. Silicon difluoride ignites in moist air but is stable under lunar surface conditions and may prove to be a valuable industrial material that is largely lunar derived for lunar surface applications. The most effective driver for lunar industrialization may be the prospects for industrial space solar power systems in orbit or on the moon that are built with lunar materials. Such systems would require large quantities of electronic grade silicon or compound semiconductors for photovoltaics and electronic controls. Since silicon is the most abundant semimetal in the silicate portion of any solar system rock (approximately 20 wt percent), lunar silicon production is bound to be an important process in such a solar power project. The lunar silicon extraction process is discussed.

  16. Electronic Handbooks Simplify Process Management

    Science.gov (United States)

    2012-01-01

    Getting a multitude of people to work together to manage processes across many organizations for example, flight projects, research, technologies, or data centers and others is not an easy task. Just ask Dr. Barry E. Jacobs, a research computer scientist at Goddard Space Flight Center. He helped NASA develop a process management solution that provided documenting tools for process developers and participants to help them quickly learn, adapt, test, and teach their views. Some of these tools included editable files for subprocess descriptions, document descriptions, role guidelines, manager worksheets, and references. First utilized for NASA's Headquarters Directives Management process, the approach led to the invention of a concept called the Electronic Handbook (EHB). This EHB concept was successfully applied to NASA's Small Business Innovation Research (SBIR) and Small Business Technology Transfer (STTR) programs, among other NASA programs. Several Federal agencies showed interest in the concept, so Jacobs and his team visited these agencies to show them how their specific processes could be managed by the methodology, as well as to create mockup versions of the EHBs.

  17. Managing Product Usability : How companies deal with usability in the development of electronic consumer products

    NARCIS (Netherlands)

    Van Kuijk, J.I.

    2010-01-01

    Problem statement: Even though there is a large amount of methods for user-centred design, the usability of electronic consumer products (e.g., portable music players, washing machines and mobile phones) is under pressure. Usability is the extent to which a product can be used by specified users to

  18. Process for improving metal production in steelmaking processes

    Science.gov (United States)

    Pal, Uday B.; Gazula, Gopala K. M.; Hasham, Ali

    1996-01-01

    A process and apparatus for improving metal production in ironmaking and steelmaking processes is disclosed. The use of an inert metallic conductor in the slag containing crucible and the addition of a transition metal oxide to the slag are the disclosed process improvements.

  19. Electron beam flue gas treatment process. Review

    International Nuclear Information System (INIS)

    Honkonen, V.A.

    1996-01-01

    The basis of the process for electron beam flue gas treatment are presented in the report. In tabular form the history of the research is reviewed. Main dependences of SO 2 and NO x removal efficiencies on different physico-chemical parameters are discussed. Trends concerning industrial process implementation are presented in the paper,finally. (author). 74 refs, 11 figs, 1 tab

  20. Production of new particles in electron-positron annihilation

    International Nuclear Information System (INIS)

    Gilman, F.J.

    1977-02-01

    A number of areas are reviewed where there is important progress in the production of new particles in electron--positron annihilation, but of a more detailed quantitative nature. Charmonium states, charmed mesons, and evidence for a charged heavy lepton are covered. 50 references

  1. Mechanisms of multiple production processes

    International Nuclear Information System (INIS)

    Dremin, I.M.

    1977-01-01

    Theoretical approaches to multiple production processes are discussed. A large number of models proceeds from the notion about common excited system produced by colliding hadrons. This class of models includes the hydrodynamical, statistical, thermodynamical and statistical bootstrap models. Sometimes the production process is due to excitation and decay of two colliding particles. The fragmentation bremsstrahlung and inelastic diffraction models belong to this group. The largest group of models describes the multiple production process as a result of formation of many excited centers. The typical example is the multiperipheral model. An interesting direction is given by the attempts to interrelate the mechanism of multiple production with internal structure of particles that is with their constituents (C-group)'-quarks, gluons, etc. Besides the models there are phenomenological (p group) attempts to connect different features of multiple production. Experimental data indicate the existence of leading and pionization particles thus giving an evidence for applications of different models. The data about increase of total and inclusive cross sections, the behaviour of the mean multiplicity and correlations at high energies provide a clue for further development of multiple production theory

  2. Forward electron production in heavy ion-atom and ion-solid collisions

    International Nuclear Information System (INIS)

    Sellin, I.A.

    1984-01-01

    A sharp cusp in the velocity spectrum of electrons, ejected in ion-atom and ion-solid collisions, is observed when the ejected electron velocity vector v/sub e/ matches that of the emergent ion vector v/sub p/ in both speed and direction. In ion-atom collisions, the electrons originate from capture to low-lying, projectile-centered continuum states (ECC) for fast bare or nearly bare projectiles, and from loss to those low-lying continuum states (ELC) when loosely bound projectile electrons are available. Most investigators now agree that ECC cusps are strongly skewed toward lower velocities, and exhibit full widths half maxima roughly proportional to v/sub p/ (neglecting target-shell effects, which are sometimes strong). A close examination of recent ELC data shows that ELC cusps are instead nearly symmetric, with widths nearly independent on v/sub p/ in the velocity range 6 to 18 a.u., a result only recently predicted by theory. Convoy electron cusps produced in heavy ion-solid collisions at MeV/u energies exhibit approximately velocity-independent widths very similar to ELC cusp widths. While the shape of the convoy peaks is approximately independent of projectile Z, velocity, and of target material, it is found that the yields in polycrystalline targets exhibit a strong dependence on projectile Z and velocity. While attempts have been made to link convoy electron production to binary ECC or ELC processes, sometimes at the last layer, or alternatively to a solid-state wake-riding model, our measured dependences of cusp shape and yield on projectile charge state and energy are inconsistent with the predictions of available theories. 10 references, 8 figures, 1 table

  3. Crystallographic data processing for free-electron laser sources

    International Nuclear Information System (INIS)

    White, Thomas A.; Barty, Anton; Stellato, Francesco; Holton, James M.; Kirian, Richard A.; Zatsepin, Nadia A.; Chapman, Henry N.

    2013-01-01

    A processing pipeline for diffraction data acquired using the ‘serial crystallography’ methodology with a free-electron laser source is described with reference to the crystallographic analysis suite CrystFEL and the pre-processing program Cheetah. A processing pipeline for diffraction data acquired using the ‘serial crystallography’ methodology with a free-electron laser source is described with reference to the crystallographic analysis suite CrystFEL and the pre-processing program Cheetah. A detailed analysis of the nature and impact of indexing ambiguities is presented. Simulations of the Monte Carlo integration scheme, which accounts for the partially recorded nature of the diffraction intensities, are presented and show that the integration of partial reflections could be made to converge more quickly if the bandwidth of the X-rays were to be increased by a small amount or if a slight convergence angle were introduced into the incident beam

  4. Crystallographic data processing for free-electron laser sources

    Energy Technology Data Exchange (ETDEWEB)

    White, Thomas A., E-mail: taw@physics.org; Barty, Anton; Stellato, Francesco [DESY, Notkestrasse 85, 22607 Hamburg (Germany); Holton, James M. [University of California, San Francisco, CA 94158 (United States); Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Kirian, Richard A. [DESY, Notkestrasse 85, 22607 Hamburg (Germany); Arizona State University, Tempe, AZ 85287 (United States); Zatsepin, Nadia A. [Arizona State University, Tempe, AZ 85287 (United States); Chapman, Henry N. [DESY, Notkestrasse 85, 22607 Hamburg (Germany); University of Hamburg, Luruper Chaussee 149, 22761 Hamburg (Germany)

    2013-07-01

    A processing pipeline for diffraction data acquired using the ‘serial crystallography’ methodology with a free-electron laser source is described with reference to the crystallographic analysis suite CrystFEL and the pre-processing program Cheetah. A processing pipeline for diffraction data acquired using the ‘serial crystallography’ methodology with a free-electron laser source is described with reference to the crystallographic analysis suite CrystFEL and the pre-processing program Cheetah. A detailed analysis of the nature and impact of indexing ambiguities is presented. Simulations of the Monte Carlo integration scheme, which accounts for the partially recorded nature of the diffraction intensities, are presented and show that the integration of partial reflections could be made to converge more quickly if the bandwidth of the X-rays were to be increased by a small amount or if a slight convergence angle were introduced into the incident beam.

  5. Front and backside processed thin film electronic devices

    Science.gov (United States)

    Yuan, Hao-Chih; Wang, Guogong; Eriksson, Mark A.; Evans, Paul G.; Lagally, Max G.; Ma, Zhenqiang

    2010-10-12

    This invention provides methods for fabricating thin film electronic devices with both front- and backside processing capabilities. Using these methods, high temperature processing steps may be carried out during both frontside and backside processing. The methods are well-suited for fabricating back-gate and double-gate field effect transistors, double-sided bipolar transistors and 3D integrated circuits.

  6. Indirect processes in electron impact ionization of Kr24+ and Kr25+

    International Nuclear Information System (INIS)

    Chen, M.H.; Reed, K.J.

    1992-09-01

    Electron-impact ionization cross sections have been calculated for magnesiumlike Kr 24+ and sodiumlike Kr 25+ . Electron-impact ionization is an important atomic process in hot dense plasmas. It can affect the ionization balance, electron temperature, electron density, and level population in the plasma. In the past decade, theoretical and experimental studies have revealed that indirect processes can make significant contributions to the cross sections for electron impact ionization of positive ions. The most important indirect process is excitation of an inner-shell electron followed by Auger emission. Higher-order processes such as resonant excitation followed by sequential double Auger emission, can also contribute significantly. The contributions of excitation-autoionization and resonant excitation double autoionization (REDA) were included, in addition to the cross sections for direct ionization of a 3s electron. The calculations were carried out using the relativistic distorted wave methods and the multiconfiguration Dirac-Fock model. For Kr 25+ , the total cross section is about 5 times the direct ionization cross section. For the Kr 24+ , the indirect contribution is about 2.5 times the direct ionization cross section. The REDA process produces many strong resonances and contributes about 20% to the average ionization cross section

  7. Product- and Process Units in the CRITT Translation Process Research Database

    DEFF Research Database (Denmark)

    Carl, Michael

    than 300 hours of text production. The database provides the raw logging data, as well as Tables of pre-processed product- and processing units. The TPR-DB includes various types of simple and composed product and process units that are intended to support the analysis and modelling of human text......The first version of the "Translation Process Research Database" (TPR DB v1.0) was released In August 2012, containing logging data of more than 400 translation and text production sessions. The current version of the TPR DB, (v1.4), contains data from more than 940 sessions, which represents more...

  8. 78 FR 14233 - Electronic Retirement Processing

    Science.gov (United States)

    2013-03-05

    ... as the private key, is used to verify the signature. Digitized signature means a graphical image of a... recipients of the electronic document. Smart card means a plastic card, typically the size of a credit card, containing an embedded integrated circuit or ``chip'' that can generate, store, or process data. A smart card...

  9. An empirical determination of the production efficiency for auroral 6300 AA emmission by energetic electrons

    International Nuclear Information System (INIS)

    Winningham, J.D.; Bunn, F.E.; Thirkettle, F.W.; Shepherd, G.G.

    1979-06-01

    Auroral data from the Soft Particle Spectrometer and the Red Line Photometer on the ISIS-2 spacecraft have been selected to form an electron energy flux and optical auroral emission data base. The energy fluxes are stored as integrated fluxes over four energy bands, and the corresponding stored optical emission rates are corrected for airglow and for albedo. Because of the variety of electron energy spectra represented in the data base it was possible to perform a regression analysis that yielded the production efficiency for the production of emission for each of the four bands. While the results of this analysis are interesting to compare with theoretical predictions of 6300 AA excitation processes, these statistical results are not as precise as the comparisons of individual experiments where all parameters, such as the atmospheric composition and temperature profiles are measured. The significance of this approach is that it permits a multiparameter description of an electron energy spectrum, and its relationship to a specific optical emission, by purely empirical means. This is particularly useful in the interpretation of ISIS-2 data from the instruments which provided the results, but should find further application in optical-particle auroral studies. (author)

  10. Intensification of ethylene glycol production process

    DEFF Research Database (Denmark)

    Wisutwattanaa, Apiwit; Frauzem, Rebecca; Suriyapraphadilok, Uthaiporn

    2017-01-01

    This study aims to generate an alternative design for ethylene glycol production process focusing on a reduction of operating cost and emissions. To achieve this, the phenomena-based method for process intensification was applied. 3 stages of process intensification were performed. First, the base......-case design was obtained, resulting in the production of ethylene glycol via two steps: ethylene oxidation synthesis followed by ethylene oxide hydration to produce ethylene glycol. Feasibility of the design was verified and the process was rigorously designed using a computer process simulation program...... solutions. As the result of intensification method, membrane separation was suggested and applied to the design. With the operation of the new equipment, the ethylene glycol production process was improved for 54.51 percent in terms of energy consumption....

  11. Learning Electron Transport Chain Process in Photosynthesis Using Video and Serious Game

    Science.gov (United States)

    Espinoza Morales, Cecilia

    -choice section addressing general knowledge of photosynthesis and specific knowledge about ETC, and an essay section where students were asked to interpret each part of a diagram about the ETC process. Considering only the effect of treatments on score gain, regular and challenge groups reached higher scores in the posttest in comparison to the pretest after playing Electron Chute in both section of the test. However, the effect of treatments between the classes for each treatment was inconclusive. In the essay, the score gain was higher in the challenge than the regular class, but there was not a significant difference between both classes in the multiple-choice section. In regard to the learning outcomes, the initial model provided by the ETC video was mostly effective on addressing the misconception related to the oxygen production, which derives from the photolysis -or splitting-of the water molecules. Playing Electron Chute was effective on addressing most of the misconceptions targeted in the instruction design used for study. Most of these misconceptions were related to ATP and NADPH production and the cell structures where the ETC process takes place. At the end of the video+game learning treatment, a survey was used to collect data about students' experiences while playing the game. The majority of students agreed that playing the game increased their ability to explain how plants use light energy, but only about a third of them felt they could explain how ETC worked. Enjoyment and need for more explanations were different between students who attended the regular and challenge classes. The majority of the students who attended a regular class indicated they liked the ETC video and playing Electron Chute, percentage of agreement that was significantly higher than students who attended the challenge class. As a result, more students in the regular class indicated an interest in learning other science concepts like ETC. Students who attended the regular class reported

  12. Single Production of Excited Neutrino at Clic based Electron Photon Colliders

    International Nuclear Information System (INIS)

    Kirca, Z.

    2004-01-01

    The discovery of excited quarks and leptons, as predicted by composite models, would supply convincing evidence for substructure of fermions. Electron-photon interactions at very high energies provide ideal conditions to look for excited states of first generations offermions. In particular, in magnetic- transition coupling the electron to a gauge bo son would allow for single production of excited neutrinos (ν * ) through t-channel W boson exchange. In this work, (ν * ) production followed by the electroweak radiative decays ν * →νγ, ν * →eW, ν * →νZ is presented. The production cross sections and P T distributions of excited neutrino are studied for CLlC

  13. The application analysis of high energy electron accelerator in food irradiation processing

    International Nuclear Information System (INIS)

    Deng Wenmin; Chen Hao; Feng Lei; Zhang Yaqun; Chen Xun; Li Wenjun; Xiang Chengfen; Pei Ying; Wang Zhidong

    2012-01-01

    Irradiation technology of high energy electron accelerator has been highly concerned in food processing industry with its fast development, especially in the field of food irradiation processing. In this paper, equipment and research situation of high energy electron accelerator were collected, meanwhile, the similarities and differences between high energy electron beam and 60 Co γ-rays were discussed. In order to provide more references of high energy electron beam irradiation, the usages of high energy electron in food irradiation processing was prospected. These information would promote the development of domestic food irradiation industry and give a useful message to irradiation enterprises and researchers. (authors)

  14. Hydrogen production processes; Procedes de production d'hydrogene

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2003-07-01

    The goals of this first Gedepeon workshop on hydrogen production processes are: to stimulate the information exchange about research programs and research advances in the domain of hydrogen production processes, to indicate the domains of interest of these processes and the potentialities linked with the coupling of a nuclear reactor, to establish the actions of common interest for the CEA, the CNRS, and eventually EDF, that can be funded in the framework of the Gedepeon research group. This document gathers the slides of the 17 presentations given at this workshop and dealing with: the H{sub 2} question and the international research programs (Lucchese P.); the CEA's research program (Lucchese P., Anzieu P.); processes based on the iodine/sulfur cycle: efficiency of a facility - flow-sheets, efficiencies, hard points (Borgard J.M.), R and D about the I/S cycle: Bunsen reaction (Colette S.), R and D about the I/S cycle: the HI/I{sub 2}/H{sub 2}O system (Doizi D.), demonstration loop/chemical engineering (Duhamet J.), materials and corrosion (Terlain A.); other processes under study: the Westinghouse cycle (Eysseric C.), other processes under study at the CEA (UT3, plasma,...) (Lemort F.), database about thermochemical cycles (Abanades S.), Zn/ZnO cycle (Broust F.), H{sub 2} production by cracking, high temperature reforming with carbon trapping (Flamant G.), membrane technology (De Lamare J.); high-temperature electrolysis: SOFC used as electrolyzers (Grastien R.); generic aspects linked with hydrogen production: technical-economical evaluation of processes (Werkoff F.), thermodynamic tools (Neveu P.), the reactor-process coupling (Aujollet P.). (J.S.)

  15. Failure of single electron descriptions of molecular orbital collision processes

    International Nuclear Information System (INIS)

    Elston, S.B.

    1978-01-01

    Inner-shell excitation occurring in low and moderate (keV range) energy collisions between light atomic and ionic systems is frequently describable in terms of molecular promotion mechanisms, which were extensively explored both theoretically and experimentally. The bulk of such studies have concentrated on processes understandable through the use of single- and independent-electron models. Nonetheless, it is possible to find cases of inner-shell excitation in relatively simple collision systems which involve nearly simultaneous multiple-electron transitions and transitions induced by inherently two-electron interactions. Evidence for these many- and nonindependent-electron phenomena in inner-shell excitation processes and the importance of considering such effects in the interpretation of collisionally induced excitation spectra is discussed. 13 references

  16. Application of electron accelerator for thin film in Indonesia

    International Nuclear Information System (INIS)

    Danu, Sugiarto; Darsono, Dadang

    2004-01-01

    Electron accelerator is widely used for the crosslinking of wire and cable insulation, the treatment of heat shrinkable products, precuring of tire components, and the sterilization of medical products. Research and development the use of electron accelerator for thin film in Indonesia covered radiation curing of surface coating, crosslinking of poly (butylenes succinate), crosslinking of wire, cable and heat shrinkable, sterilization of wound dressing, and prevulcanization of tire. In general, comparing with conventional method, electron beam processing have some advantages, such as, less energy consumption, much higher production rate, processing ability at ambient temperature and environmental friendly. Indonesia has a great potential to develop the application of electron accelerator, due to the remarkable growth industrial sector, the abundant of natural resources and the increasing demand of the high quality products. This paper describes the activities concerning with R and D, and application of electron accelerator for processing of thin film. (author)

  17. Inkjet printing as a roll-to-roll compatible technology for the production of large area electronic devices on a pre-industrial scale

    NARCIS (Netherlands)

    Teunissen, P.; Rubingh, E.; Lammeren, T. van; Abbel, R.J.; Groen, P.

    2014-01-01

    Inkjet printing is a promising approach towards the solution processing of electronic devices on an industrial scale. Of particular interest is the production of high-end applications such as large area OLEDs on flexible substrates. Roll-to-roll (R2R) processing technologies involving inkjet

  18. Material and process selection using product examples

    DEFF Research Database (Denmark)

    Lenau, Torben Anker

    2001-01-01

    The objective of the paper is to suggest a different procedure for selecting materials and processes within the product development work. The procedure includes using product examples in order to increase the number of alternative materials and processes that is considered. Product examples can c...... a search engine, and through hyperlinks can relevant materials and processes be explored. Realising that designers are very sensitive to user interfaces do all descriptions of materials, processes and products include graphical descriptions, i.e. pictures or computer graphics....

  19. Material and process selection using product examples

    DEFF Research Database (Denmark)

    Lenau, Torben Anker

    2002-01-01

    The objective of the paper is to suggest a different procedure for selecting materials and processes within the product development work. The procedure includes using product examples in order to increase the number of alternative materials and processes that is considered. Product examples can c...... a search engine, and through hyperlinks can relevant materials and processes be explored. Realising that designers are very sensitive to user interfaces do all descriptions of materials, processes and products include graphical descriptions, i.e. pictures or computer graphics....

  20. Integrating ergonomics into the product development process

    DEFF Research Database (Denmark)

    Broberg, Ole

    1997-01-01

    and production engineers regarding information sources in problem solving, communication pattern, perception of ergonomics, motivation and requests to support tools and methods. These differences and the social and organizational contexts of the development process must be taken into account when considering......A cross-sectional case study was performed in a large company producing electro-mechanical products for industrial application. The purpose was to elucidate conditions and strategies for integrating ergonomics into the product development process thereby preventing ergonomic problems at the time...... of manufacture of new products. In reality the product development process is not a rational problem solving process and does not proceed in a sequential manner as decribed in engineering models. Instead it is a complex organizational process involving uncertainties, iterative elements and negotiation between...

  1. Multiple electron processes of He and Ne by proton impact

    Science.gov (United States)

    Terekhin, Pavel Nikolaevich; Montenegro, Pablo; Quinto, Michele; Monti, Juan; Fojon, Omar; Rivarola, Roberto

    2016-05-01

    A detailed investigation of multiple electron processes (single and multiple ionization, single capture, transfer-ionization) of He and Ne is presented for proton impact at intermediate and high collision energies. Exclusive absolute cross sections for these processes have been obtained by calculation of transition probabilities in the independent electron and independent event models as a function of impact parameter in the framework of the continuum distorted wave-eikonal initial state theory. A binomial analysis is employed to calculate exclusive probabilities. The comparison with available theoretical and experimental results shows that exclusive probabilities are needed for a reliable description of the experimental data. The developed approach can be used for obtaining the input database for modeling multiple electron processes of charged particles passing through the matter.

  2. Production of talc nano sheets via fine grinding and sonication processes

    International Nuclear Information System (INIS)

    Samayamutthirian Palaniandy; Noorina Hidayu Jamil Khairun Azizi Mohd Azizli; Syed Fuad Saiyid Hashim; Hashim Hussin

    2009-01-01

    Fine grinding of high purity talc in jet mill at low grinding pressure was carried out by varying the feed rate and classifier rotational speed. These ground particles were sonicated in laboratory ultrasonic bath by varying the soniction period at five levels. The ground and sonicated particles were characterized in terms of particle size and particle size distribution. Mechanochemical and sonochemical effect of talc was determine via X-ray diffraction. Particle shape and surface texture of the ground and sonicated product was determined via scanning electron microscope and transmission electron microscope. The ground particle size exhibited particle size below 10 μm with narrow size distribution. The reduction of peak intensity in (002) plane indicated the layered structure has been distorted. The sonicated talc shows that the thickness of the talc particles after the sonication process is 20 nm but the lateral particle size still remains in micron range. The reduction of the XRD peak intensity for (002) plane and thickness of sonicated talc as shown in SEM and TEM micrographs proves that fine grinding and sonication process produces talc nano sheets. (author)

  3. Potential ceramics processing applications with high-energy electron beams

    International Nuclear Information System (INIS)

    Struve, K.W.; Turman, B.N.

    1993-01-01

    High-energy, high-current electron beams may offer unique features for processing of ceramics that are not available with any other heat source. These include the capability to instantaneously heat to several centimeters in depth, to preferentially deposit energy in dense, high-z materials, to process at atmospheric pressures in air or other gases, to have large control over heating volume and heating rate, and to have efficient energy conversion. At a recent workshop organized by the authors to explore opportunities for electron beam processing of ceramics, several applications were identified for further development. These were ceramic joining, fabrication of ceramic powders, and surface processing of ceramics. It may be possible to join ceramics by either electron-beam brazing or welding. Brazing with refractory metals might also be feasible. The primary concern for brazing is whether the braze material can wet to the ceramic when rapidly heated by an electron beam. Raw ceramic powders, such as silicon nitride and aluminum nitride, which are difficult to produce by conventional techniques, could possibly be produced by vaporizing metals in a nitrogen atmosphere. Experiments need to be done to verify that the vaporized metal can fully react with the nitrogen. By adjusting beam parameters, high-energy beams can be used to remove surface flaws which are often sites of fracture initiation. They can also be used for surface cleaning. The advantage of electron beams rather than ion beams for this application is that the heat deposition can be graded into the material. The authors will discuss the capabilities of beams from existing machines for these applications and discuss planned experiments

  4. Sustainable Electronic Roadmap and Forum Summary; Sustainable Electronics Forum, October 15-18, 2012, Racine, WI

    Science.gov (United States)

    The Roadmap presents critical issues and research questions for each theme. For Theme 1, the issues for limiting the harm from materials and process in electronics industry include identifying the chemicals in products, production process, in the extraction of virgin materials, i...

  5. Effects of discharge cleaning on the production of runaway electrons in TORTUS tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Cross, R C; Liu, J R; Giannone, L. (Sydney Univ. (Australia). School of Physics)

    1983-06-01

    Experimental results are presented on the production of runaway electrons as a function of wall cleanliness in the TORTUS tokamak. When the walls are clean, the production rate decreases as the filling pressure increases. When the walls are contaminated by oxygen, the production rate can increase when the filling pressure is increased, owing to the production of water vapour during tokamak discharges. These results resolve the differences reported in the literature on the production of runaways as a function of filling pressure. It is also observed that the runaway electron instability seen in other devices is suppressed when the walls are discharge-cleaned.

  6. Electronic Processes at Organic−Organic Interfaces: Insight from Modeling and Implications for Opto-electronic Devices †

    KAUST Repository

    Beljonne, David; Cornil, Jérôme; Muccioli, Luca; Zannoni, Claudio; Brédas, Jean-Luc; Castet, Frédéric

    2011-01-01

    We report on the recent progress achieved in modeling the electronic processes that take place at interfaces between π-conjugated materials in organic opto-electronic devices. First, we provide a critical overview of the current computational

  7. Impact of electron-electron Coulomb interaction on the high harmonic generation process in graphene

    Science.gov (United States)

    Avetissian, H. K.; Mkrtchian, G. F.

    2018-03-01

    Generation of high harmonics in a monolayer graphene initiated by a strong coherent radiation field, taking into account electron-electron Coulomb interaction, is investigated. A microscopic theory describing the nonlinear optical response of graphene is developed. The Coulomb interaction of electrons is treated in the scope of dynamic Hartree-Fock approximation. The closed set of integrodifferential equations for the single-particle density matrix of a graphene quantum structure is solved numerically. The obtained solutions show the significance of many-body Coulomb interaction on the high harmonic generation process in graphene.

  8. Electron beam irradiation process applied to primary and secondary recycled high density polyethylene

    International Nuclear Information System (INIS)

    Cardoso, Jéssica R.; Moura, Eduardo de; Geraldo, Áurea B.C.

    2017-01-01

    Plastic bags, packaging and furniture items are examples of plastic utilities always present in life. However, the end-of-life of plastics impacts the environment because of this ubiquity and also often their high degradation time. Recycling processes are important in this scenario because they offer many solutions to this problem. Basically, four ways are known for plastic recycling: primary recycling, which consists in re-extrusion of clean plastic scraps from a production plant; secondary recycling, that uses end-of-life products that generally are reduced in size by extrusion to obtain a more desirable shape for reprocessing (pellets and powder); tertiary recover which is related to thermo-chemical methods to produce fuels and petrochemical feedstock; and quaternary route, that is related to energy recovery and it is done in appropriate reactors. In this work, high density polyethylene (HDPE) was recovered to simulate empirically the primary and secondary recycling ways using materials which ranged from pristine to 20-fold re-extrused materials. The final 20-fold recycled thermoplastic was irradiated in an electron beam accelerator under a dose rate of 22.4 kGy/s and absorbed doses of 50 kGy and 100 kGy. The characterization of HDPE in distinct levels of recovering was performed by infrared spectroscopy (FTIR) and thermogravimetric degradation. In the HDPE recycling, degradation and crosslinking are consecutive processes; degradation is very noticeable in the 20-fold recycled product. Despite this, the 20-fold recycled product presents crosslinking after irradiation process and the post-irradiation product presents similarities in spectroscopic and thermal degradation characteristics of pristine, irradiated HDPE. These results are discussed. (author)

  9. Electron beam irradiation process applied to primary and secondary recycled high density polyethylene

    Energy Technology Data Exchange (ETDEWEB)

    Cardoso, Jéssica R.; Moura, Eduardo de; Geraldo, Áurea B.C., E-mail: ageraldo@ipen.br [Instituto de Pesquisas Energéticas e Nucleares (IPEN/CNEN-SP), São Paulo, SP (Brazil)

    2017-07-01

    Plastic bags, packaging and furniture items are examples of plastic utilities always present in life. However, the end-of-life of plastics impacts the environment because of this ubiquity and also often their high degradation time. Recycling processes are important in this scenario because they offer many solutions to this problem. Basically, four ways are known for plastic recycling: primary recycling, which consists in re-extrusion of clean plastic scraps from a production plant; secondary recycling, that uses end-of-life products that generally are reduced in size by extrusion to obtain a more desirable shape for reprocessing (pellets and powder); tertiary recover which is related to thermo-chemical methods to produce fuels and petrochemical feedstock; and quaternary route, that is related to energy recovery and it is done in appropriate reactors. In this work, high density polyethylene (HDPE) was recovered to simulate empirically the primary and secondary recycling ways using materials which ranged from pristine to 20-fold re-extrused materials. The final 20-fold recycled thermoplastic was irradiated in an electron beam accelerator under a dose rate of 22.4 kGy/s and absorbed doses of 50 kGy and 100 kGy. The characterization of HDPE in distinct levels of recovering was performed by infrared spectroscopy (FTIR) and thermogravimetric degradation. In the HDPE recycling, degradation and crosslinking are consecutive processes; degradation is very noticeable in the 20-fold recycled product. Despite this, the 20-fold recycled product presents crosslinking after irradiation process and the post-irradiation product presents similarities in spectroscopic and thermal degradation characteristics of pristine, irradiated HDPE. These results are discussed. (author)

  10. Electron-photon and electron-electron interactions in the presence of strong electromagnetic fields

    International Nuclear Information System (INIS)

    Surzhykov, A.; Fritzsche, S.; Stoehlker, Th.

    2010-01-01

    During the last decade, photon emission from highly-charged, heavy ions has been in the focus of intense studies at the GSI accelerator and storage ring facility in Darmstadt. These studies have revealed unique information about the electron-electron and electron-photon interactions in the presence of extremely strong nuclear fields. Apart from the radiative electron capture processes, characteristic photon emission following collisional excitation of projectile ions has also attracted much interest. In this contribution, we summarize the recent theoretical studies on the production of excited ionic states and their subsequent radiative decay. We will pay special attention to the angular and polarization properties of Kα emission from helium-like ions produced by means of dielectronic recombination. The results obtained for this (resonant) capture process will be compared with the theoretical predictions for the characteristic X-rays following Coulomb excitation and radiative recombination of few-electron, heavy ions. Work is supported by Helmholtz Association and GSl under the project VH-NG--421. (author)

  11. NASA Product Peer Review Process

    Science.gov (United States)

    Jenks, Ken

    2009-01-01

    This viewgraph presentation describes NASA's product peer review process. The contents include: 1) Inspection/Peer Review at NASA; 2) Reasons for product peer reviews; 3) Different types of peer reviews; and 4) NASA requirements for peer reviews. This presentation also includes a demonstration of an actual product peer review.

  12. The management and development of the chopped firewood production process

    International Nuclear Information System (INIS)

    Jouhiaho, A.; Kouki, J.; Kara, K.; Mutikainen, A.; Oksanen, E.; Seppaenen, A.; Vuorio, K.

    2005-01-01

    The main aim of the project was to improve competitiveness of chopped firewood by producing information that can help to reduce production and distribution costs as well as increase the quality of chopped firewood produced. The aim was to attain the goal through four subprojects: 1. Productivity, Costs and Development Targets of New Firewood Machines, 2. The Artificial Drying and Storage Management of Chopped Firewood, 3. New Logistics Solutions for the Chopped Firewood Production Process, and 4. The Current Situation of the Firewood Trade in Europe. The research project covered an analysis of the productivity of new firewood machines, and the costs and quality of produced chopped firewood. Suggestions were made to firewood machine manufacturers for developing firewood machines. Also, the cost-effectiveness of current chopped firewood production and distribution chains was studied. Field tests were carried out on different types of cold and warm air dryers being used by chopped firewood entrepreneurs. In addition, the suitability of resistance based electronic wood moisture meter for measuring the moisture content of single chopped firewood pieces was also studied. Furthermore, a survey was carried out on the volume of firewood sales and the firewood production equipment available for sale in Europe, and European firewood merchants' methods of operation was studied. (orig.)

  13. Evaluating print performance of Sn-Ag-Cu lead-free solder pastes used in electronics assembly process

    Science.gov (United States)

    Mallik, S.; Bauer, R.; Hübner, F.; Ekere, N. N.

    2011-01-01

    Solder paste is the most widely used interconnection material in the electronic assembly process for attaching electronic components/devices directly onto the surface of printed circuit boards, using stencil printing process. This paper evaluates the performance of three different commercially available Sn-Ag-Cu solder pastes formulated with different particle size distributions (PSD), metal content and alloy composition. A series of stencil printing tests were carried out using a specially designed stencil of 75 μm thickness and apertures of 300×300 μm2 dimension and 500 μm pitch sizes. Solder paste printing behaviors were found related to attributes such as slumping and surface tension and printing performance was correlated with metal content and PSD. The results of the study should benefit paste manufacturers and SMT assemblers to improve their products and practices.

  14. Process performance and product quality in an integrated continuous antibody production process.

    Science.gov (United States)

    Karst, Daniel J; Steinebach, Fabian; Soos, Miroslav; Morbidelli, Massimo

    2017-02-01

    Continuous manufacturing is currently being seriously considered in the biopharmaceutical industry as the possible new paradigm for producing therapeutic proteins, due to production cost and product quality related benefits. In this study, a monoclonal antibody producing CHO cell line was cultured in perfusion mode and connected to a continuous affinity capture step. The reliable and stable integration of the two systems was enabled by suitable control loops, regulating the continuous volumetric flow and adapting the operating conditions of the capture process. For the latter, an at-line HPLC measurement of the harvest concentration subsequent to the bioreactor was combined with a mechanistic model of the capture chromatographic unit. Thereby, optimal buffer consumption and productivity throughout the process was realized while always maintaining a yield above the target value of 99%. Stable operation was achieved at three consecutive viable cell density set points (20, 60, and 40 × 10 6 cells/mL), together with consistent product quality in terms of aggregates, fragments, charge isoforms, and N-linked glycosylation. In addition, different values for these product quality attributes such as N-linked glycosylation, charge variants, and aggregate content were measured at the different steady states. As expected, the amount of released DNA and HCP was significantly reduced by the capture step for all considered upstream operating conditions. This study is exemplary for the potential of enhancing product quality control and modulation by integrated continuous manufacturing. Biotechnol. Bioeng. 2017;114: 298-307. © 2016 Wiley Periodicals, Inc. © 2016 Wiley Periodicals, Inc.

  15. The mechanisms and process of acephate degradation by hydroxyl radical and hydrated electron

    Directory of Open Access Journals (Sweden)

    Yuanyuan Huang

    2018-02-01

    Full Text Available The degradation process of acephate in aqueous solution with ·OH and eaq− produced by 60Co-γ irradiation and electron pulse radiolysis was studied in the present paper. In the aqueous solution, acephate reacted with eaq− and transformed to transient species which can absorb weakly in the wavelength range of 300–400 nm and decay very fast. According to the decay of hydrated electron, the reaction rate constant of eaq− and acephate is (3.51 ± 0.076 × 109 dm3·mol−1·s−1. The transient species produced in the reaction of ·OH and acephate do not distinctly absorb the light in the wavelength range of 300–700 nm, so the decay and kinetics of the transient species cannot determinedirectly. The competing reaction of KSCN oracephate with ·OH were studied to obtain the reaction rate constant of ·OH and acephate, which is (9.1 ± 0.11 × 108 dm3·mol−1·s−1. Although acetylamide and inorganic ions were determined in the products of the reaction of acephate with ·OH or eaq−, the concentration of inorganic ions in the products of the reaction of acephate with ·OH is higher than that in the product of the reaction of acephate with eaq−. Moreover, there were sulfide in the products of the reaction of acephatewith eaq−. The degradation pathways of acephate by ·OH and eaq− were also proposed based on the products from GC-MS.

  16. An Evaluation of Dynamic Partial Reconfiguration for Signal and Image Processing in Professional Electronics Applications

    Directory of Open Access Journals (Sweden)

    Aulagnier Denis

    2008-01-01

    Full Text Available Abstract Signal and image processing applications require a lot of computing resources. For low-volume applications like in professional electronics applications, FPGA are used in combination with DSP and GPP in order to reach the performances required by the product roadmaps. Nevertheless, FPGA designs are static, which raises a flexibility issue with new complex or software defined applications like software-defined radio (SDR. In this scope, dynamic partial reconfiguration (DPR is used to bring a virtualization layer upon the static hardware of FPGA. During the last decade, DPR has been widely studied in academia. Nevertheless, there are very few real applications using it, and therefore, there is a lack of feedback providing relevant issues to address in order to improve its applicability. This paper evaluates the interest and limitations when using DPR in professional electronics applications and provides guidelines to improve its applicability. It makes a fair evaluation based on experiments made on a set of signal and image processing applications. It identifies the missing elements of the design flow to use DPR in professional electronics applications. Finally, it introduces a fast reconfiguration manager providing an 84-time improvement compared to the vendor solution.

  17. Relativistic total and differential cross section proton--proton electron--positron pair production calculation

    International Nuclear Information System (INIS)

    Rubinstein, J.E.

    1976-01-01

    Circle Feynman diagrams for a specific permutation of variables along with their corresponding algebraic expressions are presented to evaluate [H] 2 for proton-proton electron-positron pair production. A Monte Carlo integration technique is introduced and is used to set up the multiple integral expression for the total pair production cross section. The technique is first applied to the Compton scattering problem and then to an arbitrary multiple integral. The relativistic total cross section for proton-proton electron-positron pair production was calculated for eight different values of incident proton energy. A variety of differential cross sections were calculated for the above energies. Angular differential cross section distributions are presented for the electron, positron, and proton. Invariant mass differential cross section distributions are done both with and without the presence of [H] 2 . Both WGHT and log 10 (TOTAL) distributions were also obtained. The general behavioral trends of the total and differential cross sections for proton-proton electron-positron pair production are presented. The range of validity for this calculation is from 0 to about 200 MeV

  18. Evolutionary optimization of production materials workflow processes

    DEFF Research Database (Denmark)

    Herbert, Luke Thomas; Hansen, Zaza Nadja Lee; Jacobsen, Peter

    2014-01-01

    We present an evolutionary optimisation technique for stochastic production processes, which is able to find improved production materials workflow processes with respect to arbitrary combinations of numerical quantities associated with the production process. Working from a core fragment...... of the BPMN language, we employ an evolutionary algorithm where stochastic model checking is used as a fitness function to determine the degree of improvement of candidate processes derived from the original process through mutation and cross-over operations. We illustrate this technique using a case study...

  19. Low energy electron beam processing of YBCO thin films

    Energy Technology Data Exchange (ETDEWEB)

    Chromik, Š., E-mail: stefan.chromik@savba.sk [Institute of Electrical Engineering, SAS, Dúbravská cesta 9, 841 04 Bratislava (Slovakia); Camerlingo, C. [CNR-SPIN, Istituto Superconduttori, Materiali Innovativi e Dispositivi, via Campi Flegrei 34, 80078 Pozzuoli (Italy); Sojková, M.; Štrbík, V.; Talacko, M. [Institute of Electrical Engineering, SAS, Dúbravská cesta 9, 841 04 Bratislava (Slovakia); Malka, I.; Bar, I.; Bareli, G. [Department of Physics, Ben Gurion University of the Negev, P.O.B. 653, 84105 Beer Sheva (Israel); Jung, G. [Department of Physics, Ben Gurion University of the Negev, P.O.B. 653, 84105 Beer Sheva (Israel); Institute of Physics, Polish Academy of Sciences, Al. Lotników 32/46, 02-668 Warsaw (Poland)

    2017-02-15

    Highlights: • Improvement of superconducting properties of irradiated bridges under certain conditions. • 30 keV irradiation influence CuO{sub 2} planes as well as oxygen chains. • Direct confirmation of changes in oxygen chains using micro-Raman spectroscopy. • Possibility of electron writing. - Abstract: Effects of low energy 30 keV electron irradiation of superconducting YBa{sub 2}Cu{sub 3}O{sub 7−δ} thin films have been investigated by means of transport and micro-Raman spectroscopy measurements. The critical temperature and the critical current of 200 nm thick films initially increase with increasing fluency of the electron irradiation, reach the maximum at fluency 3 − 4 × 10{sup 20} electrons/cm{sup 2}, and then decrease with further fluency increase. In much thinner films (75 nm), the critical temperature increases while the critical current decreases after low energy electron irradiation with fluencies below 10{sup 20} electrons/cm{sup 2}. The Raman investigations suggest that critical temperature increase in irradiated films is due to healing of broken Cu−O chains that results in increased carrier’s concentration in superconducting CuO{sub 2} planes. Changes in the critical current are controlled by changes in the density of oxygen vacancies acting as effective pinning centers for flux vortices. The effects of low energy electron irradiation of YBCO turned out to result from a subtle balance of many processes involving oxygen removal, both by thermal activation and kick-off processes, and ordering of chains environment by incident electrons.

  20. New Vistas in Chemical Product and Process Design

    DEFF Research Database (Denmark)

    Zhang, Lei; Babi, Deenesh Kavi; Gani, Rafiqul

    2016-01-01

    Design of chemicals-based products is broadly classified into those that are process centered and those that are product centered. In this article, the designs of both classes of products are reviewed from a process systems point of view; developments related to the design of the chemical product......, its corresponding process, and its integration are highlighted. Although significant advances have been made in the development of systematic model-based techniques for process design (also for optimization, operation, and control), much work is needed to reach the same level for product design....... Timeline diagrams illustrating key contributions in product design, process design, and integrated product-process design are presented. The search for novel, innovative, and sustainable solutions must be matched by consideration of issues related to the multidisciplinary nature of problems, the lack...

  1. Current status of electron beam processing system and its applications

    International Nuclear Information System (INIS)

    Taniguchi, S.

    2005-01-01

    The feature and application fields of electron beam processing systems (EPS), the selection of machine ratings and safety measures for EPS are described. EPS has the various features: a) Chemical reactions occurs independent of the temperature, b) it occurs without any added substances such as catalysts, c) mass productivity, d) easy operation, as is exemplified by switch ON and OFF, and e) easy maintenance, compared with radioisotope sources. After briefly explaining acceleration type (DC or AC), power supply (Van-de-Graaf or Cockcroft-walton and others), beam scanning to be used for large area irradiation, and some typical applications (cross-linking, radical polymerization, the paper describes necessary safety measures such as X-ray shielding, ozone control including ozone generation and its disposal, interlock system, warning buzzer, and monitoring and measuring. (S. Ohno)

  2. Direction of CRT waste glass processing: electronics recycling industry communication.

    Science.gov (United States)

    Mueller, Julia R; Boehm, Michael W; Drummond, Charles

    2012-08-01

    Cathode Ray Tube, CRT, waste glass recycling has plagued glass manufacturers, electronics recyclers and electronics waste policy makers for decades because the total supply of waste glass exceeds demand, and the formulations of CRT glass are ill suited for most reuse options. The solutions are to separate the undesirable components (e.g. lead oxide) in the waste and create demand for new products. Achieving this is no simple feat, however, as there are many obstacles: limited knowledge of waste glass composition; limited automation in the recycling process; transportation of recycled material; and a weak and underdeveloped market. Thus one of the main goals of this paper is to advise electronic glass recyclers on how to best manage a diverse supply of glass waste and successfully market to end users. Further, this paper offers future directions for academic and industry research. To develop the recommendations offered here, a combination of approaches were used: (1) a thorough study of historic trends in CRT glass chemistry; (2) bulk glass collection and analysis of cullet from a large-scale glass recycler; (3) conversations with industry members and a review of potential applications; and (4) evaluation of the economic viability of specific uses for recycled CRT glass. If academia and industry can solve these problems (for example by creating a database of composition organized by manufacturer and glass source) then the reuse of CRT glass can be increased. Copyright © 2012 Elsevier Ltd. All rights reserved.

  3. Effects of discharge cleaning on the production of runaway electrons in TORTUS tokamak

    International Nuclear Information System (INIS)

    Cross, R.C.; Liu, J.R.; Giannone, L.

    1983-01-01

    Experimental results are presented on the production of runaway electrons as a function of wall cleanliness in the TORTUS tokamak. When the walls are clean, the production rate decreases as the filling pressure increases. When the walls are contaminated by oxygen, the production rate can increase when the filling pressure is increased, owing to the production of water vapour during tokamak discharges. These results resolve the differences reported in the literature on the production of runaways as a function of filling pressure. It is also observed that the runaway electron instability seen in other devices is suppressed when the walls are discharge-cleaned. (author)

  4. Narrative infrastructure in product creation processes

    NARCIS (Netherlands)

    Deuten, Jasper; Rip, Arie

    2000-01-01

    In product creation processes, perhaps even more than in organization processes in general, uncertainties are addressed and complexity is reduced. In retrospect, linearized success stories are told. The history of a product innovation in a biotechnology firm is used to show how actually, over time,

  5. Hydrogenation of organic matter as a terminal electron sink sustains high CO 2 :CH 4 production ratios during anaerobic decomposition

    Energy Technology Data Exchange (ETDEWEB)

    Wilson, Rachel M.; Tfaily, Malak M.; Rich, Virginia I.; Keller, Jason K.; Bridgham, Scott D.; Zalman, Cassandra Medvedeff; Meredith, Laura; Hanson, Paul J.; Hines, Mark; Pfeifer-Meister, Laurel; Saleska, Scott R.; Crill, Patrick; Cooper, William T.; Chanton, Jeff P.; Kostka, Joel E.

    2017-10-01

    Once inorganic electron acceptors are depleted, organic matter in anoxic environments decomposes by hydrolysis, fermentation, and methanogenesis, requiring syntrophic interactions between microorganisms to achieve energetic favorability. In this classic anaerobic food chain, methanogenesis represents the terminal electron accepting (TEA) process, ultimately producing equimolar CO2 and CH4 for each molecule of organic matter degraded. However, CO2:CH4 production in Sphagnum-derived, mineral-poor, cellulosic peat often substantially exceeds this 1:1 ratio, even in the absence of measureable inorganic TEAs. Since the oxidation state of C in both cellulose-derived organic matter and acetate is 0, and CO2 has an oxidation state of +4, if CH4 (oxidation state -4) is not produced in equal ratio, then some other compound(s) must balance CO2 production by receiving 4 electrons. Here we present evidence for ubiquitous hydrogenation of diverse unsaturated compounds that appear to serve as organic TEAs in peat, thereby providing the necessary electron balance to sustain CO2:CH4 >1. While organic electron acceptors have previously been proposed to drive microbial respiration of organic matter through the reversible reduction of quinone moieties, the hydrogenation mechanism that we propose, by contrast, reduces C-C double bonds in organic matter thereby serving as 1) a terminal electron sink, 2) a mechanism for degrading complex unsaturated organic molecules, 3) a potential mechanism to regenerate electron-accepting quinones, and, in some cases, 4) a means to alleviate the toxicity of unsaturated aromatic acids. This mechanism for CO2 generation without concomitant CH4 production has the potential to regulate the global warming potential of peatlands by elevating CO2:CH4 production ratios.

  6. Signal processing and electronics for nuclear spectrometry. Proceedings of a technical meeting

    International Nuclear Information System (INIS)

    2009-12-01

    The IAEA has responded to Member States needs by implementing programmatic activities that provide interested Member States, particularly those in developing countries, with support to increase, and in some cases establish national and regional capabilities for the proper operation, calibration, maintenance and utilization of instruments in nuclear spectrometry applications. Technological advances in instrumentation, as well as the consequent high rate of obsolescence, make it important for nuclear instrumentation laboratories in Member States to keep their knowledge and skills up to date. This publication reviews the current status, developments and trends in electronics and digital methods for nuclear spectrometry, providing useful information for interested Member States to keep pace with new and evolving technologies. All nuclear spectrometry systems contain electronic circuits and devices, commonly referred to as front-end electronics, which accept and process the electrical signals produced by radiation detectors. This front-end electronics are composed of a chain of signal processing subsystems that filter, amplify, shape, and digitise these electrical signals to finally produce digitally encoded information about the type and nature of the radiation that stimulated the radiation detector. The design objective of front-end electronics is to obtain maximum information about the radiation and with the highest possible accuracy. Historically, the front-end electronics has consisted of all analog components. The performance delivered has increased continually over time through the development and implementation of new and improved analog electronics and electronic designs. The development of digital electronics, programmable logic, and digital signal processing techniques has now enabled most of the analog front-end electronics to be replaced by digital electronics, opening up new opportunities and delivering new benefits not previously achievable. Digital

  7. Electron beam accelerators—trends in radiation processing technology for industrial and environmental applications in Latin America and the Caribbean

    Science.gov (United States)

    Parejo Calvo, Wilson A.; Duarte, Celina L.; Machado, Luci Diva B.; Manzoli, Jose E.; Geraldo, Aurea Beatriz C.; Kodama, Yasko; Silva, Leonardo Gondim A.; Pino, Eddy S.; Somessari, Elizabeth S. R.; Silveira, Carlos G.; Rela, Paulo R.

    2012-08-01

    The radiation processing technology for industrial and environmental applications has been developed and used worldwide. In Latin America and the Caribbean and particularly in Brazil there are 24 and 16 industrial electron beam accelerators (EBA) respectively with energy from 200 keV to 10 MeV, operating in private companies and governmental institutions to enhance the physical and chemical properties of materials. However, there are more than 1500 high-current electron beam accelerators in commercial use throughout the world. The major needs and end-use markets for these electron beam (EB) units are R and D, wire and electric cables, heat shrinkable tubes and films, PE foams, tires, components, semiconductors and multilayer packaging films. Nowadays, the emerging opportunities in Latin America and the Caribbean are paints, adhesives and coatings cure in order to eliminate VOCs and for less energy use than thermal process; disinfestations of seeds; and films and multilayer packaging irradiation. For low-energy EBA (from 150 keV to 300 keV). For mid-energy EBA (from 300 keV to 5 MeV), they are flue gas treatment (SO2 and NOX removal); composite and nanocomposite materials; biodegradable composites based on biorenewable resources; human tissue sterilization; carbon and silicon carbide fibers irradiation; irradiated grafting ion-exchange membranes for fuel cells application; electrocatalysts nanoparticles production; and natural polymers irradiation and biodegradable blends production. For high-energy EBA (from 5 MeV to 10 MeV), they are sterilization of medical, pharmaceutical and biological products; gemstone enhancement; treatment of industrial and domestic effluents and sludge; preservation and disinfestations of foods and agricultural products; soil disinfestations; lignocellulosic material irradiation as a pretreatment to produce ethanol biofuel; decontamination of pesticide packing; solid residues remediation; organic compounds removal from wastewater; and

  8. Electron beam accelerators—trends in radiation processing technology for industrial and environmental applications in Latin America and the Caribbean

    International Nuclear Information System (INIS)

    Parejo Calvo, Wilson A.; Duarte, Celina L.; Machado, Luci Diva B.; Manzoli, Jose E.; Geraldo, Aurea Beatriz C.; Kodama, Yasko; Silva, Leonardo Gondim A.; Pino, Eddy S.; Somessari, Elizabeth S.R.; Silveira, Carlos G.

    2012-01-01

    The radiation processing technology for industrial and environmental applications has been developed and used worldwide. In Latin America and the Caribbean and particularly in Brazil there are 24 and 16 industrial electron beam accelerators (EBA) respectively with energy from 200 keV to 10 MeV, operating in private companies and governmental institutions to enhance the physical and chemical properties of materials. However, there are more than 1500 high-current electron beam accelerators in commercial use throughout the world. The major needs and end-use markets for these electron beam (EB) units are R and D, wire and electric cables, heat shrinkable tubes and films, PE foams, tires, components, semiconductors and multilayer packaging films. Nowadays, the emerging opportunities in Latin America and the Caribbean are paints, adhesives and coatings cure in order to eliminate VOCs and for less energy use than thermal process; disinfestations of seeds; and films and multilayer packaging irradiation. For low-energy EBA (from 150 keV to 300 keV). For mid-energy EBA (from 300 keV to 5 MeV), they are flue gas treatment (SO 2 and NO X removal); composite and nanocomposite materials; biodegradable composites based on biorenewable resources; human tissue sterilization; carbon and silicon carbide fibers irradiation; irradiated grafting ion-exchange membranes for fuel cells application; electrocatalysts nanoparticles production; and natural polymers irradiation and biodegradable blends production. For high-energy EBA (from 5 MeV to 10 MeV), they are sterilization of medical, pharmaceutical and biological products; gemstone enhancement; treatment of industrial and domestic effluents and sludge; preservation and disinfestations of foods and agricultural products; soil disinfestations; lignocellulosic material irradiation as a pretreatment to produce ethanol biofuel; decontamination of pesticide packing; solid residues remediation; organic compounds removal from wastewater; and

  9. Characterization of Neutral Radicals from a Dissociative Electron Attachment Process

    Science.gov (United States)

    Li, Zhou; Milosavljević, Aleksandar R.; Carmichael, Ian; Ptasinska, Sylwia

    2017-08-01

    Despite decades of gas-phase studies on dissociative electron attachment (DEA) to various molecules, as yet there has been no direct detection and characterization of the neutral radical species produced by this process. In this study, we performed stepwise electron spectroscopy to directly measure and characterize the neutrals produced upon zero-electron-energy DEA to the model molecule, carbon tetrachloride (CCl4 ). We observed the direct yield of the trichloromethyl radical (CCl3. ) formed by DEA to CCl4 and measured the appearance energies of all the other neutral species. By combining these experimental findings with high-level quantum chemical calculations, we performed a complete analysis of both the DEA to CCl4 and the subsequent electron-impact ionization of CCl3. . This work paves the way toward a complete experimental characterization of DEA processes, which will lead to a better understanding of the low-energy electron-induced formation of radical species.

  10. Multi-Electron Production at High Transverse Momenta in ep Collisions at HERA

    CERN Document Server

    Aktas, A.; Anthonis, T.; Asmone, A.; Babaev, A.; Backovic, S.; Bahr, J.; Baranov, P.; Barrelet, E.; Bartel, W.; Baumgartner, S.; Becker, J.; Beckingham, M.; Behnke, O.; Behrendt, O.; Belousov, A.; Berger, C.; Berndt, T.; Bizot, J.C.; Bohme, J.; Boenig, M.O.; Boudry, V.; Bracinik, J.; Braunschweig, W.; Brisson, V.; Broker, H.B.; Brown, D.P.; Bruncko, D.; Busser, F.W.; Bunyatyan, A.; Buschhorn, G.; Bystritskaya, L.; Campbell, A.J.; Caron, S.; Cassol-Brunner, F.; Chekelian, V.; Clarke, D.; Collard, C.; Contreras, J.G.; Coppens, Y.R.; Coughlan, J.A.; Cousinou, M.C.; Cox, B.E.; Cozzika, G.; Cvach, J.; Dainton, J.B.; Dau, W.D.; Daum, K.; Delcourt, B.; Delerue, N.; Demirchyan, R.; De Roeck, A.; De Wolf, E.A.; Diaconu, C.; Dingfelder, J.; Dodonov, V.; Dowell, J.D.; Dubak, A.; Duprel, C.; Eckerlin, Guenter; Efremenko, V.; Egli, S.; Eichler, R.; Eisele, F.; Ellerbrock, M.; Elsen, E.; Erdmann, M.; Erdmann, W.; Faulkner, P.J.W.; Favart, L.; Fedotov, A.; Felst, R.; Ferencei, J.; Fleischer, M.; Fleischmann, P.; Fleming, Y.H.; Flucke, G.; Flugge, G.; Fomenko, A.; Foresti, I.; Formanek, J.; Franke, G.; Frising, G.; Gabathuler, E.; Gabathuler, K.; Garvey, J.; Gassner, J.; Gayler, Joerg; Gerhards, R.; Gerlich, C.; Ghazaryan, Samvel; Goerlich, L.; Gogitidze, N.; Gorbounov, S.; Grab, C.; Grabski, V.; Grassler, H.; Greenshaw, T.; Gregori, M.; Grindhammer, Guenter; Haidt, D.; Hajduk, L.; Haller, J.; Heinzelmann, G.; Henderson, R.C.W.; Henschel, H.; Henshaw, O.; Heremans, R.; Herrera, G.; Herynek, I.; Hildebrandt, M.; Hiller, K.H.; Hladky, J.; Hoting, P.; Hoffmann, D.; Horisberger, R.; Hovhannisyan, A.; Ibbotson, M.; Jacquet, M.; Janauschek, L.; Janssen, X.; Jemanov, V.; Jonsson, L.; Johnson, C.; Johnson, D.P.; Jung, H.; Kant, D.; Kapichine, M.; Karlsson, M.; Katzy, J.; Keil, F.; Keller, N.; Kennedy, J.; Kenyon, I.R.; Kiesling, Christian M.; Klein, M.; Kleinwort, C.; Kluge, T.; Knies, G.; Koblitz, B.; Kolya, S.D.; Korbel, V.; Kostka, P.; Koutouev, R.; Kropivnitskaya, A.; Kroseberg, J.; Kueckens, J.; Kuhr, T.; Landon, M.P.J.; Lange, W.; Lastovicka, T.; Laycock, P.; Lebedev, A.; Leissner, B.; Lemrani, R.; Lendermann, V.; Levonian, S.; List, B.; Lobodzinska, E.; Loktionova, N.; Lopez-Fernandez, R.; Lubimov, V.; Lueders, H.; Luders, S.; Luke, D.; Lytkin, L.; Makankine, A.; Malden, N.; Malinovski, E.; Mangano, S.; Marage, P.; Marks, J.; Marshall, R.; Martyn, H.U.; Martyniak, J.; Maxfield, S.J.; Meer, D.; Mehta, A.; Meier, K.; Meyer, A.B.; Meyer, H.; Meyer, J.; Michine, S.; Mikocki, S.; Milstead, D.; Moreau, F.; Morozov, A.; Morris, J.V.; Muller, K.; Murin, P.; Nagovizin, V.; Naroska, B.; Naumann, J.; Naumann, T.; Newman, Paul R.; Niebergall, F.; Niebuhr, C.; Nikitin, D.; Nowak, G.; Nozicka, M.; Olivier, B.; Olsson, J.E.; Ozerov, D.; Pascaud, C.; Patel, G.D.; Peez, M.; Perez, E.; Petrukhin, A.; Pitzl, D.; Poschl, R.; Povh, B.; Raicevic, N.; Rauschenberger, J.; Reimer, P.; Reisert, B.; Risler, C.; Rizvi, E.; Robmann, P.; Roosen, R.; Rostovtsev, A.; Rusakov, S.; Rybicki, K.; Sankey, D.P.C.; Sauvan, E.; Schatzel, S.; Scheins, J.; Schilling, F.P.; Schleper, P.; Schmidt, D.; Schmidt, S.; Schmitt, S.; Schneider, M.; Schoeffel, L.; Schoning, A.; Schroder, V.; Schultz-Coulon, H.C.; Schwanenberger, C.; Sedlak, K.; Sefkow, F.; Sheviakov, I.; Shtarkov, L.N.; Sirois, Y.; Sloan, T.; Smirnov, P.; Soloviev, Y.; South, D.; Spaskov, V.; Specka, Arnd E.; Spitzer, H.; Stamen, R.; Stella, B.; Stiewe, J.; Strauch, I.; Straumann, U.; Thompson, Graham; Thompson, P.D.; Tomasz, F.; Traynor, D.; Truoel, Peter; Tsipolitis, G.; Tsurin, I.; Turnau, J.; Turney, J.E.; Tzamariudaki, E.; Uraev, A.; Urban, Marcel; Usik, A.; Valkar, S.; Valkarova, A.; Vallee, C.; Van Mechelen, P.; Vargas Trevino, A.; Vassiliev, S.; Vazdik, Y.; Veelken, C.; Vest, A.; Vichnevski, A.; Volchinski, V.; Wacker, K.; Wagner, J.; Waugh, B.; Weber, G.; Weber, R.; Wegener, D.; Werner, C.; Werner, N.; Wessels, M.; Wessling, B.; Winde, M.; Winter, G.G.; Wissing, C.; Woehrling, E.E.; Wunsch, E.; Zacek, J.; Zalesak, J.; Zhang, Z.; Zhokin, A.; Zomer, F.; zur Nedden, M.

    2003-01-01

    Multi-electron production is studied at high electron transverse momentum in positron- and electron-proton collisions using the H1 detector at HERA. The data correspond to an integrated luminosity of 115 pb-1. Di-electron and tri-electron event yields are measured. Cross sections are derived in a restricted phase space region dominated by photon-photon collisions. In general good agreement is found with the Standard Model predictions. However, for electron pair invariant masses above 100 GeV, three di-electron events and three tri-electron events are observed, compared to Standard Model expectations of 0.30 pm 0.04 and 0.23 pm 0.04, respectively.

  11. Algae biotechnology: products and processes

    National Research Council Canada - National Science Library

    Bux, F; Chisti, Yusuf

    2016-01-01

    This book examines the utilization of algae for the development of useful products and processes with the emphasis towards green technologies and processes, and the requirements to make these viable...

  12. Do Process Innovations Induce Product Ones?

    OpenAIRE

    Maria Rosa Battaggion; Piero Tedeschi

    2006-01-01

    We study the relationship between process and product innovations in vertically differentiated duopolies. A process innovation can lead two competing firms to improve the quality of their goods introducing a product innovation. In fact, a cost reducing innovation has two effects: it spurs production and it enhances price competition. The former effect induces both firms to increase quality. The latter encourages differentiation, inducing low quality firm to decrease it. Therefore, high qualit...

  13. Present state and progress of industrial electron processing systems in Japan

    International Nuclear Information System (INIS)

    Sakamoto, I.; Mizusawa, K.

    1983-01-01

    A summary is given of the state of utilisation of electron processing systems in Japan, mainly for (1) cross-linking of wire and cable insulator, (2) heat shrinkable tube and sheet, (3) foamed polyethylene, and (4) curing of paint coats. Details are given of some of the electron processing systems. (U.K.)

  14. Optimal Consumer Electronics Product Take-Back Time with Consideration of Consumer Value

    Directory of Open Access Journals (Sweden)

    Yi-Tse Fang

    2017-03-01

    Full Text Available Rapid economic growth in recent years has transformed our lifestyle to massively produce, consume, and dispose of products, especially for consumer electronics. This change has put great threat to our environment and caused natural resource depletion. Moreover, short product life cycles and quick replacements of consumer electronics create enormous electronic wastes (e-wastes. Without proper waste management, immense environmental damage is expected. In this empirical study, we notice that lots of valuable materials that can still be recycled from these used consumer electronics are left unused at home instead of being recycled at the appropriate time, which causes a low collection rate and a decrease in residual value for the used products. Therefore, it is important for the government and the recyclers to handle them efficiently by increasing the used product take-back rate. Our study develops an assessment model for customer value based on the idea of value engineering and the perspective of product life cycle. We also explore the relationship between product value and the total cost of ownership with an evaluation of their time variation, considering different usage modes for various consumer groups and different recycling award schemes (fixed and variable recycling awards. Proper take-back management is likely to create a win-win situation both for consumers and environmental protection. This study regards the notebook computer as an example to determine the optimal time for recycling laptops based on usage patterns and provides consumers a reference for when to replace their used product. The results from our modeling firstly clearly indicate that consumers with higher frequency of usage have shorter take back times and higher maximum consumer value. Secondly, a variable recycling award scheme with higher maximum consumer value is more practical than a fixed recycling award scheme.

  15. Statistical methods to assess and control processes and products during nuclear fuel fabrication

    International Nuclear Information System (INIS)

    Weidinger, H.

    1999-01-01

    Very good statistical tools and techniques are available today to access the quality and the reliability of fabrication process as the original sources for a good and reliable quality of the fabricated processes. Quality control charts of different types play a key role and the high capability of modern electronic data acquisition technologies proved, at least potentially, a high efficiency in the more or less online application of these methods. These techniques focus mainly on stability and the reliability of the fabrication process. In addition, relatively simple statistical tolls are available to access the capability of fabrication process, assuming they are stable, to fulfill the product specifications. All these techniques can only result in as good a product as the product design is able to describe the product requirements necessary for good performance. Therefore it is essential that product design is strictly and closely performance oriented. However, performance orientation is only successful through an open and effective cooperation with the customer who uses or applies those products. During the last one to two decades in the west, a multi-vendor strategy has been developed by the utility, sometimes leading to three different fuel vendors for one reactor core. This development resulted in better economic conditions for the user but did not necessarily increase an open attitude with the vendor toward the using utility. The responsibility of the utility increased considerably to ensure an adequate quality of the fuel they received. As a matter of fact, sometimes the utilities had to pay a high price because of unexpected performance problems. Thus the utilities are now learning that they need to increase their knowledge and experience in the area of nuclear fuel quality management and technology. This process started some time ago in the west. However, it now also reaches the utilities in the eastern countries. (author)

  16. 21 CFR 820.70 - Production and process controls.

    Science.gov (United States)

    2010-04-01

    ...) MEDICAL DEVICES QUALITY SYSTEM REGULATION Production and Process Controls § 820.70 Production and process... used as part of production or the quality system, the manufacturer shall validate computer software for... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Production and process controls. 820.70 Section...

  17. Improving the quotation process with product configuration

    DEFF Research Database (Denmark)

    Hvam, Lars; Christensen, Simon Pape

    2006-01-01

    How can product configuration support the process of engineering highly complex industrial products? This article describes how an IT-based product configuration system was developed to support the process of mak-ing budget quotations. The article is based on a research project carried out...

  18. Sensible production processes with electricity

    Energy Technology Data Exchange (ETDEWEB)

    Eerola, P.; Annala, T.; Wickstroem, T. [ed.

    1997-11-01

    Small and medium-sized industrial enterprises use electricity increasingly for both heating and production, as electricity offers easy adjustability and has little need for maintenance. In production processes, the advantages of electricity also include uniform quality, automation and cleanness

  19. Simulation and Flexibility Analysis of Milk Production Process

    DEFF Research Database (Denmark)

    Cheng, Hongyuan; Friis, Alan

    . Such flexible dairy production line can adjust its production pace in manufacturing different products without replacing existing equipment in the production line. In this work, the dairy process simulator is applied to study the flexibility of milk production line. In the same production line, various......In this work, process simulation method is used to simulate pasteurised market milk production line. A commercial process simulation tool - Pro/II from Simulation Science Inc. is used in the simulation work. In the simulation, a new model is used to calculate the thermal property of milk....... In this work, a simulator is obtained for the milk production line. Using the simulator, different milk processing situation can be quantitatively simulated investigated, such as different products production, capacity changes, fat content changes in raw milk, energy cost at different operation conditions etc...

  20. Scalability of the LEU-Modified Cintichem Process: 3-MeV Van de Graaff and 35-MeV Electron Linear Accelerator Studies

    Energy Technology Data Exchange (ETDEWEB)

    Rotsch, David A. [Argonne National Lab. (ANL), Argonne, IL (United States). Nuclear Engineering Division; Brossard, Tom [Argonne National Lab. (ANL), Argonne, IL (United States). Nuclear Engineering Division; Roussin, Ethan [Argonne National Lab. (ANL), Argonne, IL (United States). Nuclear Engineering Division; Quigley, Kevin [Argonne National Lab. (ANL), Argonne, IL (United States). Nuclear Engineering Division; Chemerisov, Sergey [Argonne National Lab. (ANL), Argonne, IL (United States). Nuclear Engineering Division; Gromov, Roman [Argonne National Lab. (ANL), Argonne, IL (United States). Nuclear Engineering Division; Jonah, Charles [Argonne National Lab. (ANL), Argonne, IL (United States). Nuclear Engineering Division; Hafenrichter, Lohman [Argonne National Lab. (ANL), Argonne, IL (United States). Nuclear Engineering Division; Tkac, Peter [Argonne National Lab. (ANL), Argonne, IL (United States). Nuclear Engineering Division; Krebs, John [Argonne National Lab. (ANL), Argonne, IL (United States). Nuclear Engineering Division; Vandegrift, George F. [Argonne National Lab. (ANL), Argonne, IL (United States). Nuclear Engineering Division

    2016-10-31

    Molybdenum-99, the mother of Tc-99m, can be produced from fission of U-235 in nuclear reactors and purified from fission products by the Cintichem process, later modified for low-enriched uranium (LEU) targets. The key step in this process is the precipitation of Mo with α-benzoin oxime (ABO). The stability of this complex to radiation has been examined. Molybdenum-ABO was irradiated with 3 MeV electrons produced by a Van de Graaff generator and 35 MeV electrons produced by a 50 MeV/25 kW electron linear accelerator. Dose equivalents of 1.7–31.2 kCi of Mo-99 were administered to freshly prepared Mo-ABO. Irradiated samples of Mo-ABO were processed according to the LEU Modified-Cintichem process. The Van de Graaff data indicated good radiation stability of the Mo-ABO complex up to ~15 kCi dose equivalents of Mo-99 and nearly complete destruction at doses >24 kCi Mo-99. The linear accelerator data indicate that even at 6.2 kCi of Mo-99 equivalence of dose, the sample lost ~20% of Mo-99. The 20% loss of Mo-99 at this low dose may be attributed to thermal decomposition of the product from the heat deposited in the sample during irradiation.

  1. Electronic manufacturing and packaging in Japan

    Science.gov (United States)

    Kelly, Michael J.; Boulton, William R. (Editor); Kukowski, John A.; Meieran, Eugene S.; Pecht, Michael; Peeples, John W.; Tummala, Rao R.

    1995-01-01

    This report summarizes the status of electronic manufacturing and packaging technology in Japan in comparison to that in the United States, and its impact on competition in electronic manufacturing in general. In addition to electronic manufacturing technologies, the report covers technology and manufacturing infrastructure, electronics manufacturing and assembly, quality assurance and reliability in the Japanese electronics industry, and successful product realization strategies. The panel found that Japan leads the United States in almost every electronics packaging technology. Japan clearly has achieved a strategic advantage in electronics production and process technologies. Panel members believe that Japanese competitors could be leading U.S. firms by as much as a decade in some electronics process technologies. Japan has established this marked competitive advantage in electronics as a consequence of developing low-cost, high-volume consumer products. Japan's infrastructure, and the remarkable cohesiveness of vision and purpose in government and industry, are key factors in the success of Japan's electronics industry. Although Japan will continue to dominate consumer electronics in the foreseeable future, opportunities exist for the United States and other industrial countries to capture an increasingly large part of the market. The JTEC panel has identified no insurmountable barriers that would prevent the United States from regaining a significant share of the consumer electronics market; in fact, there is ample evidence that the United States needs to aggressively pursue high-volume, low-cost electronic assembly, because it is a critical path leading to high-performance electronic systems.

  2. Electron beam processing of materials-R and D and industrial utilization

    International Nuclear Information System (INIS)

    Sarma, K.S.S.

    2005-01-01

    The early sixties witnessed the beginning of Electron Beam (EB) processing of materials using high-energy electrons and has emerged as a well established technology, presently being adapted by the industry. The process and the processed materials showed definite and distinct advantages/characteristics over the available conventional methods. Even though the commercial exploitation started initially in polymer modifications for better (and suitable) performance through polymerization, cross-linking, degradation and grafting, the processing fields are now diverged to sterilization of health care, food irradiation, controlled defects in semiconductor devices and semi and/or precious stones, waste water/flue gas treatment etc. The availability of electron accelerators that operate as per the requirement of the industrial needs, easy maintenance, expertise availability etc brought the EB processing industry into a multi dollar business world wide. In USA and Japan there are more than 1200 accelerators currently operative in automobile tire, wire and cable and heat shrinkable industry. Output beam powers exceeding 400 kW with electron energy ranging from few hundred keV up to 10 MeV are made available to the industry. In BARC EB processing started with the 2MeV/20 kW electron accelerator and suitable processing techniques have been developed for applications like polymer cross linking (heat resistant LDPE O-rings, wire and cable insulation), color enhancement in precious stones (diamonds) on industrial scale and polymer curing, grafting, degradation on R and D/pilot scale. The commercial success of the process enabled the private cable industry to set up accelerators at their factories. On research and development front, the accelerator is being utilized to develop new polymer blends for high temperature applications, for solid and liquid waste treatment, polypropylene grafting experiments for uranium extraction from sea water, surface curing etc. This paper gives

  3. Trends in the electronic X-ray image formation and processing

    International Nuclear Information System (INIS)

    Meyer-Ebrecht, D.; Spiesberger, W.

    1976-01-01

    A report is given on the technological progress in components for the electronic imaging and reproduction in X-ray diagnostics which could enable the partial replacement of radiophotography. Furthermore, electronic post processing methods, in particular in tomography, are reviewed. (ORU) [de

  4. 78 FR 38072 - Certain Consumer Electronics With Display and Processing Capabilities; Institution of...

    Science.gov (United States)

    2013-06-25

    ... INTERNATIONAL TRADE COMMISSION [Inv. No. 337-TA-884] Certain Consumer Electronics With Display and... electronics with display and processing capabilities by reason of infringement of U.S. Patent No. 6,650,327... after importation of certain consumer electronics with display and processing capabilities by reason of...

  5. Electronic equipment for spectrometric data processing

    International Nuclear Information System (INIS)

    Antonov, L.J.; Trenev, A.M.; Todorova, E.I.; Dimitrov, V.D.

    1978-01-01

    Electronic equipment carrying out logical operations and a full set of the arithmetic operations was developed for spectrometric data processing. The flowsheet of the computing part of the device, made on the basis of a specialized integral circuit, is given. The device includes input registers, multiplexor, matrix commutator, arithmetic unit and indication unit. The equipment is rated to carry out calculations according to comparatively complex formulae in several seconds

  6. Flexibility Study of a Liquid Food Production Process

    DEFF Research Database (Denmark)

    Cheng, Hongyuan; Friis, Alan

    2006-01-01

    Applying process engineering simulation method to model the processing of liquid food can provide a way to build a flexible food factory that can efficiently offer a wide range of tailored products in short delivery time. A milk production process, as an example, is simulated using a process...... engineering software to investigate the process operation conditions and flexibility. The established simulation method can be adapted to simulate similar liquid food production processes through suitable modifications....

  7. Measurements of absolute M-subshell X-ray production cross sections of Th by electron impact

    Energy Technology Data Exchange (ETDEWEB)

    Moy, A., E-mail: aurelien.moy@cea.fr [GM, CNRS, Université de Montpellier II, Place E. Bataillon, F-34095 Montpellier (France); CEA, DEN, DTEC, SGCS, LMAC, F-30207 Bagnols-sur-Cèze (France); Merlet, C. [GM, CNRS, Université de Montpellier II, Place E. Bataillon, F-34095 Montpellier (France); Dugne, O. [CEA, DEN, DTEC, SGCS, LMAC, F-30207 Bagnols-sur-Cèze (France)

    2014-08-31

    Highlights: • The M X-ray production cross sections of Th were measured by electron impact. • The M-subshell ionization cross sections of Th were determined from 3 to 38 keV. • Theoretical ionization cross-sections are in agreement with our experimental results. - Abstract: Measurements of absolute M-subshell X-ray production cross sections for element Th were made by electron impact for energies ranging from the ionization threshold up to 38 keV. Experimental data were obtained by measuring the X-ray intensity emitted from ultrathin Th films deposited onto self-supporting C backing films. The measurements were conducted with an electron microprobe using high-resolution wavelength dispersive spectrometers. Recorded intensities were converted into absolute X-ray production cross sections by means of atomic data and estimation of the number of primary electrons, target thickness, and detector efficiency. Our experimental X-ray production cross sections, the first to be reported for the M subshells of Th, are compared with X-ray production cross sections calculated with the mean of ionization cross sections obtained from the distorted-wave Born approximation. The Mα X-ray production cross section calculated is in excellent agreement with the measurements, allowing future use for standardless quantification in electron probe microanalysis.

  8. Study of the direct electron production in the reaction π-p at 70 GeV/c

    International Nuclear Information System (INIS)

    Hennion, P.

    1980-06-01

    This experiment tries to answer the following questions. What is the dependence with the transverse impulsion psub(T) of the production rate l/π. Are the direct leptons produced alone or by pairs. If they are produced alone, are they issued from a charm particle. Are there lepton pairs whose origin is not understood. This experiment is a collaboration between Bologne, Glasgow, Rutherford, Saclay and Turin laboratories. Data are from the CERN bubble chamber, equipped with a sensitive target. This equipment is described in the chapter one, together with experimental process. In chapter two, results on single electron production are given. Pairs production is studied in chapter three. Pairs issuing from the π zero decay in e + , e - and gamma are also analyzed [fr

  9. Identification of Degradation Products of Lincomycin and Iopromide by Electron Beam Irradiation

    International Nuclear Information System (INIS)

    Cha, Yongbyoung; Ham, Hyunsun; Myung, Seungwoon

    2013-01-01

    Lincomycin and Iopromide are major species among the Pharmaceuticals and Personal Care Products (PPCPs) from four major rivers in Korea. The structure characterization of six lincomycin's and two iopromide's degradation products formed under the irradiation of electron beam was performed, and the degradation efficiency as a function of the various irradiation dose and sample concentration was investigated. Electron beam (10 MeV, 0.5 mA and 5 kW) experiments for the structural characterization of the degradation products, which is fortified with lincomycin, were performed at the dose of 10 kGy. The separation of its degradation products and lincomycin was carried by C18 column (2.1 Χ 100 mm, 3.5 μm), using gradient elution with 20 mM ammonium acetate and acetonitrile. The structures of degradation products of lincomycin and iopromide were proposed by interpretation of mass spectra and chromatograms by LC/MS/MS, and also the mass fragmentation pathways of mass spectra in tandem mass spectrometry were proposed. The experiments of the degradation efficiency as a function of the irradiation dose intensity and the initial concentration of lincomycin in aqueous environment were performed, and higher dose of electron beam and lower concentration was observed the increased degradation efficiency

  10. Use of electron accelerators in food irradiation

    International Nuclear Information System (INIS)

    Sanyal, Bhaskar

    2013-01-01

    Preservation of food by ionizing radiations involves controlled application of energy of radiation to agricultural commodities, foods and food ingredients, for improving storage life, hygiene and safety. Insects and microbes cause major economic losses to stored crops. Many of our food products are contaminated with diseases causing germs and toxin producing molds. Without improvement in microbial quality and getting properly treated to overcome quarantine barriers our agricultural products cannot get international markets. In this respect electron accelerators have immense potential in commercial radiation processing of foods. Both low and high dose applications with increased process rates can be achieved using accelerators to cover a wide spectrum of food commodities approved for commercial radiation processing as per the recent gazette notification under Atomic Energy (Radiation Processing of Food and Allied Products) Rule, 2012. The effectiveness of processing of food by ionizing radiation depends on proper delivery of absorbed dose and its reliable measurement. For food destined for international trade, it is important that the dosimetry used for dose determination is carried out accurately and that the process is monitored in accordance with the internationally accepted procedures. Experiments using alanine-EPR system were carried out to optimize the process parameters of 10 MeV electron beam for commercial irradiation of food. Different food commodities namely, mango, potato and rawa (semolina) were irradiated to measure the absorbed dose distribution. The actual depth dose profile in food products and useful scan width of the electron beam were determined for commercial radiation processing of food using electron beam. (author)

  11. Production and Detection of Spin-Entangled Electrons in Mesoscopic Conductors

    Science.gov (United States)

    Burkard, Guido

    2006-03-01

    Electron spins are an extremely versatile form of quantum bits. When localized in quantum dots, they can form a register for quantum computation. Moreover, being attached to a charge in a mesoscopic conductor allows the electron spin to play the role of a mobile carrier of quantum information similarly to photons in optical quantum communication. Since entanglement is a basic resource in quantum communication, the production and detection of spin-entangled Einstein-Podolsky-Rosen (EPR) pairs of electrons are of great interest. Besides the practical importance, it is of fundamental interest to test quantum non-locality for electrons. I review the theoretical schemes for the entanglement production in superconductor-normal junctions [1] and other systems. The electron spin entanglement can be detected and quantified from measurements of the fluctuations (shot noise) of the charge current after the electrons have passed through an electronic beam splitter [2,3]. This two-particle interference effect is related to the Hanbury-Brown and Twiss experiment and leads to a doubling of the shot noise SI=φ=0 for spin-entangled states, allowing their differentiation from unentangled pairs. I report on the role of spin-orbit coupling (Rashba and Dresselhaus) in a complete characterization of the spin entanglement [4]. Finally, I address the effects of a discrete level spectrum in the mesoscopic leads and of backscattering and decoherence.[1] P. Recher, E. V. Sukhorukov, D. Loss, Phys. Rev. B 63, 165314 (2001)[2] G. Burkard, D. Loss, E. V. Sukhorukov, Phys. Rev. B 61, R16303 (2000)[3] G. Burkard and D. Loss, Phys. Rev. Lett.91, 087903 (2003)[4] J. C. Egues, G. Burkard, D. Saraga, J. Schliemann, D. Loss, cond-mat/0509038, to appear in Phys.Rev.B (2005).

  12. Evaluation of a dry process for conversion of U-AVLIS product to UF6. Milestone U361

    International Nuclear Information System (INIS)

    1992-05-01

    A technical and engineering evaluation has been completed for a dry UF 6 production system to convert the product of an initial two-line U-AVLIS plant. The objective of the study has been to develop a better understanding of process design requirements, capital and operating costs, and demonstration requirements for this alternate process. This report summarizes the results of the study and presents various comparisons between the baseline and alternate processes, building on the information contained in UF 6 Product Alternatives Review Committee -- Final Report. It also provides additional information on flowsheet variations for the dry route which may warrant further consideration. The information developed by this study and conceptual design information for the baseline process will be combined with information to be developed by the U-AVLIS program and by industrial participants over the next twelve months to permit a further comparison of the baseline and alternate processes in terms of cost, risk, and compatibility with U-AVLIS deployment schedules and strategies. This comparative information will be used to make a final process flowsheet selection for the initial U-AVLIS plant by March 1993. The process studied is the alternate UF 6 production flowsheet. Process steps are (1) electron-beam distillation to reduce enriched product iron content from about 10 wt % or less, (2) hydrofluorination of the metal to UF 4 , (3) fluorination of UF 4 to UF 6 , (4) cold trap collection of the UF 6 product, (5) UF 6 purification by distillation, and (6) final blending and packaging of the purified UF 6 in cylinders. A preliminary system design has been prepared for the dry UF 6 production process based on currently available technical information. For some process steps, such information is quite limited. Comparisons have been made between this alternate process and the baseline plant process for UF 6 production

  13. Lean manufacturing analysis to reduce waste on production process of fan products

    Science.gov (United States)

    Siregar, I.; Nasution, A. A.; Andayani, U.; Sari, R. M.; Syahputri, K.; Anizar

    2018-02-01

    This research is based on case study that being on electrical company. One of the products that will be researched is the fan, which when running the production process there is a time that is not value-added, among others, the removal of material which is not efficient in the raw materials and component molding fan. This study aims to reduce waste or non-value added activities and shorten the total lead time by using the tools Value Stream Mapping. Lean manufacturing methods used to analyze and reduce the non-value added activities, namely the value stream mapping analysis tools, process mapping activity with 5W1H, and tools 5 whys. Based on the research note that no value-added activities in the production process of a fan of 647.94 minutes of total lead time of 725.68 minutes. Process cycle efficiency in the production process indicates that the fan is still very low at 11%. While estimates of the repair showed a decrease in total lead time became 340.9 minutes and the process cycle efficiency is greater by 24%, which indicates that the production process has been better.

  14. Digital HCAL Electronics: Status of Production

    Energy Technology Data Exchange (ETDEWEB)

    Drake, Gary; Repond, Jose, E-mail: drake@hep.anl.gov [Argonne National Laboratory (United States)

    2011-04-01

    This is a status report of the production of the readout electronics for the Digital Hadron Calorimeter (DHCAL) prototype. The prototype will be equipped with Resistive Plate Chambers (RPCs), read out with 1 x 1 cm{sup 2} pads. The readout of each channel is simplified to provide a yes or no (digital readout) within a time bin of 100 ns. Each detector layer with an area of 96 x 96 cm{sup 2} contains close to 10,000 readout channels. The total channel count for the entire prototype calorimeter with 38 active layers is approximately 350,000.

  15. WDM production with intense relativistic electrons

    Science.gov (United States)

    Coleman, Josh; Andrews, Heather; Klasky, Mark; Colgan, James; Burris-Mog, Trevor; Creveling, Dan; Miller, Craig; Welch, Dale; Berninger, Mike

    2016-10-01

    The production of warm dense matter (WDM) through collisional heating with intense relativistic electrons is underway. A 100-ns-long monochromatic bunch of electrons with energies of 19.1-19.8 MeV and currents of 0.2-1.7 kA is used to heat 100- μm-thick foils with Z measuring the equation of state with particle beams and benchmark numerical models. Measurements indicate the formation of a warm dense plasma near the end of the pulse, which is on the order of the beam size. These plasmas expand 5 mm in the first microsecond and slow down to 1018 cm-3. At these densities our plasma is collisionally dominated making it possible to spectrally model the density and temperature in LTE. Preliminary density gradient measurements will also be presented indicating the spatial extent of the solid density cutoff. This work was supported by the National Nuclear Se- curity Administration of the U.S. Department of Energy under Contract No. DE-AC52-06NA25396.

  16. Processed Products Database System

    Data.gov (United States)

    National Oceanic and Atmospheric Administration, Department of Commerce — Collection of annual data on processed seafood products. The Division provides authoritative advice, coordination and guidance on matters related to the collection,...

  17. Electron-positron pair production by two identical photons in the nuclear field

    International Nuclear Information System (INIS)

    Smirnov, A.I.

    1977-01-01

    In the Born approximation of the perturbation theory considered is a nonlinear effect of the electron-positron pair production by two identical photons in the Coulomb field of an atomic nucleus. The kinematic version of identical photons is studied. All the particles are considered to be nonpolarized. The calculation of the differential probability of the effect has been carried out earlier by the Feynman method. The total probability of the effect in limiting energy ranges is determined by integrating the formulas of the pair component distribution over energies. The probabilities of the electron-positron pair production and fusion of two photons into one in the nucleus field have been compared for the case of identical quanta. From the comparison of the results of analyzing both the nonlinear effects it follows that in the high-energy range the electron-positron pair production by two identical photons in the nucleus field extremely predominates over the fusion of two photons into one photon in the same field

  18. Biological shielding design and qualification of concreting process for construction of electron beam irradiation facility

    International Nuclear Information System (INIS)

    Petwal, V.C.; Kumar, P.; Suresh, N.; Parchani, G.; Dwivedi, J.; Thakurta, A.C.

    2011-01-01

    A technology demonstration facility for irradiation of food and agricultural products is being set-up by RRCAT at Indore. The facility design is based on linear electron accelerator with maximum beam power of 10 kW and can be operated either in electron mode at 10 MeV or photon modes at 5/7.5 MeV. Biological shielding has been designed in accordance with NCRP 51 to achieve dose rate at all accessible points outside the irradiation vault less than the permissible limit of 0.1 mR/hr. In addition to radiation attenuation property, concrete must have satisfactory mechanical properties to meet the structural requirements. There are number of site specific variables which affect the structural, thermal and radiological properties of concrete, leading to considerable difference in actual values and design values. Hence it is essential to establish a suitable site and environmental specific process to cast the concrete and qualify the process by experimental measurement. For process qualification we have cast concrete test blocks of different thicknesses up to 3.25 m and evaluated the radiological and mechanical properties by radiometry, ultrasonic and mechanical tests. In this paper we describe the biological shielding design of the facility and analyse the results of tests carried out for qualification of the process. (author)

  19. Photo-production of (99)Mo/(99m)Tc with electron linear accelerator beam.

    Science.gov (United States)

    Avagyan, R; Avetisyan, A; Kerobyan, I; Dallakyan, R

    2014-09-01

    We report on the development of a relatively new method for the production of (99)Mo/(99m)Tc. The method involves the irradiation of natural molybdenum using high-intensity bremsstrahlung photons from the electron beam of the LUE50 linear electron accelerator located at the Yerevan Physics Institute (YerPhi). The production method has been developed and shown to be successful. The linear electron accelerator at YerPhi was upgraded to allow for significant increases of the beam intensity and spatial density. The LUE50 was also instrumented by a remote control system for ease of operation. We have developed and tested the (99m)Tc extraction from the irradiation of natural MoO3. This paper reports on the optimal conditions of our method of (99)Mo production. We show the success of this method with the production and separation of the first usable amounts of (99m)Tc. Copyright © 2014 Elsevier Inc. All rights reserved.

  20. Hydrogen production by using Rhodobacter capsulatus mutants with genetically modified electron transfer chains

    Energy Technology Data Exchange (ETDEWEB)

    OEztuerk, Yavuz; Yuecel, Meral; Guenduez, Ufuk [Department of Biology, Middle East Technical University, Ankara (Turkey); Daldal, Fevzi [Department of Biology, Plant Science Institute, University of Pennsylvania, Philadelphia, PA 19104-6018 (United States); Mandaci, Sevnur [TUEBITAK Research Institute for Genetic Engineering and Biotechnology, Gebze Kocaeli 41470 (Turkey); Tuerker, Lemi [Department of Chemistry, Middle East Technical University, Ankara (Turkey); Eroglu, Inci [Department of Chemical Engineering, Middle East Technical University, Ankara (Turkey)

    2006-09-15

    In Rhodobacter capsulatus excess reducing equivalents generated by organic acid oxidation is consumed to reduce protons into hydrogen by the activity of nitrogenase. Nitrogenase serves as a redox-balancing tool and is activated by the RegB/RegA global regulatory system during photosynthetic growth. The terminal cytochrome cbb{sub 3} oxidase and the redox state of the cyclic photosynthetic electron transfer chain serve redox signaling to the RegB/RegA regulatory systems in Rhodobacter. In this study, hydrogen production of various R. capsulatus strains harboring the genetically modified electron carrier cytochromes or lacking the cyt cbb{sub 3} oxidase or the quinol oxidase were compared with the wild type. The results indicated that hydrogen production of mutant strains with modified electron carrier cytochromes decreased 3- to 4-fold, but the rate of hydrogen production increased significantly in a cbb{sub 3}{sup -} mutant. Moreover, hydrogen production efficiency of various R. capsulatus strains further increased by inactivation of uptake hydrogenase genes. (author)

  1. Cross sections for electron and photon processes required by electron-transport calculations

    International Nuclear Information System (INIS)

    Peek, J.M.

    1979-11-01

    Electron-transport calculations rely on a large collection of electron-atom and photon-atom cross-section data to represent the response characteristics of the target medium. These basic atomic-physics quantities, and certain qualities derived from them that are now commonly in use, are critically reviewed. Publications appearing after 1978 are not given consideration. Processes involving electron or photon energies less than 1 keV are ignored, while an attempt is made to exhaustively cover the remaining independent parameters and target possibilities. Cases for which data improvements can be made from existing information are identified. Ranges of parameters for which state-of-the-art data are not available are sought out, and recommendations for explicit measurements and/or calculations with presently available tools are presented. An attempt is made to identify the maturity of the atomic-physics data and to predict the possibilities for rapid changes in the quality of the data. Finally, weaknesses in the state-of-the-art atomic-physics data and in the conceptual usage of these data in the context of electron-transport theory are discussed. Brief attempts are made to weight the various aspects of these questions and to suggest possible remedies

  2. Focused-electron-beam-induced processing (FEBIP) for emerging applications in carbon nanoelectronics

    International Nuclear Information System (INIS)

    Fedorov, Andrei G.; Kim, Songkil; Henry, Mathias; Kulkarni, Dhaval; Tsukruk, Vladimir V.

    2014-01-01

    Focused-electron-beam-induced processing (FEBIP), a resist-free additive nanomanufacturing technique, is an actively researched method for ''direct-write'' processing of a wide range of structural and functional nanomaterials, with high degree of spatial and time-domain control. This article attempts to critically assess the FEBIP capabilities and unique value proposition in the context of processing of electronics materials, with a particular emphasis on emerging carbon (i.e., based on graphene and carbon nanotubes) devices and interconnect structures. One of the major hurdles in advancing the carbon-based electronic materials and device fabrication is a disjoint nature of various processing steps involved in making a functional device from the precursor graphene/CNT materials. Not only this multi-step sequence severely limits the throughput and increases the cost, but also dramatically reduces the processing reproducibility and negatively impacts the quality because of possible between-the-step contamination, especially for impurity-susceptible materials such as graphene. The FEBIP provides a unique opportunity to address many challenges of carbon nanoelectronics, especially when it is employed as part of an integrated processing environment based on multiple ''beams'' of energetic particles, including electrons, photons, and molecules. This avenue is promising from the applications' prospective, as such a multi-functional (electron/photon/molecule beam) enables one to define shapes (patterning), form structures (deposition/etching), and modify (cleaning/doping/annealing) properties with locally resolved control on nanoscale using the same tool without ever changing the processing environment. It thus will have a direct positive impact on enhancing functionality, improving quality and reducing fabrication costs for electronic devices, based on both conventional CMOS and emerging carbon (CNT/graphene) materials. (orig.)

  3. Charmed and strange baryon production in 29-GeV electron positron collisions

    International Nuclear Information System (INIS)

    Klein, S.R.

    1988-01-01

    Baryon production is one of the least understood areas of hadron production in electron positron collisions. Early models of hadronization predicted that very few baryons should be produced. However, experiments have shown a very substantial rate of baryon production, and many different models have been proposed to explain this. One way to test these models, and to further probe the hadronization process is to measure the production rates of different types of baryons. This dissertation presents measurements of the production rates of baryons with different strangeness and spin. The analyses presented here use data taken with the Mark II detector at the PEP storage ring, operating at a center of mass energy of 29 GeV. The Ξ - production rate is measured to be 0.017 ± 0.004 ± 0.004 per hadronic event, Ω - production is measured to be 0.014 ± 0.006 ± 0.004 per hadronic event, and Ξ *0 production is less than 0.006 per hadronic event at a 90% confidence level. These measurements place strong constraints on models of baryon production. In particular, the unexpectedly high rate of Ω - production is difficult to explain in any disquark based model. Semileptonic Λ c + decays have also been observed, with σ(e + e - → Λ c X) * Br(Λ c → eΛX) = 0.0031 ± 0.0012 ± 0.0010 per hadronic event, and σ(e + e - → Λ c X) * Br(Λ c → μΛX) = 0.0024 ± 0.0024 ± 0.0007 per hadronic event. Because neither the branching ratios nor the production rate are well known, it is difficult to interpret these results

  4. New Vistas in Chemical Product and Process Design.

    Science.gov (United States)

    Zhang, Lei; Babi, Deenesh K; Gani, Rafiqul

    2016-06-07

    Design of chemicals-based products is broadly classified into those that are process centered and those that are product centered. In this article, the designs of both classes of products are reviewed from a process systems point of view; developments related to the design of the chemical product, its corresponding process, and its integration are highlighted. Although significant advances have been made in the development of systematic model-based techniques for process design (also for optimization, operation, and control), much work is needed to reach the same level for product design. Timeline diagrams illustrating key contributions in product design, process design, and integrated product-process design are presented. The search for novel, innovative, and sustainable solutions must be matched by consideration of issues related to the multidisciplinary nature of problems, the lack of data needed for model development, solution strategies that incorporate multiscale options, and reliability versus predictive power. The need for an integrated model-experiment-based design approach is discussed together with benefits of employing a systematic computer-aided framework with built-in design templates.

  5. [Characteristics of volatile organic compounds (VOCs) emission from electronic products processing and manufacturing factory].

    Science.gov (United States)

    Cui, Ru; Ma, Yong-Liang

    2013-12-01

    Based on the EPA method T0-11 and 14/15 for measurement of toxic organics in air samples, fast VOCs detector, Summa canister and DNPH absorbent were used to determine the VOCs concentrations and the compositions in the ambient air of the workshops for different processes as well as the emission concentration in the exhaust gas. In all processes that involved VOCs release, concentrations of total VOCs in the workshops were 0.1-0.5 mg x m(-3), 1.5-2.5 mg x m(-3) and 20-200 mg x m(-3) for casting, cutting and painting respectively. Main compositions of VOCs in those workshops were alkanes, eneynes, aromatics, ketones, esters and ethers, totally over 20 different species. The main compositions in painting workshop were aromatics and ketones, among which the concentration of benzene was 0.02-0.34 mg x m(-3), toluene was 0.24-3.35 mg x m(-3), ethyl benzene was 0.04-1.33 mg x m(-3), p-xylene was 0.13-0.96 mg x m(-3), m-xylene was 0.02-1.18 mg x m(-3), acetone was 0.29-15.77 mg x m(-3), 2-butanone was 0.06-22.88 mg x m(-3), cyclohexene was 0.02-25.79 mg x m(-3), and methyl isobutyl ketone was 0-21.29 mg x m(-3). The VOCs emission from painting process was about 14 t x a(-1) for one single manufacturing line, and 840 t x a(-1) for the whole factory. According to the work flows and product processes, the solvent used during painting process was the main source of VOCs emission, and the exhaust gas was the main emission point.

  6. Effect of electron beam radiation processing on mechanical and thermal properties of fully biodegradable crops straw/poly (vinyl alcohol) biocomposites

    Science.gov (United States)

    Guo, Dan

    2017-01-01

    Fully biodegradable biocomposites based on crops straw and poly(vinyl alcohol) was prepared through thermal processing, and the effect of electron beam radiation processing with N,N-methylene double acrylamide as radiation sensitizer on mechanical and thermal properties of the biocomposites were investigated. The results showed that, when the radiation dose were in the range of 0-50 kGy, the mechanical and thermal properties of the biocomposites could be improved significantly through the electron beam radiation processing, and the interface compatibility was also improved because of the formation of stable cross-linked network structure, when the radiation dose were above the optimal value (50 kGy), the comprehensive properties of the biocomposites were gradually destroyed. EB radiation processing could be used as an effective technology to improve the comprehensive performance of the biocomposites, and as a green and efficient processing technology, radiation processing takes place at room temperature, and no contamination and by-product are possible.

  7. Integrating Hazardous Materials Characterization and Assessment Tools to Guide Pollution Prevention in Electronic Products and Manufacturing

    Science.gov (United States)

    Lam, Carl

    Due to technology proliferation, the environmental burden attributed to the production, use, and disposal of hazardous materials in electronics have become a worldwide concern. The major theme of this dissertation is to develop and apply hazardous materials assessment tools to systematically guide pollution prevention opportunities in the context of electronic product design, manufacturing and end-of-life waste management. To this extent, a comprehensive review is first provided on describing hazard traits and current assessment methods to evaluate hazardous materials. As a case study at the manufacturing level, life cycle impact assessment (LCIA)-based and risk-based screening methods are used to quantify chemical and geographic environmental impacts in the U.S. printed wiring board (PWB) industry. Results from this industrial assessment clarify priority waste streams and States to most effectively mitigate impact. With further knowledge of PWB manufacturing processes, select alternative chemical processes (e.g., spent copper etchant recovery) and material options (e.g., lead-free etch resist) are discussed. In addition, an investigation on technology transition effects for computers and televisions in the U.S. market is performed by linking dynamic materials flow and environmental assessment models. The analysis forecasts quantities of waste units generated and maps shifts in environmental impact potentials associated with metal composition changes due to product substitutions. This insight is important to understand the timing and waste quantities expected and the emerging toxic elements needed to be addressed as a consequence of technology transition. At the product level, electronic utility meter devices are evaluated to eliminate hazardous materials within product components. Development and application of a component Toxic Potential Indicator (TPI) assessment methodology highlights priority components requiring material alternatives. Alternative

  8. Economy in utilizing electron beam accelerators

    International Nuclear Information System (INIS)

    Takahashi, Masao

    1980-01-01

    As the typical industrialized processes using electron beam irradiation, the following items may be given: the manufacture of cables covered with cross-linking polyethylene or PVC, heat-contracting material, cross-linking polyethylene foam, etc., and the curing of coatings or surface finishes. The results of investigating economy in these processes are described. First, the running cost of electron beam irradiation equipments is calculated. The result shows that, in general, the unit cost of the equipments becomes small with increasing output, therefore the selection of large power equipments may be advantageous for economy. Other important factors concerning the equipments are the reliability and lifetime which are being improved every year and the improvement of the operational efficiency of the equipments. Next, the comparison of cost was made for each industrialized process of the cables covered with cross-linking polyethylene, polyethylene foam, and the curing of coatings. In general, the processing cost is smaller and the depreciation cost is larger in electron beam irradiation process as compared with conventional processes. In addition, since the productive capacity is larger in electron beam process it is preponderant when the amount of production is large. In the industrialized examples, unique processes or features which are not obtainable by other methods are attained. (Wakatsuki, Y.)

  9. Evaluation of electron beam irradiation under heating process on vulcanized EPDM

    International Nuclear Information System (INIS)

    Gabriel, Leandro; Cardoso, Jessica R.; Moura, Eduardo; Geraldo, Aurea B.C.

    2015-01-01

    The Global consumption of rubber is estimated around 30.5 million tons in 2015, when it is expected an increase of 4.3% of this volume in the coming of years. This demand is mainly attributed to the production of elastomeric accessories for the automotive sector. However, the generation of this type of waste also reaches major proportions at the end of its useful life, when it is necessary to dispose the environmental liability. Rubber reprocessing is an alternative where it can be used as filler in other polymer matrices or in other types of materials. The devulcanization process is another alternative and it includes the study of methods that allow economic viability and waste reduction. Therefore, this study aims to recycle vulcanized EPDM rubber with the use of ionizing radiation. In this work we are using the electron beam irradiation process with simultaneous heating at absorbed doses from 150 kGy to 800 kGy, under high dose rate of 22.3 kGy/s on vulcanized EPDM powder and on samples about 4 mm thick. Their characterization, before and after the irradiation process, have been realized by thermal analysis and their changes have been discussed. (author)

  10. Evaluation of electron beam irradiation under heating process on vulcanized EPDM

    Energy Technology Data Exchange (ETDEWEB)

    Gabriel, Leandro; Cardoso, Jessica R.; Moura, Eduardo; Geraldo, Aurea B.C., E-mail: lgabriell@gmail.com [Instituto de Pesquisas Energeticas e Nucleares (IPEN/CNEN-SP), Sao Paulo, SP (Brazil)

    2015-07-01

    The Global consumption of rubber is estimated around 30.5 million tons in 2015, when it is expected an increase of 4.3% of this volume in the coming of years. This demand is mainly attributed to the production of elastomeric accessories for the automotive sector. However, the generation of this type of waste also reaches major proportions at the end of its useful life, when it is necessary to dispose the environmental liability. Rubber reprocessing is an alternative where it can be used as filler in other polymer matrices or in other types of materials. The devulcanization process is another alternative and it includes the study of methods that allow economic viability and waste reduction. Therefore, this study aims to recycle vulcanized EPDM rubber with the use of ionizing radiation. In this work we are using the electron beam irradiation process with simultaneous heating at absorbed doses from 150 kGy to 800 kGy, under high dose rate of 22.3 kGy/s on vulcanized EPDM powder and on samples about 4 mm thick. Their characterization, before and after the irradiation process, have been realized by thermal analysis and their changes have been discussed. (author)

  11. Two-parametric model of electron beam in computational dosimetry for radiation processing

    International Nuclear Information System (INIS)

    Lazurik, V.M.; Lazurik, V.T.; Popov, G.; Zimek, Z.

    2016-01-01

    Computer simulation of irradiation process of various materials with electron beam (EB) can be applied to correct and control the performances of radiation processing installations. Electron beam energy measurements methods are described in the international standards. The obtained results of measurements can be extended by implementation computational dosimetry. Authors have developed the computational method for determination of EB energy on the base of two-parametric fitting of semi-empirical model for the depth dose distribution initiated by mono-energetic electron beam. The analysis of number experiments show that described method can effectively consider random displacements arising from the use of aluminum wedge with a continuous strip of dosimetric film and minimize the magnitude uncertainty value of the electron energy evaluation, calculated from the experimental data. Two-parametric fitting method is proposed for determination of the electron beam model parameters. These model parameters are as follow: E 0 – energy mono-energetic and mono-directional electron source, X 0 – the thickness of the aluminum layer, located in front of irradiated object. That allows obtain baseline data related to the characteristic of the electron beam, which can be later on applied for computer modeling of the irradiation process. Model parameters which are defined in the international standards (like E p – the most probably energy and R p – practical range) can be linked with characteristics of two-parametric model (E 0 , X 0 ), which allows to simulate the electron irradiation process. The obtained data from semi-empirical model were checked together with the set of experimental results. The proposed two-parametric model for electron beam energy evaluation and estimation of accuracy for computational dosimetry methods on the base of developed model are discussed. - Highlights: • Experimental and computational methods of electron energy evaluation. • Development

  12. Digital signal processing in power electronics control circuits

    CERN Document Server

    Sozanski, Krzysztof

    2013-01-01

    Many digital control circuits in current literature are described using analog transmittance. This may not always be acceptable, especially if the sampling frequency and power transistor switching frequencies are close to the band of interest. Therefore, a digital circuit is considered as a digital controller rather than an analog circuit. This helps to avoid errors and instability in high frequency components. Digital Signal Processing in Power Electronics Control Circuits covers problems concerning the design and realization of digital control algorithms for power electronics circuits using

  13. Mass production of multi-wall carbon nanotubes by metal dusting process with high yield

    Energy Technology Data Exchange (ETDEWEB)

    Ghorbani, H. [School of Metallurgy and Materials Engineering, Iran University of Science and Technology, Narmak, Tehran (Iran, Islamic Republic of); Rashidi, A.M., E-mail: Rashidiam@ripi.ir [Nanotechnology Research Center, Research Institute of Petroleum Industry (RIPI), West Blvd. Azadi Sport Complex, P.O. Box 14665-1998, Tehran (Iran, Islamic Republic of); Rastegari, S.; Mirdamadi, S. [School of Metallurgy and Materials Engineering, Iran University of Science and Technology, Narmak, Tehran (Iran, Islamic Republic of); Alaei, M. [Nanotechnology Research Center, Research Institute of Petroleum Industry (RIPI), West Blvd. Azadi Sport Complex, P.O. Box 14665-1998, Tehran (Iran, Islamic Republic of)

    2011-05-15

    Research highlights: {yields} Synthesis of carbon nanotubes over Fe-Ni nanoparticles supported alloy 304L. {yields} Production of carbon nanotubes with high yield (700-1000%) and low cost catalyst. {yields} Optimum growth condition is CO/H{sub 2} = 1/1, 100 cm{sup 3}/min, at 620 {sup o}C under long term repetitive thermal cycling. {yields} Possibility of the mass production by metal dusting process with low cost. -- Abstract: Carbon nanotube materials were synthesized over Fe-Ni nanoparticles generated during disintegration of the surface of alloy 304L under metal dusting environment. The metal dusting condition was simulated and optimized through exposing stainless steel samples during long term repetitive thermal cycling in CO/H{sub 2} = 1/1, total gas flow rate 100 cm{sup 3}/min, at 620 {sup o}C for 300 h. After reaction, surface morphology of the samples and also carbonaceous deposition which had grown on sample surfaces were examined by stereoscopy, scanning electron microscopy (SEM) and transmission electron microscopy (TEM). Results revealed that multi-wall carbon nanotubes could be formed over nanocatalyst generated on the alloy surface by exploiting metal dusting process. By optimization of reaction parameters the yields of carbon nanotube materials obtained were 700-1000%. Also it has been shown herein that the amount of carbon nanotube materials remarkably increases when the reaction time is extended up to 300 h, indicating a possibility of the mass production by this easy method.

  14. Mass production of multi-wall carbon nanotubes by metal dusting process with high yield

    International Nuclear Information System (INIS)

    Ghorbani, H.; Rashidi, A.M.; Rastegari, S.; Mirdamadi, S.; Alaei, M.

    2011-01-01

    Research highlights: → Synthesis of carbon nanotubes over Fe-Ni nanoparticles supported alloy 304L. → Production of carbon nanotubes with high yield (700-1000%) and low cost catalyst. → Optimum growth condition is CO/H 2 = 1/1, 100 cm 3 /min, at 620 o C under long term repetitive thermal cycling. → Possibility of the mass production by metal dusting process with low cost. -- Abstract: Carbon nanotube materials were synthesized over Fe-Ni nanoparticles generated during disintegration of the surface of alloy 304L under metal dusting environment. The metal dusting condition was simulated and optimized through exposing stainless steel samples during long term repetitive thermal cycling in CO/H 2 = 1/1, total gas flow rate 100 cm 3 /min, at 620 o C for 300 h. After reaction, surface morphology of the samples and also carbonaceous deposition which had grown on sample surfaces were examined by stereoscopy, scanning electron microscopy (SEM) and transmission electron microscopy (TEM). Results revealed that multi-wall carbon nanotubes could be formed over nanocatalyst generated on the alloy surface by exploiting metal dusting process. By optimization of reaction parameters the yields of carbon nanotube materials obtained were 700-1000%. Also it has been shown herein that the amount of carbon nanotube materials remarkably increases when the reaction time is extended up to 300 h, indicating a possibility of the mass production by this easy method.

  15. JTEC Panel report on electronic manufacturing and packaging in Japan

    Science.gov (United States)

    Kelly, Michael J.; Boulton, William R. (Editor); Kukowski, John; Meieran, Gene; Pecht, Michael; Peeples, John; Tummala, Rao; Dehaemer, Michael J.; Holdridge, Geoff (Editor); Gamota, George

    1995-01-01

    This report summarizes the status of electronic manufacturing and packaging technology in Japan in comparison to that in the United States, and its impact on competition in electronic manufacturing in general. In addition to electronic manufacturing technologies, the report covers technology and manufacturing infrastructure, electronics manufacturing and assembly, quality assurance and reliability in the Japanese electronics industry, and successful product realization strategies. The panel found that Japan leads the United States in almost every electronics packaging technology. Japan clearly has achieved a strategic advantage in electronics production and process technologies. Panel members believe that Japanese competitors could be leading U.S. firms by as much as a decade in some electronics process technologies.

  16. Response to waste electrical and electronic equipments in China: legislation, recycling system, and advanced integrated process.

    Science.gov (United States)

    Zhou, Lei; Xu, Zhenming

    2012-05-01

    Over the past 30 years, China has been suffering from negative environmental impacts from distempered waste electrical and electronic equipments (WEEE) recycling activities. For the purpose of environmental protection and resource reusing, China made a great effort to improve WEEE recycling. This article reviews progresses of three major fields in the development of China's WEEE recycling industry: legal system, formal recycling system, and advanced integrated process. Related laws concerning electronic waste (e-waste) management and renewable resource recycling are analyzed from aspects of improvements and loopholes. The outcomes and challenges for existing formal recycling systems are also discussed. The advantage and deficiency related to advanced integrated recycling processes for typical e-wastes are evaluated respectively. Finally, in order to achieve high disposal rates of WEEE, high-quantify separation of different materials in WEEE and high added value final products produced by separated materials from WEEE, an idea of integrated WEEE recycling system is proposed to point future development of WEEE recycling industry. © 2012 American Chemical Society

  17. Excited-atom production by electron and ion bombardment of alkali halides

    International Nuclear Information System (INIS)

    Walkup, R.E.; Avouris, P.; Ghosh, A.P.

    1987-01-01

    We present experimental results on the production of excited atoms by electron and ion bombardment of alkali halides. For the case of electron bombardment, Doppler shift measurements show that the electronically excited atoms have a thermal velocity distribution in equilibrium with the surface temperature. Measurements of the absolute yield of excited atoms, the distribution of population among the excited states, and the systematic dependence on incident electron current and sample temperature support a model in which the excited atoms are produced by gas-phase collisions between desorbed ground-state atoms and secondary electrons. In contrast, for the case of ion bombardment, the excited atoms are directly sputtered from the surface, with velocity distributions characteristic of a collision cascade, and with typical energies of --10 eV

  18. Recent developments in electron beam machine technology

    International Nuclear Information System (INIS)

    Sadat, T.; Ross, A.; Leveziel, H.

    1994-01-01

    Electron beam accelerator provides ionisation energy for industrial processing. Electron beam accelerators are increasingly used for decontamination, conservation and disinfestation of food, for sterilization of medical products, and for polymerisation of materials. These machines are easy to install into a production factory as the radiation stops as soon as the machine is switched off. This safety advantage, together with the flexibility of use of these highly automated machines, has allowed the electron beam accelerator to become an important production tool. (author). 23 refs., 6 figs., 2 tabs

  19. NPOESS Interface Data Processing Segment Product Generation

    Science.gov (United States)

    Grant, K. D.

    2009-12-01

    The National Oceanic and Atmospheric Administration (NOAA), Department of Defense (DoD), and National Aeronautics and Space Administration (NASA) are jointly acquiring the next-generation weather and environmental satellite system; the National Polar-orbiting Operational Environmental Satellite System (NPOESS). NPOESS replaces the current Polar-orbiting Operational Environmental Satellites (POES) managed by NOAA and the Defense Meteorological Satellite Program (DMSP) managed by the DoD. The NPOESS satellites carry a suite of sensors that collect meteorological, oceanographic, climatological, and solar-geophysical observations of the earth, atmosphere, and space. The NPOESS design allows centralized mission management and delivers high quality environmental products to military, civil and scientific users. The ground data processing segment for NPOESS is the Interface Data Processing Segment (IDPS), developed by Raytheon Intelligence and Information Systems. The IDPS processes NPOESS satellite data to provide environmental data products to NOAA and DoD processing centers operated by the United States government. The IDPS will process environmental data products beginning with the NPOESS Preparatory Project (NPP) and continuing through the lifetime of the NPOESS system. Within the overall NPOESS processing environment, the IDPS must process a data volume nearly 1000 times the size of current systems -- in one-quarter of the time. Further, it must support the calibration, validation, and data quality improvement initiatives of the NPOESS program to ensure the production of atmospheric and environmental products that meet strict requirements for accuracy and precision. This paper will describe the architecture approach that is necessary to meet these challenging, and seemingly exclusive, NPOESS IDPS design requirements, with a focus on the processing relationships required to generate the NPP products.

  20. Managerial implications for improving continuous production processes

    DEFF Research Database (Denmark)

    Capaci, Francesca; Vanhatalo, Erik; Bergquist, Bjarne

    2017-01-01

    . In this article we highlight SPC and DoE implementation challenges described in the literature for managers, researchers and practitioners interested in continuous production process improvement. The results may help managers support the implementation of these methods and make researchers and practitioners aware......Data analytics remains essential for process improvement and optimization. Statistical process control and design of experiments are among the most powerful process and product improvement methods available. However, continuous process environments challenge the application of these methods...... of methodological challenges in continuous process environments...

  1. Production of spin-polarized unstable nuclei by using polarized electron capture process

    International Nuclear Information System (INIS)

    Shimizu, S.

    1998-01-01

    Measurements of emitted radiation from spin polarized nuclei are used to get information on electromagnetic moment of ground state unstable nuclei together with spin or parity state of excited states of their decayed (daughter) nuclei. These data are known to be useful for experimental investigation into the structure of unstable nuclei far from the stability line. The present study aims to establish a general method applicable to 11 Be and 16 N nuclei. To produce spin polarization, a new method in which the electron spin polarization of Rb is firstly produced by laser pumping, then the electron is transferred to the unstable nuclear beam (RNB) when they passes through the Rb vapor is proposed. Finally the polarized RNB will be implanted into superfluid helium to remain with a long spin-relaxation time. Future experimental set up for the above measurement adopted in the available radioactive nuclear beam facilities is briefly described. (Ohno, S.)

  2. 9 CFR 318.306 - Processing and production records.

    Science.gov (United States)

    2010-01-01

    ....306 Section 318.306 Animals and Animal Products FOOD SAFETY AND INSPECTION SERVICE, DEPARTMENT OF AGRICULTURE AGENCY ORGANIZATION AND TERMINOLOGY; MANDATORY MEAT AND POULTRY PRODUCTS INSPECTION AND VOLUNTARY... Canning and Canned Products § 318.306 Processing and production records. At least the following processing...

  3. Processing of basalt fiber production waste

    Science.gov (United States)

    Sevostyanov, V. S.; Shatalov, A. V.; Shatalov, V. A.; Golubeva, U. V.

    2018-03-01

    The production of mineral rock wool forms a large proportion of off-test waste products. In addition to the cost of their production, there are costs for processing and utilization, such as transportation, disposal and preservation. Besides, wastes have harmful effect on the environment. This necessitates research aimed to study the stress-related characteristics of materials, their recyclability and use in the production of heat-saving products.

  4. Guide for preparing annual reports on radiation-safety testing of electronic products (general)

    International Nuclear Information System (INIS)

    1987-10-01

    For manufacturers of electronic products other than those for which a specific guide has been issued, the guide replaces the Guide for the Filing of Annual Reports (21 CFR Subchapter J, Section 1002.11), HHS Publication FDA 82-8127. The electronic product (general) annual reporting guide is applicable to the following products: products intended to produce x radiation (accelerators, analytical devices, therapy x-ray machines); microwave diathermy machines; cold-cathode discharge tubes; and vacuum switches and tubes operating at or above 15,000 volts. To carry out its responsibilities under Public Law 90-602, the Food and Drug Administration's Center for Devices and Radiological Health (CDRH) has issued a series of regulations contained in Title 21 of the Code of Federal Regulations (CFR). Part 1002 of 21 CFR deals with records and reports. Section 1002.61 categorizes electronic products into Groups A through C. Section 1002.30 requires manufacturers of products in Groups B and C to establish and maintain certain records, while Section 1002.11 requires such manufacturers to submit an Annual Report summarizing the contents of the required records. Section 1002.7 requires that reports conform to reporting guides issued by CDRH unless an acceptable justification for an alternate format is provided

  5. 77 FR 31876 - Certain Consumer Electronics and Display Devices and Products Containing Same Determination Not...

    Science.gov (United States)

    2012-05-30

    ... INTERNATIONAL TRADE COMMISSION [Investigation No. 337-TA-836] Certain Consumer Electronics and Display Devices and Products Containing Same Determination Not To Review Initial Determination To Amend... electronics and display devices and products containing the same by reason of infringement of U.S. Patent Nos...

  6. IS process for thermochemical hydrogen production

    International Nuclear Information System (INIS)

    Onuki, Kaoru; Nakajima, Hayato; Ioka, Ikuo; Futakawa, Masatoshi; Shimizu, Saburo

    1994-11-01

    The state-of-the-art of thermochemical hydrogen production by IS process is reviewed including experimental data obtained at JAERI on the chemistry of the Bunsen reaction step and on the corrosion resistance of the structural materials. The present status of laboratory scale demonstration at JAERI is also included. The study on the chemistry of the chemical reactions and the products separations has identified feasible methods to function the process. The flowsheeting studies revealed a process thermal efficiency higher than 40% is achievable under efficient process conditions. The corrosion resistance of commercially available structural materials have been clarified under various process conditions. The basic scheme of the process has been realized in a laboratory scale apparatus. R and D requirements to proceed to the engineering demonstration coupled with HTTR are briefly discussed. (author)

  7. New advances in the integrated management of food processing by-products in Europe: sustainable exploitation of fruit and cereal processing by-products with the production of new food products (NAMASTE EU).

    Science.gov (United States)

    Fava, Fabio; Zanaroli, Giulio; Vannini, Lucia; Guerzoni, Elisabetta; Bordoni, Alessandra; Viaggi, Davide; Robertson, Jim; Waldron, Keith; Bald, Carlos; Esturo, Aintzane; Talens, Clara; Tueros, Itziar; Cebrián, Marta; Sebők, András; Kuti, Tunde; Broeze, Jan; Macias, Marta; Brendle, Hans-Georg

    2013-09-25

    By-products generated every year by the European fruit and cereal processing industry currently exceed several million tons. They are disposed of mainly through landfills and thus are largely unexploited sources of several valuable biobased compounds potentially profitable in the formulation of novel food products. The opportunity to design novel strategies to turn them into added value products and food ingredients via novel and sustainable processes is the main target of recently EC-funded FP7 project NAMASTE-EU. NAMASTE-EU aims at developing new laboratory-scale protocols and processes for the exploitation of citrus processing by-products and wheat bran surpluses via the production of ingredients useful for the formulation of new beverage and food products. Among the main results achieved in the first two years of the project, there are the development and assessment of procedures for the selection, stabilization and the physical/biological treatment of citrus and wheat processing by-products, the obtainment and recovery of some bioactive molecules and ingredients and the development of procedures for assessing the quality of the obtained ingredients and for their exploitation in the preparation of new food products. Copyright © 2013 Elsevier B.V. All rights reserved.

  8. Processing Challenges and Opportunities of Camel Dairy Products

    DEFF Research Database (Denmark)

    Berhe, Tesfemariam; Seifu, Eyassu; Ipsen, Richard

    2017-01-01

    A review on the challenges and opportunities of processing camel milk into dairy products is provided with an objective of exploring the challenges of processing and assessing the opportunities for developing functional products from camel milk. The gross composition of camel milk is similar...... to bovine milk. Nonetheless, the relative composition, distribution, and the molecular structure of the milk components are reported to be different. Consequently, manufacturing of camel dairy products such as cheese, yoghurt, or butter using the same technology as for dairy products from bovine milk can...... result in processing difficulties and products of inferior quality. However, scientific evidence points to the possibility of transforming camel milk into products by optimization of the processing parameters. Additionally, camel milk has traditionally been used for its medicinal values and recent...

  9. Model based process-product design and analysis

    DEFF Research Database (Denmark)

    Gani, Rafiqul

    This paper gives a perspective on modelling and the important role it has within product-process design and analysis. Different modelling issues related to development and application of systematic model-based solution approaches for product-process design is discussed and the need for a hybrid...... model-based framework is highlighted. This framework should be able to manage knowledge-data, models, and associated methods and tools integrated with design work-flows and data-flows for specific product-process design problems. In particular, the framework needs to manage models of different types......, forms and complexity, together with their associated parameters. An example of a model-based system for design of chemicals based formulated products is also given....

  10. THE MODERN THEORY AND TECHNOLOGY OF PRODUCTION, PROCESSING AND USE OF THE PRODUCTS OF COMPLEX PROCESSING OF WHEAT GERM

    OpenAIRE

    N. S. Rodionova; T. V. Alekseeva

    2014-01-01

    Summary. The data and methods for the preparation of deep processing of wheat germ and their impact on the physical and chemical properties of the final products. It was found that for use in food technology is preferable to use a method is-cold-pressed wheat germ, under which the processed products do not present a residual amount of solvents and other non-food components. Given food and biological characteristics of wheat germ and products deep processing, it was found that they contain vit...

  11. X-ray induced production and yield kinetics of photo- and Auger Electrons in semiconductors

    International Nuclear Information System (INIS)

    Peregudov, V.I.; Pashaev, Eh.M.

    1991-01-01

    The paper is dedicated to theoretical and experimental analysis of the mechanism of indirect excitation of soft Auger-electrons due to atom electron ionization using Ge crystal exposed to MoK α radiation as an example. Process of generation of these Auger-electrons is considered in detail, solution of kinetic equation for electrons, as well as, experimental data proving crucial role of indirect processes in generation of soft Auger-electrons are given

  12. Anticipating Soft Problems with Consumer Electronic Products : How do soft problems interact with user characteristics and product properties?

    NARCIS (Netherlands)

    Kim, C.

    2012-01-01

    Over the last decade consumer electronic product industries have been confronted with an increase in consumer complaints. Interestingly about half of the reasons for product return are based on so called ‘soft problems’, consumer complaints that cannot be traced back to technical problems. Probably

  13. Simulation-based optimization for product and process design

    NARCIS (Netherlands)

    Driessen, L.

    2006-01-01

    The design of products and processes has gradually shifted from a purely physical process towards a process that heavily relies on computer simulations (virtual prototyping). To optimize this virtual design process in terms of speed and final product quality, statistical methods and mathematical

  14. Industrial applications of electron accelerators

    International Nuclear Information System (INIS)

    Singh, A.

    1994-01-01

    The interaction of high-energy radiation with organic systems produces very reactive, short-lived, ionic and free-radical species. The chemical changes brought about by these species are very useful in several systems, and are the basis of the growth of the electron processing industry. Some typical areas of the industrial use of electron accelerators are crosslinking wire and cable insulation, manufacturing heat shrink plastic items, curing coatings, and partially curing rubber products. Electron accelerators are also being considered in other areas such as sewage treatment, sterilizing medical disposables, and food irradiation. An emerging application of industrial electron accelerators is the production of advanced composites for the aerospace and other industries. Traditionally, the carbon-, aramid- and glass-fibre-reinforced composites with epoxy matrices are produced by thermal curing. However, equivalent composites with acrylated-epoxy matrices can be made by electron curing. Cost estimates suggest that electron curing could be more economical than thermal curing. Food irradiation has traditionally been an application for 60 Co γ-radiation. With the increasing demand for food irradiation in various countries, it may become necessary to use electron accelerators for this purpose. Since the dose rate during gamma and electron irradiation are generally very different, a review of the relevant work on the effect of dose rates has been done. This paper presents an overview of the industrial applications of electron accelerator for radiation processing, emphasises the electron curing of advanced composites and, briefly reviews the dose-rate effects in radiation processing of advanced composites and food irradiation. (author). 84 refs., 8 tabs

  15. Component reliability for electronic systems

    CERN Document Server

    Bajenescu, Titu-Marius I

    2010-01-01

    The main reason for the premature breakdown of today's electronic products (computers, cars, tools, appliances, etc.) is the failure of the components used to build these products. Today professionals are looking for effective ways to minimize the degradation of electronic components to help ensure longer-lasting, more technically sound products and systems. This practical book offers engineers specific guidance on how to design more reliable components and build more reliable electronic systems. Professionals learn how to optimize a virtual component prototype, accurately monitor product reliability during the entire production process, and add the burn-in and selection procedures that are the most appropriate for the intended applications. Moreover, the book helps system designers ensure that all components are correctly applied, margins are adequate, wear-out failure modes are prevented during the expected duration of life, and system interfaces cannot lead to failure.

  16. Contribution of charge-transfer processes to ion-induced electron emission

    International Nuclear Information System (INIS)

    Roesler, M.; Garcia de Abajo, F.J.

    1996-01-01

    Charge changing events of ions moving inside metals are shown to contribute significantly to electron emission in the intermediate velocity regime via electrons coming from projectile ionization. Inclusion of equilibrium charge state fractions, together with two-electron Auger processes and resonant-coherent electron loss from the projectile, results in reasonable agreement with previous calculations for frozen protons, though a significant part of the emission is now interpreted in terms of charge exchange. The quantal character of the surface barrier transmission is shown to play an important role. The theory compares well with experimental observations for H projectiles. copyright 1996 The American Physical Society

  17. Analysis of production flow process with lean manufacturing approach

    Science.gov (United States)

    Siregar, Ikhsan; Arif Nasution, Abdillah; Prasetio, Aji; Fadillah, Kharis

    2017-09-01

    This research was conducted on the company engaged in the production of Fast Moving Consumer Goods (FMCG). The production process in the company are still exists several activities that cause waste. Non value added activity (NVA) in the implementation is still widely found, so the cycle time generated to make the product will be longer. A form of improvement on the production line is by applying lean manufacturing method to identify waste along the value stream to find non value added activities. Non value added activity can be eliminated and reduced by utilizing value stream mapping and identifying it with activity mapping process. According to the results obtained that there are 26% of value-added activities and 74% non value added activity. The results obtained through the current state map of the production process of process lead time value of 678.11 minutes and processing time of 173.94 minutes. While the results obtained from the research proposal is the percentage of value added time of 41% of production process activities while non value added time of the production process of 59%. While the results obtained through the future state map of the production process of process lead time value of 426.69 minutes and processing time of 173.89 minutes.

  18. Current status of electron beam processing applications and accelerator technology in India

    International Nuclear Information System (INIS)

    Sarma, K.S.S.; Lavale, D.S.; Sabharwal, S.

    2001-01-01

    Full text: Electron Beam (EB) processing is now a well established technology world over in a few specific sections of the industry, particularly the polymer industry. The actual use of the technology however is dependent upon the specific socioeconomic needs of the individual country. In India, an industrial type EB accelerator has been operative since 1988 at Bhabha Atomic Research Centre, Mumbai. This 2 MeV, 20 kW machine is being utilized to develop and optimize process and material process techniques for research, development and industry in the fields viz., crosslinking, degradation and grafting of polymers; color enhancement in precious and semi-precious stones, lifetime control in semi-conductor devices; food irradiation. Some of these processes have developed into products that are now being carried out on regular commercial basis, meeting the requirements of the Indian industry. These include crosslinked high temperature PE 'O' rings, wire and cable insulation, heat shrinkable tubes; micro-fine PTFE powder, degraded viscose rayon pulp and color diamonds, With the collaboration of Indian cable industry, EB crosslinkable insulation formulations were developed. Suitable irradiation parameters and techniques have been studied, optimized and standardized. Over 100 km length of cables based on PE, PVC and elastomer blends has been irradiated and the results were found to be very encouraging. Since the main parameters to be monitored in the radiation processing is the absorbed dose and its uniformity in the product, dose evaluation and optimization hb been carried out specific to the process and the product under treatment. EB dosimetry based on the graphite calorimetry, thin film and alanine powder dosimeters has been standardized and being used in the facility for dose evaluation and optimization studies. An endless stainless steel mesh conveyor is available in the facility to carry out product irradiation. An eight type cable irradiation gadget has been

  19. Electron-positron pair production in Coulomb collisions at ultrarelativistic energies

    International Nuclear Information System (INIS)

    Vane, C.R.; Datz, S.; Dittner, P.F.; Krause, H.F.; Bottcher, C.; Strayer, M.; Schuch, R.; Gao, H.; Hutton, R.

    1993-01-01

    We have measured angular and momentum distributions for electrons and positrons created as pairs in peripheral collisions of 6.4 TeV bare sulfur ions with fixed targets of Al, Pd, and Au. Singly- and doubly-differential cross sections have been determined for 1--17 MeV/c electrons and positrons detected independently and in coincidence as pairs. Integrated yields for pair production are found to vary as the square of the target nuclear charge. Relative angular and momentum differential cross sections are effectively target independent. Probability distributions for the pair total momentum, the positron fraction of the pair momentum, and the pair traverse momentum have been derived from the coincident electron-positron data

  20. The electron accelerator in industry - safety aspects

    International Nuclear Information System (INIS)

    Kirthi, K.N.

    1993-01-01

    Electron beam accelerators are being used in increasing numbers in a variety of important applications. Commercial uses include radiography, food preservation, product sterilisation and radiation processing of materials. Since most of the industrial applications involve products, some that can be treated with electrons and others that require photons, electron accelerators serve this dual purpose economically. Although industrial accelerators are now regarded as standard products, finished installations show considerable diversity, reflecting the users, needs and planning. Because of the high radiation output, proper planning regarding safety is warranted. This paper discusses the hazards, safety and planning required during design and operation of the electron beam accelerators. (author). 4 refs., 1 fig

  1. A method for conversion of Hounsfield number to electron density and prediction of macroscopic pair production cross-sections

    International Nuclear Information System (INIS)

    Knoeoes, T.; Nilsson, M.; Ahlgren, L.

    1986-01-01

    A method for the determination of electron density using a narrow beam attenuation geometry is described. The method does not require that the elemental composition of the phantom materials is known. The Hounsfield numbers for the phantom materials used were determined using five different CT scanners. A relationship between Hounsfield number and electron density can thus be established, which is of considerable value in radiation therapy treatment planning procedures. Measurements of the ratio coherent/incoherent scattering of low energy photons in a certain geometry has proven valuable for determination of atomic number, which in its turn can be used for estimation of macroscopic pair production coefficients for high energy photons. The combination of knowledge of electron density with methods for determination of processes, dependent on atomic number, can form a base for adequate composition of phantom materials for purposes of testing dose calculation algorithms for photons and electrons. (orig.)

  2. Radiosterilization process control in plants using electron accelerators

    International Nuclear Information System (INIS)

    Stuglik, Z.

    1997-01-01

    Electron beam parameters deciding the irradiation dose in radiosterilization plants should be continuously controlled during the process. Dosimetric procedure suitable to irradiated material and dose range should be chosen. The practical advice and directions in this subject have been done. 7 refs

  3. Improving preanalytic processes using the principles of lean production (Toyota Production System).

    Science.gov (United States)

    Persoon, Thomas J; Zaleski, Sue; Frerichs, Janice

    2006-01-01

    The basic technologies used in preanalytic processes for chemistry tests have been mature for a long time, and improvements in preanalytic processes have lagged behind improvements in analytic and postanalytic processes. We describe our successful efforts to improve chemistry test turnaround time from a central laboratory by improving preanalytic processes, using existing resources and the principles of lean production. Our goal is to report 80% of chemistry tests in less than 1 hour and to no longer recognize a distinction between expedited and routine testing. We used principles of lean production (the Toyota Production System) to redesign preanalytic processes. The redesigned preanalytic process has fewer steps and uses 1-piece flow to move blood samples through the accessioning, centrifugation, and aliquoting processes. Median preanalytic processing time was reduced from 29 to 19 minutes, and the laboratory met the goal of reporting 80% of chemistry results in less than 1 hour for 11 consecutive months.

  4. Polarization effects in the reaction of charm baryon production on colliding electron-positron beams

    International Nuclear Information System (INIS)

    Rekalo, M.P.; Korzh, A.P.; Barannik, V.P.

    1980-01-01

    To calculate energy and angular distributions of various decay products of charm baAyons, which are prodUced in reactions on colliding e + e - beams, it is necessary to know the differential cross sections of the e + e - → C+anti C process which correspond to different polarized states of produced C and anti C (C - charm baryon). These differential cross sections are calculated for a single-photon mechanism with respect to the contribution of the anapole and electric dipole form factors of C-baryon. Polarizations of colliding electron-positron beams are taken into account in a full volume

  5. Production of highly unsaturated fatty acids using agro-processing by-products

    CSIR Research Space (South Africa)

    Jacobs, A

    2008-11-01

    Full Text Available The South African agro-processing industry generates millions of tons of cereal derived by-products annually. The by-products from biofuel production are expected to increase these volumes dramatically. Highly unsaturated fatty acids (HUFA...

  6. Electronic Commerce

    Directory of Open Access Journals (Sweden)

    Slavko Đerić

    2016-12-01

    Full Text Available Electronic commerce can be defined in different ways. Any definition helps to understand and explain that concept as better as possible.. Electronic commerce is a set of procedures and technologies that automate the tasks of financial transactions using electronic means. Also, according to some authors, electronic commerce is defined as a new concept, which is being developed and which includes process of buying and selling or exchanging products, services or information via computer networks, including the Internet. Electronic commerce is not limited just to buying and selling, but it also includes all pre-sales and after-sales ongoing activities along the supply chain. Introducing electronic commerce, using the Internet and Web services in business, realizes the way to a completely new type of economy - internet economy.

  7. Development of Processed Products from Guapple

    Directory of Open Access Journals (Sweden)

    Teresita Acevedo

    1995-12-01

    Full Text Available The study aimed to develop processed products from guapple. Characterization of the guapple fruit was intially conducted before proceeding to formulation studies.The following characteristics of the guapple fruit were observed: color of outer skin - yellow green with Munsell notation of 10 Y7/6, color of inner flesh - off white with Munsell notation of 7.5Y 8/2; texture, 20.4-37.1 mm; average weight per piece, from 219 to 420 gms; ph, 3.7; titrable acidity (citric acid, 0.34%, and soluble solids, 2.6° Brix.The identified processed products from guapple were puree, pickles, and preserves. Standardized processes and formulations for each of these products were developed in semi-pilot scale. Removal of the skin for the guapple preserves and pickles was facilitated using 5% brine-l % CaCI2.Suitable packaging materials were also identified. Flexible films were used for guapple puree while glass jars and flexible films were found to be satisfactory both for guapple pickles and preserves.Physico-chemical, microbiological, and sensory evaluation were done after two months of storage. Based on these tests, the pasteurization process of 180° F for 20 minutes for puree and 10 minutes for preserves and pickles, was found to make the products commercially sterile.

  8. Robustness testing in pharmaceutical freeze-drying: inter-relation of process conditions and product quality attributes studied for a vaccine formulation.

    Science.gov (United States)

    Schneid, Stefan C; Stärtzel, Peter M; Lettner, Patrick; Gieseler, Henning

    2011-01-01

    The recent US Food and Drug Administration (FDA) legislation has introduced the evaluation of the Design Space of critical process parameters in manufacturing processes. In freeze-drying, a "formulation" is expected to be robust when minor deviations of the product temperature do not negatively affect the final product quality attributes. To evaluate "formulation" robustness by investigating the effect of elevated product temperature on product quality using a bacterial vaccine solution. The vaccine solution was characterized by freeze-dry microscopy to determine the critical formulation temperature. A conservative cycle was developed using the SMART™ mode of a Lyostar II freeze dryer. Product temperature was elevated to imitate intermediate and aggressive cycle conditions. The final product was analyzed using X-ray powder diffraction (XRPD), scanning electron microscopy (SEM), Karl Fischer, and modulated differential scanning calorimetry (MDSC), and the life cell count (LCC) during accelerated stability testing. The cakes processed at intermediate and aggressive conditions displayed larger pores with microcollapse of walls and stronger loss in LCC than the conservatively processed product, especially during stability testing. For all process conditions, a loss of the majority of cells was observed during storage. For freeze-drying of life bacterial vaccine solutions, the product temperature profile during primary drying appeared to be inter-related to product quality attributes.

  9. Food irradiation by low energy electrons

    International Nuclear Information System (INIS)

    Bird, J.R.

    1985-01-01

    For some special cases, the use of low energy electrons has advantages over the use of gamma-rays or higher energy electrons for the direct irradiation of food. These advantages arise from details of the interaction processes which are responsible for the production of physical, chemical and biological effects. Factors involved include depth of penetration, dose distribution, irradiation geometry, the possible production of radioactivity and costs

  10. Manufacture of polyethylene foam by electron beam cross-linking

    International Nuclear Information System (INIS)

    Tamai, Isamu

    1976-01-01

    The manufacturing process of polyethylene foam, comparison between electron beam cross-linking process and chemical cross-linking process, the electron beam irradiation technique for continuous sheets, the characteristics and uses of polyethylene foam are reviewed. The pore diameter can be controlled by selecting the dose rate, because there is strong relationship between the pore diameter and the dose rate. As the dose if higher, the foam becomes finer. The electron accelerators having large capacity show the lowest cost as the radiation source, and are applicable industrially. If the production capacity exceeds about 200 tons per month, the costs of electron beam irradiation process may be more advantageous than that of chemical process according to the circumstances. It is difficult to obtain the uniform distribution of absorption dose in the direction of thickness. General characteristics of cross-linked polyethylene foam are listed. The special feature of electron beam process is that the degree of cross-linking can be controlled arbitrarily before foaming. The products obtained by the electron beam cross-linking process have finer foams and smoother surfaces than those obtained by the chemical process, because the separation of the decomposition of foaming agents from that of cross-linking agents in the chemical cross-linking is difficult. (Iwakiri, K.)

  11. A non-linear model of economic production processes

    Science.gov (United States)

    Ponzi, A.; Yasutomi, A.; Kaneko, K.

    2003-06-01

    We present a new two phase model of economic production processes which is a non-linear dynamical version of von Neumann's neoclassical model of production, including a market price-setting phase as well as a production phase. The rate of an economic production process is observed, for the first time, to depend on the minimum of its input supplies. This creates highly non-linear supply and demand dynamics. By numerical simulation, production networks are shown to become unstable when the ratio of different products to total processes increases. This provides some insight into observed stability of competitive capitalist economies in comparison to monopolistic economies. Capitalist economies are also shown to have low unemployment.

  12. Scaling laws in high energy electron-nuclear processes

    International Nuclear Information System (INIS)

    Chemtob, M.

    1980-11-01

    We survey the parton model description of high momentum transfer electron scattering processes with nuclei. We discuss both nucleon and quark parton models and confront the patterns of scaling laws violations, induced by binding effects, in the former, and perturbative QCD effects, in the latter

  13. Consumer Innovativeness Model of Indonesian Young People in Adopting Electronic Products

    Directory of Open Access Journals (Sweden)

    Reza Ashari Nasution

    2012-06-01

    Full Text Available It is important for marketers to understand how innovators respond to the introduction of new products. This paper investigates consumer innovativeness (CI from meta-analysis study as suggested by Nasution and Garnida [2011] and examines the simultaneous impacts of CI on new product adoption. Nasution and Garnida [2010] proposed three different perspectives in conceptualizing the CI model. First, the generalist stream that represents a generalized personality trait that engenders consumers to adopt new product. Second, the particularist stream that focuses on product adoption behavior within a specific domain of interest. Third, the integrator perspective that proposes to integrate these two streams by putting domain-specific innovativeness as a mediating factor in relationship between general innovativeness trait and new product adoption.A structural equation model is used to test hypotheses using empirical data from 607 respondents in electronic products adoption. The result shows that the integrator perspective provides the best model in representing the empirical data. The finding of the integrator perspective reveals that domain specific CI mediates the relationship between general innovativeness trait and new product adoption. Specifically, subjective knowledge and hedonic idea shopping enhances the actuality of new products.The findings provide an explanation to the less than consistent relationship between consumer innovativeness and new product adoption. However, a single research context of electronic products and student sample may become one of the limitations and future studies needed to replicate the perspective of CI in different research contexts for greater generalizability and the use of non-student sample. The findings have implications for the innovation adoption theory, for managers involved in the introduction of new products, and for future research on innovation adoption.

  14. Radiation processing of polymers with high energy electron beams: novel materials and processes

    International Nuclear Information System (INIS)

    Sarma, K.S.S.; Sabharwal, Sunil

    2002-01-01

    High-energy ionizing radiation available from electron beam (EB) accelerators has the ability to create extremely reactive species like free radicals or ions at room temperature or even at low temperature in any phase and in a variety of substrates without addition of external additives. This unique advantage of high energy has been utilized in the recent years to produce better quality materials in an environment friendly and cost-effective manner. The availability of high power and reliable EB accelerators has provided new tools to modify the materials and/or processes for a variety of applications. At BARC, a 2 MeV, 20 kW electron beam accelerator has been the nucleus of developing industrial applications of radiation processing in India for last 10 years. The focus has been on developing technologies that are of relevance to Indian socio-economic conditions and also provide economic benefits to the industry. In the areas of polymer processing industry, commercial success has already been achieved while for exploring its applications in the areas of food and agriculture and environment, technology demonstration plants are being set up. The current status of the programme, the new developments and future direction of radiation processing technology shall be presented in this paper. (author)

  15. A pipeline for comprehensive and automated processing of electron diffraction data in IPLT.

    Science.gov (United States)

    Schenk, Andreas D; Philippsen, Ansgar; Engel, Andreas; Walz, Thomas

    2013-05-01

    Electron crystallography of two-dimensional crystals allows the structural study of membrane proteins in their native environment, the lipid bilayer. Determining the structure of a membrane protein at near-atomic resolution by electron crystallography remains, however, a very labor-intense and time-consuming task. To simplify and accelerate the data processing aspect of electron crystallography, we implemented a pipeline for the processing of electron diffraction data using the Image Processing Library and Toolbox (IPLT), which provides a modular, flexible, integrated, and extendable cross-platform, open-source framework for image processing. The diffraction data processing pipeline is organized as several independent modules implemented in Python. The modules can be accessed either from a graphical user interface or through a command line interface, thus meeting the needs of both novice and expert users. The low-level image processing algorithms are implemented in C++ to achieve optimal processing performance, and their interface is exported to Python using a wrapper. For enhanced performance, the Python processing modules are complemented with a central data managing facility that provides a caching infrastructure. The validity of our data processing algorithms was verified by processing a set of aquaporin-0 diffraction patterns with the IPLT pipeline and comparing the resulting merged data set with that obtained by processing the same diffraction patterns with the classical set of MRC programs. Copyright © 2013 Elsevier Inc. All rights reserved.

  16. The future of focused electron beam-induced processing

    International Nuclear Information System (INIS)

    Hagen, C.W.

    2014-01-01

    A perspective is sketched for the field of focused electron beam-induced processing (FEBIP). The FEBIP lithography technique is compared to the very successful resist-based electron beam lithography (EBL) technique. The advantages of FEBIP over EBL are identified, the main advantage being its high spatial resolution. This will enable FEBIP to become an important lithography technique for the fabrication of devices with critical dimension in the range between 1 and 20 nm and serve as a complementary technique to EBL. It will be discussed what needs to be done to achieve this and what the potential applications are. (orig.)

  17. Modeling intermediate product selection under production and storage capacity limitations in food processing

    DEFF Research Database (Denmark)

    Kilic, Onur Alper; Akkerman, Renzo; Grunow, Martin

    2009-01-01

    In the food industry products are usually characterized by their recipes, which are specified by various quality attributes. For end products, this is given by customer requirements, but for intermediate products, the recipes can be chosen in such a way that raw material procurement costs and pro...... with production and inventory planning, thereby considering the production and storage capacity limitations. The resulting model can be used to solve an important practical problem typical for many food processing industries.......In the food industry products are usually characterized by their recipes, which are specified by various quality attributes. For end products, this is given by customer requirements, but for intermediate products, the recipes can be chosen in such a way that raw material procurement costs...... and processing costs are minimized. However, this product selection process is bound by production and storage capacity limitations, such as the number and size of storage tanks or silos. In this paper, we present a mathematical programming approach that combines decision making on product selection...

  18. Perspectives of Single-Wall Carbon Nano-tube Production in the Arc Discharge Process

    International Nuclear Information System (INIS)

    Krestinin, A.V.; Kiselev, N.A.; Raevskii, A.V; Ryabenko, A.G.; Zakharov, D.N.; Zvereva, G.I.

    2003-01-01

    Single-wall carbon nano tubes (SWNTs) promise wide applications in many technical fields. As a result purified SWNT material is sold now on the West market at more than 1000 dollars per 1 gram. Thus developing an effective technology for SWNTs production rises to a very important sintofene problem. The perspectives of three existing methods providing raw material in the technology of SWNT production have been analyzed. They are i) pulsed laser evaporation of graphite/metal composites, ii) evaporation of graphite electrodes with metal content in the are discharge process, and iii) catalytic decomposition of the mixture of CO and metal carbonyl catalyst precursor. The observed dynamites of SWNT market points to replacing the laser method of SWNTs production by the are process. The conclusion has been made that the technology based on the are process will be the major one for the fabrication of purified SWNTs at least for the next five years. A reliable estimation of a low price limit of SWNTs was derived from a comparison of two technologies based on the are discharge process: the first one is the production of SWNTs and the second one is the production of a fullerene mixture C 6 0 + C 7 0. The main conclusion was made that the price of purified SWNTs should always be more by 2-3 times the price of fullerene mixture. The parameters of a lab-scale technology for the production of purified SWNTs are listed. A large-scale application of the developed technology is expected to reduce the price of purified SWNTs by approximately ten times. The methods now employed for the characterization of products containing SWNTs are briefly observed. It is concluded that electron microscopy, thermogravimetric analysis, absorption and Raman spectroscopy, measurement of the specific surface aria, optical microscopy - each in separation is not enough for extensive characterization of a sample containing SWNTs, and all these methods should be used together. (author)

  19. ORELA electron guns

    International Nuclear Information System (INIS)

    Christian, O.W.; Lewis, T.A.

    1981-09-01

    The most recent information concerning the production and performance of ORELA electron guns is presented. Included are descriptions of procedures for gun fabrication, cathode conditioning and high voltage processing. Highlights of the performance characteristics are also included

  20. Electron Production and Collective Field Generation in Intense Particle Beams

    International Nuclear Information System (INIS)

    Molvik, A W; Vay, J; Cohen, R; Friedman, A; Lee, E; Verboncoeur, J; Covo, M K

    2006-01-01

    Electron cloud effects (ECEs) are increasingly recognized as important, but incompletely understood, dynamical phenomena, which can severely limit the performance of present electron colliders, the next generation of high-intensity rings, such as PEP-II upgrade, LHC, and the SNS, the SIS 100/200, or future high-intensity heavy ion accelerators such as envisioned in Heavy Ion Inertial Fusion (HIF). Deleterious effects include ion-electron instabilities, emittance growth, particle loss, increase in vacuum pressure, added heat load at the vacuum chamber walls, and interference with certain beam diagnostics. Extrapolation of present experience to significantly higher beam intensities is uncertain given the present level of understanding. With coordinated LDRD projects at LLNL and LBNL, we undertook a comprehensive R and D program including experiments, theory and simulations to better understand the phenomena, establish the essential parameters, and develop mitigating mechanisms. This LDRD project laid the essential groundwork for such a program. We developed insights into the essential processes, modeled the relevant physics, and implemented these models in computational production tools that can be used for self-consistent study of the effect on ion beams. We validated the models and tools through comparison with experimental data, including data from new diagnostics that we developed as part of this work and validated on the High-Current Experiment (HCX) at LBNL. We applied these models to High-Energy Physics (HEP) and other advanced accelerators. This project was highly successful, as evidenced by the two paragraphs above, and six paragraphs following that are taken from our 2003 proposal with minor editing that mostly consisted of changing the tense. Further benchmarks of outstanding performance are: we had 13 publications with 8 of them in refereed journals, our work was recognized by the accelerator and plasma physics communities by 8 invited papers and we have

  1. Technology and applications of electron accelerator

    International Nuclear Information System (INIS)

    Natsir, M.

    1998-01-01

    Technology of electron accelerator have been developed so fast in advanced countries. It was applied in the research and development (R and D) and comercially in various industries. The industries applying electron accelerator includes polymers industry, sterilization of medical tools, material surface modification, and environmental management. The radiation process using electron beam is an ionization radiation process. Two facilities of electron accelerator have been established in pilot scale at the Centre for the Application of Isotope and Radiation CAIR-BATAN, Jakarta, for the RandD of radiation process technology and in demonstrating the electron accelerator application in industry in Indonesia. The first has low energy specification of 300 keV, 50 mA, EPS-300 type and the second has medium energy specification of 2 MeV, 10 mA dynamitron model GJ-2 type. Both the electron accelerators have an electron penetration depth capability of 0.6 and 12 mm, respectively, for the double side irradiation in the materials with density of 1 g/cm 3 . They also highly capacity production and electron beam cross-section of 120 cm length and 10 cm width. The beam will go through the atmosphere for irradiation samples or industrial products. The radiation dose can be selected precisely by adjusting the electron beam current and conveyor speed. Both of these facilities were applied in many aspects RandD, for examples dosimetry, wood surface coating, cross-linking of polymer, heatshrincable tube, polymer grafting, plastic degradation, food preservation, sterilization and so on. Engineering factors of radiation design process and general observation of electron accelerator application in RandD for various industries in Indonesia are briefly discussed

  2. Production inventory policies for defective items with inspection errors, sales return, imperfect rework process and backorders

    Science.gov (United States)

    Jaggi, Chandra K.; Khanna, Aditi; Kishore, Aakanksha

    2016-03-01

    In order to sustain the challenges of maintaining good quality and perfect screening process, rework process becomes a rescue to compensate for the imperfections present in the production system. The proposed model attempts to explore the existing real-life situation with a more practical approach by incorporating the concept of imperfect rework as this occurs as an unavoidable problem to the firm due to irreparable disorders even in the reworked items. Hence, a production inventory model is formulated here to study the combined effect of imperfect quality items, faulty inspection process and imperfect rework process on the optimal production quantity and optimal backorder level. An analytical method is employed to maximize the expected total profit per unit time. Moreover, the results of several previous research articles namely Chiu et al (2006), Chiu et al (2005), Salameh and Hayek (2001), and classical EPQ with shortages are deduced as special cases. To demonstrate the applicability of the model, and to observe the effects of key parameters on the optimal replenishment policy, a numerical example along with a comprehensive sensitivity analysis has been presented. The pertinence of the model can be found in most of the manufacturing industries like textile, electronics, furniture, footwear, plastics etc. A production lot size model has been explored for defectives items with inspection errors and an imperfect rework process.

  3. SHIPBUILDING PRODUCTION PROCESS DESIGN METHODOLOGY USING COMPUTER SIMULATION

    OpenAIRE

    Marko Hadjina; Nikša Fafandjel; Tin Matulja

    2015-01-01

    In this research a shipbuilding production process design methodology, using computer simulation, is suggested. It is expected from suggested methodology to give better and more efficient tool for complex shipbuilding production processes design procedure. Within the first part of this research existing practice for production process design in shipbuilding was discussed, its shortcomings and problem were emphasized. In continuing, discrete event simulation modelling method, as basis of sugge...

  4. Fuel production from coal by the Mobil Oil process using nuclear high-temperature process heat

    International Nuclear Information System (INIS)

    Hoffmann, G.

    1982-01-01

    Two processes for the production of liquid hydrocarbons are presented: Direct conversion of coal into fuel (coal hydrogenation) and indirect conversion of coal into fuel (syngas production, methanol synthesis, Mobil Oil process). Both processes have several variants in which nuclear process heat may be used; in most cases, the nuclear heat is introduced in the gas production stage. The following gas production processes are compared: LURGI coal gasification process; steam reformer methanation, with and without coal hydrogasification and steam gasification of coal. (orig./EF) [de

  5. ORGANIZATION OF INFORMATION INTERACTION OF AIRPORT PRODUCTION PROCESSES

    Directory of Open Access Journals (Sweden)

    Yakov Mikhajlovich Dalinger

    2017-01-01

    Full Text Available The organization of service production attributed to airports activity is analyzed. The importance and the actuality of information interaction problem solution between productive processes as a problem of organization of modern produc- tion are shown.Possibilities and features of information interaction system construction in form of multi-level hierarchical struc- ture have been shown. The airport is considered as an enterprise aimed at service production where it is necessary to analyze much in- formation in a limited time-frame. The production schedule often changes under the influence of many factors. This leads to the increase of the role of computerization and informatization of production processes what predetermines automation of production, creation of information environment and organization of information interaction needed for realization of production processes. The integrated organization form is proposed because it is oriented to the integration of different processes into a universal production system and it allows to conduct the coordination of local goals of particular processes in the context of the global purpose aimed at the improvement of the effectiveness of the airport activity. The main conditions needed for organization of information interaction between production processes and techno- logical operations are considered, and the list of the following problems is determined. The attention is paid to the necessity of compatibility of structure and organization of interaction system in the conditions of the airline and the necessity of be- ing its reflection in the information space of the airline. The usefulness of the intergrated organization form of information interaction based on information exchange between processes and service customers according to the network structure is explained. Multi-level character of this structure confirms its advantage over other items, however it also has a series of features presented

  6. Nucleon resonance production in electromagnetic interactions

    International Nuclear Information System (INIS)

    Mukhtarov, A.I.; Sadykhov, F.S.; Vasil'ev, O.A.; Abdullaev, S.K.; Mustafaev, V.Z.

    1977-01-01

    The results of investigation into nucleon resonance production (NR) in the ep → eNsup(*)(eNsup(*)γ) and eantie → antipNsup(*)(antipNsup(*)γ) processes, where Nsup(*) is a nucleon resonance of the 3/2 or 5/2 spin are presented. The calculation of the NR structure functions with the mass M and 3/2 or 5/2 spin is carried out. The Δ(1236), N(1688) and Δ(2160) NR production was observed in the ep → eNsup(*) and eantie → antipNsup(*) processes. For the ep-interaction the energy dependence of the NR production differential cross section at the electron scattering angle THETA = 6 dea and the angular dependence of the longitudinal polarization degree of the scattered electrons at the electron energy of 6 GeV are presented. The energy dependence of the total cross section of the NR production for eantie → antipNsup(*) is obtained. The ep → eNsup(*)γ radiative electron scattering on a proton is investigated only in case of the Δ(1236)NR production. The dependence of the effective cross section of the Δ(1236) radiative production process on THETA for the energies of an incident and scattered electron of 6 and 2.5 GeV, respectively, and the dependence of the cross section on the scattered electron energy at the initial energy of 6 GeV and THETA = 15 deg are presented

  7. Impulse approximation treatment of electron-electron excitation and ionization in energetic ion-atom collisions

    International Nuclear Information System (INIS)

    Zouros, T.J.M.; Lee, D.H.; Sanders, J.M.; Richard, P.

    1993-01-01

    The effect of electron-electron interactions between projectile and target electrons observed in recent measurements of projectile K-shell excitation and ionization using 0 projectile Auger electron spectroscopy are analysed within the framework of the impulse approximation (IA). The IA formulation is seen to give a good account of the threshold behavior of both ionization and excitation, while providing a remarkably simple intuitive picture of such electron-electron interactions in ion-atom collisions in general. Thus, the applicability of the IA treatment is extended to cover most known processes involving such interactions including resonance transfer excitation, binary encounter electron production, electron-electron excitation and ionization. (orig.)

  8. Classifying FM Value Positioning by Using a Product-Process Matrix

    DEFF Research Database (Denmark)

    Katchamart, Akarapong

    with the type of facilities processes between FM organizations with their clients. Approach (Theory/Methodology): The paper develops the facilities product - process matrix to allow comparisons of different facilities products with facilities processes and illustrate its degree of value delivering. The building......, characterized by levels of information, knowledge and innovation sharing, and mutual involvement, defines four facilities process types. Positions on the matrix capture the product-process interrelationships in facilities management. Practical Implications: The paper presents propositions of relating...... blocks of matrix are a facilities product structure and a facilities process structure. Results: A facilities product structure, characterized by degrees of facilities product customization, complexity, contingencies involved, defines four facilities product categories. A facilities process structure...

  9. Spin effects in strong-field laser-electron interactions

    International Nuclear Information System (INIS)

    Ahrens, S; Bauke, H; Müller, T-O; Villalba-Chávez, S; Müller, C

    2013-01-01

    The electron spin degree of freedom can play a significant role in relativistic scattering processes involving intense laser fields. In this contribution we discuss the influence of the electron spin on (i) Kapitza-Dirac scattering in an x-ray laser field of high intensity, (ii) photo-induced electron-positron pair production in a strong laser wave and (iii) multiphoton electron-positron pair production on an atomic nucleus. We show that in all cases under consideration the electron spin can have a characteristic impact on the process properties and their total probabilities. To this end, spin-resolved calculations based on the Dirac equation in the presence of an intense laser field are performed. The predictions from Dirac theory are also compared with the corresponding results from the Klein-Gordon equation.

  10. Application of electron beam curing technology for paper products

    International Nuclear Information System (INIS)

    Takaharu Miura

    1999-01-01

    The electron beam (EB) curing technology has rapidly advanced in recent years. However there were few examples applying this technology to paper products. One reason comes from the high price of EB equipment and the other comes from the difficulty of controlling the irradiation which gives damages to paper. In spite of these problems, the EB cured coating layer shows remarkable features, such as solvent-resistance, water-resistance, heat-resistance and high smoothness using the drum casting technique. Concentrating on application of this technology to paper, we have already developed some products. For example, paper for printings (Super Mirror PN) and for white boards (Super Mirror WB) have been manufactured. In this presentation, we are going to introduce this EB curing technique and the products

  11. Electron curing of surface coatings

    International Nuclear Information System (INIS)

    Nablo, S.V.

    1974-01-01

    The technical development of electron curing of surface coatings has received great impetus since 1970 from dramatic changes in the economics of the conventional thermal process. The most important of these changes are reviewed, including: the Clear Air Act, increasing cost and restrictive allocation of energy, decreased availability and increased costs of solvents, competitive pressure for higher line productivity. The principles of free-radical initiated curing as they pertain to industrial coatings are reviewed. Although such electron initiated processes have been under active development for at least two decades, high volume production applications on an industrial scale have only recently appeared. These installations are surveyed with emphasis on the developments in machinery and coatings which have made this possible. The most significant economic advantages of electron curing are presented. In particular, the ability of electron curing to eliminate substrate damage and to eliminate the curing station (oven) as the pacing element for most industrial surface coating curing applications is discussed. Examples of several new processes of particular interest in the textile industry are reviewed, including the curing of transfer cast urethane films, flock adhesives, and graftable surface finishes

  12. The commoditization of consumer electronics products and its influence on packaging design

    NARCIS (Netherlands)

    Wever, R.; Boks, C.; Stevels, A.

    2008-01-01

    The traditional purpose of packaging for consumer electronics (CE) products was to get them in one piece from the factory to the consumers home. It was purely focused on the physical distribution. In that time, buying a CE product could be considered a major family investment. However, times have

  13. 2006 China Machinery and Electronical Products Trade Fair:Ample Fruits Shown

    Institute of Scientific and Technical Information of China (English)

    2006-01-01

    @@ 2006 Malaysia China Machinery and Electronical Products Trade Fair, organized by China Council for the Promotion of International Trade(CCPIT), was held in August in Prince World Trade Center(PWTC), Kuala Lumpur.

  14. Optically pumped electron spin polarized targets for use in the production of polarized ion beams

    International Nuclear Information System (INIS)

    Anderson, L.W.

    1979-01-01

    The production of relatively dense electron spin polarized alkali metal vapor targets by optical pumping with intense cw dye lasers is discussed. The target density and electron spin polarization depend on the dye laser intensity and bandwidth, the magnetic field at the target, and the electron spin depolarization time. For example in a magnetic field of 1.5 x 10 3 G, and using 1 W dye laser with a bandwidth of 10 10 Hz one can construct an electron spin polarized Na vapor target with a target thickness of 1.6 x 10 13 atoms/cm 2 and an average electron spin polarization of about 90% even though the Na atoms are completely depolarized at every wall collision. Possible uses of the electron spin polarized targets for the production of intense beams of polarized H - or 3 He - ions are discussed. (orig.)

  15. Electron beam process design for the treatment of wastes and economic feasibility of the process

    Energy Technology Data Exchange (ETDEWEB)

    Cleland, M R; Fernald, R A; Malcof, S R [High Voltage Engineering Corp., Burlington, MA (USA)

    1984-01-01

    Electron beam irradiation is a practical and economical method to disinfect liquid municipal sludges at high throughput rates. Demonstration facilities have been built in Boston and Miami with treatment capacities of 170,000 gallons per day (650 cubic meters per day) for a minimum dose of 400 kilorads. The electron treatment process is described in some detail. Capital and operating cost estimates are given for continuous sludge disinfection. Total costs for liquid sludge are $7.50 per 1000 gallons. Equivalent costs for residual sewage solids are $50.00 per metric ton. Economic comparisons are made between electron accelerators and gamma-ray sources for liquid and dewatered sludge. The possibilities of treating wastewater and drinking water with high-energy electrons are also reviewed.

  16. Environmental and conservation considerations for electron curing

    International Nuclear Information System (INIS)

    Nablo, S.V.; Fletcher, P.M.

    1992-01-01

    This paper reviews the more important features of electron curing pertaining to environmental protection and conservation. The high electrical conversion efficiencies of these devices measured at output power levels to 200 kilowatts are reviewed with attention to energy transport to the product. The comparative energetics of free radical initiated addition chemistry with that of the more conventional condensation polymerized systems are presented. Some details of recent studies of the repulpability and de-inkability of electron cured products are presented with mill scale trials showing successful recycling with up to 75 % EB processed material in the waste. The ability of energetic electrons to effectively replace toxic chemicals such as H 2 O 2 and ethylene oxide in product sterilization will be presented with a discussion of the regulatory aspects of this process for medical device applications. (author)

  17. Improved Methods for Production Manufacturing Processes in Environmentally Benign Manufacturing

    Directory of Open Access Journals (Sweden)

    Yan-Yan Wang

    2011-09-01

    Full Text Available How to design a production process with low carbon emissions and low environmental impact as well as high manufacturing performance is a key factor in the success of low-carbon production. It is important to address concerns about climate change for the large carbon emission source manufacturing industries because of their high energy consumption and environmental impact during the manufacturing stage of the production life cycle. In this paper, methodology for determining a production process is developed. This methodology integrates process determination from three different levels: new production processing, selected production processing and batch production processing. This approach is taken within a manufacturing enterprise based on prior research. The methodology is aimed at providing decision support for implementing Environmentally Benign Manufacturing (EBM and low-carbon production to improve the environmental performance of the manufacturing industry. At the first level, a decision-making model for new production processes based on the Genetic Simulated Annealing Algorithm (GSAA is presented. The decision-making model considers not only the traditional factors, such as time, quality and cost, but also energy and resource consumption and environmental impact, which are different from the traditional methods. At the second level, a methodology is developed based on an IPO (Input-Process-Output model that integrates assessments of resource consumption and environmental impact in terms of a materials balance principle for batch production processes. At the third level, based on the above two levels, a method for determining production processes that focus on low-carbon production is developed based on case-based reasoning, expert systems and feature technology for designing the process flow of a new component. Through the above three levels, a method for determining the production process to identify, quantify, assess, and optimize the

  18. Production processes at extremely high energies

    CERN Document Server

    Gastmans, R; Wu, Tai Tsun

    2013-01-01

    The production processes are identified that contribute to the rise of the total cross section in proton-proton scattering at extremely high energies, s->~. At such energies, the scattering can be described by a black disk (completely absorptive) with a radius expanding logarithmically with energy surrounded by a gray fringe (partially absorptive). For the leading term of (lns)^2 in the increasing total cross section, the gray fringe is neglected, and geometrical optics is generalized to production processes. It is known that half of the rise in the total cross section is due to elastic scattering. The other half is found to originate from the production of jets with relatively small momenta in the center-of-mass system.

  19. Using product driven process synthesis in the biorefinery

    NARCIS (Netherlands)

    Kiskini, A.; Zondervan, E.; Wierenga, P.A.; Poiesz, E.; Gruppen, H.

    2015-01-01

    In this work, we propose the use of the product-driven process synthesis (PDPS) methodology for the product and process design stage in biorefinery. The aim of the biorefinery is to optimize the total use of the whole feedstock – with focus being on various products simultaneously – rather than to

  20. Ultrahigh resolution focused electron beam induced processing: the effect of substrate thickness

    DEFF Research Database (Denmark)

    van Dorp, Willem F; Lazic, Ivan; Beyer, André

    2011-01-01

    It is often suggested that the growth in focused electron beam induced processing (FEBIP) is caused not only by primary electrons, but also (and even predominantly) by secondary electrons (SEs). If that is true, the growth rate for FEBIP can be changed by modifying the SE yield. Results from our ...

  1. Initial Assessment of Electron and X-Ray Production and Charge Exchange in the NDCX-II Accelerator

    International Nuclear Information System (INIS)

    Cohen, R.H.

    2010-01-01

    The purpose of this note is to provide initial assessments of some atomic physics effects for the accelerator section of NDCX-II. There are several effects we address: the production of electrons associated with loss of beam ions to the walls, the production of electrons associated with ionization of background gas, the possibly resultant production of X-rays when these electrons hit bounding surfaces, and charge exchange of beam ions on background gas. The results presented here are based on a number of caveats that will be stated below, which we will attempt to remove in the near future.

  2. A framework for evaluating electronic health record vendor user-centered design and usability testing processes.

    Science.gov (United States)

    Ratwani, Raj M; Zachary Hettinger, A; Kosydar, Allison; Fairbanks, Rollin J; Hodgkins, Michael L

    2017-04-01

    Currently, there are few resources for electronic health record (EHR) purchasers and end users to understand the usability processes employed by EHR vendors during product design and development. We developed a framework, based on human factors literature and industry standards, to systematically evaluate the user-centered design processes and usability testing methods used by EHR vendors. We reviewed current usability certification requirements and the human factors literature to develop a 15-point framework for evaluating EHR products. The framework is based on 3 dimensions: user-centered design process, summative testing methodology, and summative testing results. Two vendor usability reports were retrieved from the Office of the National Coordinator's Certified Health IT Product List and were evaluated using the framework. One vendor scored low on the framework (5 pts) while the other vendor scored high on the framework (15 pts). The 2 scored vendor reports demonstrate the framework's ability to discriminate between the variabilities in vendor processes and to determine which vendors are meeting best practices. The framework provides a method to more easily comprehend EHR vendors' usability processes and serves to highlight where EHR vendors may be falling short in terms of best practices. The framework provides a greater level of transparency for both purchasers and end users of EHRs. The framework highlights the need for clearer certification requirements and suggests that the authorized certification bodies that examine vendor usability reports may need to be provided with clearer guidance. © The Author 2016. Published by Oxford University Press on behalf of the American Medical Informatics Association. All rights reserved. For Permissions, please email: journals.permissions@oup.com

  3. Electron beam accelerator facilities at IPEN-CNEN/SP

    Energy Technology Data Exchange (ETDEWEB)

    Somessari, Samir L.; Silveira, Carlos G. da; Paes, Helio; Somessari, Elizabeth S.R. [Instituto de Pesquisas Energeticas e Nucleares (IPEN/CNEN-SP), Sao Paulo, SP (Brazil)], E-mail: somessar@ipen.br

    2007-07-01

    Electron beam processing is a manufacturing technique, which applies a focused beam of high-energy electrons produced by an electron accelerator to promote chemical changes within a product. At IPEN-CNEN/SP there are two electron beam accelerators Type Dynamitron{sup R} (manufactured by RDI- Radiation Dynamics Inc.) Job 188 and Job 307 models. The technical specifications for the Job 188 energy 1.5 MeV, beam current 25 mA, scan 1.20 m, beam power 37.5 kW and for the Job 307 energy 1.5 MeV, beam current 65 mA, Scan 1.20 m, beam power 97.5 kW. Some applications of the electron beam accelerator for radiation processing are wire and cable insulation crosslinking, rubber vulcanization, sterilization and disinfection of medical products, food preservation, heat shrinkable products, polymer degradation, aseptic packaging, semiconductors and pollution control. For irradiating these materials at IPEN-CNEN/SP, there are some equipment such as, underbeam capstan with speed control from 10 to 700 m/min; a track; a system to roll up and unroll wires and electric cables, polyethylene blankets and other systems to improve the quality of the products. (author)

  4. 76 FR 72439 - Certain Consumer Electronics and Display Devices and Products Containing Same; Receipt of...

    Science.gov (United States)

    2011-11-23

    ... INTERNATIONAL TRADE COMMISSION [DN 2858] Certain Consumer Electronics and Display Devices and.... International Trade Commission has received a complaint entitled In Re Certain Consumer Electronics and Display... importation of certain consumer electronics and display devices and products containing same. The complaint...

  5. Cascading activation from lexical processing to letter-level processing in written word production.

    Science.gov (United States)

    Buchwald, Adam; Falconer, Carolyn

    2014-01-01

    Descriptions of language production have identified processes involved in producing language and the presence and type of interaction among those processes. In the case of spoken language production, consensus has emerged that there is interaction among lexical selection processes and phoneme-level processing. This issue has received less attention in written language production. In this paper, we present a novel analysis of the writing-to-dictation performance of an individual with acquired dysgraphia revealing cascading activation from lexical processing to letter-level processing. The individual produced frequent lexical-semantic errors (e.g., chipmunk → SQUIRREL) as well as letter errors (e.g., inhibit → INBHITI) and had a profile consistent with impairment affecting both lexical processing and letter-level processing. The presence of cascading activation is suggested by lower letter accuracy on words that are more weakly activated during lexical selection than on those that are more strongly activated. We operationalize weakly activated lexemes as those lexemes that are produced as lexical-semantic errors (e.g., lethal in deadly → LETAHL) compared to strongly activated lexemes where the intended target word (e.g., lethal) is the lexeme selected for production.

  6. Processing scarce biological samples for light and transmission electron microscopy

    Directory of Open Access Journals (Sweden)

    P Taupin

    2008-06-01

    Full Text Available Light microscopy (LM and transmission electron microscopy (TEM aim at understanding the relationship structure-function. With advances in biology, isolation and purification of scarce populations of cells or subcellular structures may not lead to enough biological material, for processing for LM and TEM. A protocol for preparation of scarce biological samples is presented. It is based on pre-embedding the biological samples, suspensions or pellets, in bovine serum albumin (BSA and bis-acrylamide (BA, cross-linked and polymerized. This preparation provides a simple and reproducible technique to process biological materials, present in limited quantities that can not be amplified, for light and transmission electron microscopy.

  7. austenitic stainless steel by electron beam welding process

    African Journals Online (AJOL)

    user

    Electron beam welding (EBW) is a fusion joining process that produces a ... fabrication of engineering parts with low-distortion joints, although its application to large assemblies is often restricted by the ... speed, focal point location, focal spot size, etc. ... Experimental data were collected as per central composite design and ...

  8. Intelligent workflow driven processing for electronic mail management

    African Journals Online (AJOL)

    Email has become one of the most efficient means of electronics communication for many years and email management has become a critical issue due to congestion. Different client/individuals encounter problems while processing their emails due to large volume of email being received and lot of request to be replied.

  9. Ultra-processed foods and the limits of product reformulation.

    Science.gov (United States)

    Scrinis, Gyorgy; Monteiro, Carlos Augusto

    2018-01-01

    The nutritional reformulation of processed food and beverage products has been promoted as an important means of addressing the nutritional imbalances in contemporary dietary patterns. The focus of most reformulation policies is the reduction in quantities of nutrients-to-limit - Na, free sugars, SFA, trans-fatty acids and total energy. The present commentary examines the limitations of what we refer to as 'nutrients-to-limit reformulation' policies and practices, particularly when applied to ultra-processed foods and drink products. Beyond these nutrients-to-limit, there are a range of other potentially harmful processed and industrially produced ingredients used in the production of ultra-processed products that are not usually removed during reformulation. The sources of nutrients-to-limit in these products may be replaced with other highly processed ingredients and additives, rather than with whole or minimally processed foods. Reformulation policies may also legitimise current levels of consumption of ultra-processed products in high-income countries and increased levels of consumption in emerging markets in the global South.

  10. Hydrogen production by Chlamydomonas reinhardtii: an elaborate interplay of electron sources and sinks

    International Nuclear Information System (INIS)

    Hemschemeier, A; Happe, T.; Fouchard, S; Cournac, L; Peltier, G.

    2008-01-01

    The unicellular green alga Chlamydomonas reinhardtii possesses a [FeFe]-hydrogenase HydA1 (EC 1.12.7.2), which is coupled to the photosynthetic electron transport chain. Large amounts of H 2 are produced in a light-dependent reaction for several days when C. reinhardtii cells are deprived of sulfur. Under these conditions, the cells drastically change their physiology from aerobic photosynthetic growth to an anaerobic resting state. The understanding of the underlying physiological processes is not only important for getting further insights into the adaptability of photosynthesis, but will help to optimize the biotechnological application of algae as H 2 producers. Two of the still most disputed questions regarding H 2 generation by C. reinhardtii concern the electron source for H 2 evolution and the competition of the hydrogenase with alternative electron sinks. We analyzed the H 2 metabolism of S-depleted C. reinhardtii cultures utilizing a special mass spectrometer setup and investigated the influence of photosystem II (PSII)- or ribulose-bisphosphate-carboxylase/oxygenase (Rubisco)-deficiency. We show that electrons for H 2 -production are provided both by PSII activity and by a non-photochemical plastoquinone reduction pathway, which is dependent on previous PSII activity. In a Rubisco-deficient strain, which produces H 2 also in the presence of sulfur, H 2 generation seems to be the only significant electron sink for PSII activity and rescues this strain at least partially from a light-sensitive phenotype.The latter indicates that the down-regulation of assimilatory pathways in S-deprived C. reinhardtii cells is one of the important prerequisites for a sustained H 2 evolution. (authors)

  11. Dosimetric aspects of radiation processing of food and allied products

    International Nuclear Information System (INIS)

    Sharma, G.; Bhat, R.M.; Bhatt, B.C.

    2010-01-01

    Full text: Gamma radiation processing in the last 4-5 decades is continuously gaining importance in processing of a wide variety of products, as it can modify physical, chemical and biological properties of the materials, including food and allied products on industrial scale due its inherent qualities like ease of processing in finally packaged form, eco-friendly nature and other obvious reasons over conventional means of processing. Food and allied products are either from agricultural produce or animal origin; they get easily contaminated from soil during harvesting, handling, processing, environment conditions, storage and transport from various types of micro-organisms including pathogens. In many countries it is mandatory to bring down the population of micro-organisms to an acceptable level and complete elimination of pathogens before such products are accepted for human or animal consumption. Processing of food and allied products by radiation has its own challenges due to wider public acceptance of irradiated food, a wide range, 0.25-50kGy, of absorbed dose requirements for different category of such products and purposes, use of a variety of packaging materials in different shapes and sizes and because of its perishable nature. More than 50 countries including India in the world have accepted radiation processing of food and allied products by radiation. Dosimetry is an important aspect of radiation processing, whether it is food or allied product. Uniformity in dose delivered to these products depends on several factors such as product carrier to source frame alignment, product carrier and product/tote box design, product loading pattern, attenuation due to product thickness, product bulk density that varies from 0.1-1.0 kg/l and the plant design whether during processing product overlaps the source or otherwise. In this presentation dosimetric aspects of radiation processing of food and allied products and problems associated with dosimetry of such

  12. Modeling of outpatient prescribing process in iran: a gateway toward electronic prescribing system.

    Science.gov (United States)

    Ahmadi, Maryam; Samadbeik, Mahnaz; Sadoughi, Farahnaz

    2014-01-01

    Implementation of electronic prescribing system can overcome many problems of the paper prescribing system, and provide numerous opportunities of more effective and advantageous prescribing. Successful implementation of such a system requires complete and deep understanding of work content, human force, and workflow of paper prescribing. The current study was designed in order to model the current business process of outpatient prescribing in Iran and clarify different actions during this process. In order to describe the prescribing process and the system features in Iran, the methodology of business process modeling and analysis was used in the present study. The results of the process documentation were analyzed using a conceptual model of workflow elements and the technique of modeling "As-Is" business processes. Analysis of the current (as-is) prescribing process demonstrated that Iran stood at the first levels of sophistication in graduated levels of electronic prescribing, namely electronic prescription reference, and that there were problematic areas including bottlenecks, redundant and duplicated work, concentration of decision nodes, and communicative weaknesses among stakeholders of the process. Using information technology in some activities of medication prescription in Iran has not eliminated the dependence of the stakeholders on paper-based documents and prescriptions. Therefore, it is necessary to implement proper system programming in order to support change management and solve the problems in the existing prescribing process. To this end, a suitable basis should be provided for reorganization and improvement of the prescribing process for the future electronic systems.

  13. Processing Challenges and Opportunities of Camel Dairy Products

    Directory of Open Access Journals (Sweden)

    Tesfemariam Berhe

    2017-01-01

    Full Text Available A review on the challenges and opportunities of processing camel milk into dairy products is provided with an objective of exploring the challenges of processing and assessing the opportunities for developing functional products from camel milk. The gross composition of camel milk is similar to bovine milk. Nonetheless, the relative composition, distribution, and the molecular structure of the milk components are reported to be different. Consequently, manufacturing of camel dairy products such as cheese, yoghurt, or butter using the same technology as for dairy products from bovine milk can result in processing difficulties and products of inferior quality. However, scientific evidence points to the possibility of transforming camel milk into products by optimization of the processing parameters. Additionally, camel milk has traditionally been used for its medicinal values and recent scientific studies confirm that it is a rich source of bioactive, antimicrobial, and antioxidant substances. The current literature concerning product design and functional potential of camel milk is fragmented in terms of time, place, and depth of the research. Therefore, it is essential to understand the fundamental features of camel milk and initiate detailed multidisciplinary research to fully explore and utilize its functional and technological properties.

  14. Russian Kefir Grains Microbial Composition and Its Changes during Production Process.

    Science.gov (United States)

    Kotova, I B; Cherdyntseva, T A; Netrusov, A I

    2016-01-01

    By combining DGGE-PCR method, classical microbiological analysis and light- and electron microscopic observations, it was found that the composition of microbial communities of central Russia regions kefir grains, starter and kefir drink include bacteria of the genera Lactobacillus, Leuconostoc and Lactococcus, and yeast anamorphs of the genera Saccharomyces, Kazachstania and Gibellulopsis. Fifteen prokaryotic and four eukaryotic pure cultures of microorganisms were isolated and identified from kefir grains. It has been shown that members of the genus Lactobacillus prevailed in kefir grains, whereas strains Leuconostoc pseudomesenteroides and Lactococcus lactis dominated in the final product - kefir drink. Yeasts contained in kefir grains in small amounts have reached a significant number of cells in the process of development of this dairy product. The possibility of reverse cell aggregation has been attempted in a mixed cultivation of all isolated pure cultures, but full formation kefir grains is not yet observed after 1.5 years of observation and reinoculations.

  15. Process for the extraction of fission products

    International Nuclear Information System (INIS)

    Anav, M.; Chesne, A.; Leseur, A.; Miquel, P.; Pascard, R.

    1979-01-01

    A process is described for the extraction of fission products contained in irradiated nuclear fuel elements which have been subject to a temperature of at least 1200 0 C during their irradiation prior to dissolving the fuel by the wet process. After mechanically treating the elements in order to decan and/or cut them they are brought into contact with water in order to pass the fission products into aqueous solution. The treated elements are then separated from the thus obtained aqueous solution. At least one of the fission products is then recovered from the aqueous solution. The fission products are iodine, cesium, rubidium and tritium

  16. Learning and improvement in product innovation processes: Enabling behaviors

    NARCIS (Netherlands)

    Gieskes, J.F.B.; Langenberg, Ilse

    2001-01-01

    Product Innovation is described as a continuous and cross-functional process involving all stages in the product life cycle. This approach gives way to study product innovation processes from a continuous improvement and learning viewpoint. The Continuous Improvement in the global product MAnagement

  17. Electron beam treatment parameters for control of stored product insects

    International Nuclear Information System (INIS)

    Cleghorn, D.A.; Nablo, S.V.; Ferro, D.N.; Hagstrum, D.W.

    2002-01-01

    The fluidized bed process (EBFB) has been evaluated for the disinfestation of cereal grains. The various life stages from egg to adult have been studied on the 225 kV pilot as a function of surface dose. Three of the most common pests were selected: the rice weevil (S. oryzae), the lesser grain borer (R. dominica) and the red flour beetle (T. castaneum). The major challenge to this process lies in those 'protected' life-stages active deeply within the endosperm of the grain kernel. The rice weevil is such an internal feeder in which the larvae develop through several molts during several weeks before pupation and adult emergence. Product velocities up to 2000 m/min have been used for infested hard winter wheat at dose levels up to 1000 Gy. Detailed depth of penetration studies at three life stages of S. oryzae larvae were conducted at 225-700 kV and demonstrated effective mortality at 400 kVx200 Gy. Mortality data are also presented for the radiation labile eggs of these insects as well as the (sterile) adults, which typically lived for several weeks before death. These results are compared with earlier 60 Co gamma-ray studies on these same insects. Based upon these studies, the effectiveness of the fluidized bed process employing self-shielded electron beam equipment for insect control in wheat/rice at sub-kilogray dose levels has been demonstrated

  18. Vitrification processes for fission product solutions

    International Nuclear Information System (INIS)

    Bonniaud, R.; Jouan, A.; Moncouyoux, J.P.; Sombret, C.

    1982-10-01

    The different processes for fission product vitrification in the world are reviewed. Continuous or discontinuous processes, induction or arc heating, in can melting or casting, tests with radioactive or simulated wastes and industrial realizations are described [fr

  19. Production and 3D printing processing of bio-based thermoplastic filament

    Directory of Open Access Journals (Sweden)

    Gkartzou Eleni

    2017-01-01

    Full Text Available In this work, an extrusion-based 3D printing technique was employed for processing of biobased blends of Poly(Lactic Acid (PLA with low-cost kraft lignin. In Fused Filament Fabrication (FFF 3D printing process, objects are built in a layer-by-layer fashion by melting, extruding and selectively depositing thermoplastic fibers on a platform. These fibers are used as building blocks for more complex structures with defined microarchitecture, in an automated, cost-effective process, with minimum material waste. A sustainable material consisting of lignin biopolymer blended with poly(lactic acid was examined for its physical properties and for its melt processability during the FFF process. Samples with different PLA/lignin weight ratios were prepared and their mechanical (tensile testing, thermal (Differential Scanning Calorimetry analysis and morphological (optical and scanning electron microscopy, SEM properties were studied. The composition with optimum properties was selected for the production of 3D-printing filament. Three process parameters, which contribute to shear rate and stress imposed on the melt, were examined: extrusion temperature, printing speed and fiber’s width varied and their effect on extrudates’ morphology was evaluated. The mechanical properties of 3D printed specimens were assessed with tensile testing and SEM fractography.

  20. Process Integration Analysis of an Industrial Hydrogen Production Process

    OpenAIRE

    Stolten, Detlef; Grube, Thomas; Tock, Laurence; Maréchal, François; Metzger, Christian; Arpentinier, Philippe

    2010-01-01

    The energy efficiency of an industrial hydrogen production process using steam methane reforming (SMR) combined with the water gas shift reaction (WGS) is analyzed using process integration techniques based on heat cascade calculation and pinch analysis with the aim of identifying potential measures to enhance the process performance. The challenge is to satisfy the high temperature heat demand of the SMR reaction by minimizing the consumption of natural gas to feed the combustion and to expl...

  1. Software product line engineering for consumer electronics : Keeping up with the speed of innovation

    NARCIS (Netherlands)

    Hartmann, Herman

    2015-01-01

    During the last decade consumer electronics products have changed radically. Traditionally these products were used for a few dedicated tasks, and were implemented through hardware. Nowadays, these products are used for a variety of tasks and are largely implemented through software. Furthermore

  2. Applicability of product-driven process synthesis to separation processes in food

    NARCIS (Netherlands)

    Jankowiak, L.; Goot, van der A.J.; Trifunovic, O.; Bongers, P.; Boom, R.M.

    2012-01-01

    The demand for more sustainable processing in the food industry is rising but requires structured methodologies to support the fast implementation of new economic and sustainable processes. Product-driven process synthesis (PDPS) is a recently established methodology facilitating the rapid

  3. Verification of product quality from process control

    International Nuclear Information System (INIS)

    Drobot, A.; Bunnell, L.R.; Freeborn, W.P.; Macedo, P.B.; Mellinger, G.B.; Pegg, I.L.; Piepel, G.F.; Reimus, M.A.H.; Routt, K.R.; Saad, E.

    1989-01-01

    Process models were developed to characterize the waste vitrification at West Valley, in terms of process operating constraints and glass compositions achievable. The need for verification of compliance with the proposed Waste Acceptance Preliminary Specification criteria led to development of product models, the most critical one being a glass durability model. Both process and product models were used in developing a target composition for the waste glass. This target composition designed to ensure that glasses made to this target will be of acceptable durability after all process variations have been accounted for. 4 refs., 11 figs., 5 tabs

  4. Innovative Canadian Process Technology For Biodiesel Production

    Energy Technology Data Exchange (ETDEWEB)

    Johar, Sangat; Norton, Kevin

    2010-09-15

    The need for increasing renewable and alternative energy in the global energy mix has been well recognized by Governments and major scientific forums to reduce climate change impact for this living planet. Biodiesel has very high potential for GHG emission reduction. An innovative process developed in Canada provides solution to mitigate the feedstock, yield and quality issues impacting the industry. The Biox process uses a continuous process which reduces reaction times, provides > 99% yield of high quality biodiesel product. The process is feedstock flexible and can use cheaper higher FFA feedstock providing a sustainable approach for biodiesel production.

  5. Novel processes for anaerobic sulfate production from elemental sulfur by sulfate-reducing bacteria

    Science.gov (United States)

    Lovley, D.R.; Phillips, E.J.P.

    1994-01-01

    Sulfate reducers and related organisms which had previously been found to reduce Fe(III) with H2 or organic electron donors oxidized S0 to sulfate when Mn(IV) was provided as an electron acceptor. Organisms catalyzing this reaction in washed cell suspensions included Desulfovibrio desulfuricans, Desulfomicrobium baculatum. Desulfobacterium autotrophicum, Desulfuromonas acetoxidans, and Geobacter metallireducens. These organisms produced little or no sulfate from S0 with Fe(III) as a potential electron acceptor or in the absence of an electron acceptor. In detailed studies with Desulfovibrio desulfuricans, the stoichiometry of sulfate and Mn(II) production was consistent with the reaction S0 + 3 MnO2 + 4H+ ???SO42- + 3Mn(II) + 2H2O. None of the organisms evaluated could be grown with S0 as the sole electron donor and Mn(IV) as the electron acceptor. In contrast to the other sulfate reducers evaluated, Desulfobulbus propionicus produced sulfate from S0 in the absence of an electron acceptor and Fe(III) oxide stimulated sulfate production. Sulfide also accumulated in the absence of Mn(IV) or Fe(III). The stoichiometry of sulfate and sulfide production indicated that Desulfobulbus propionicus disproportionates S0 as follows: 4S0 + 4H2O???SO42- + 3HS- + 5 H+. Growth of Desulfobulbus propionicus with S0 as the electron donor and Fe(III) as a sulfide sink and/or electron acceptor was very slow. The S0 oxidation coupled to Mn(IV) reduction described here provides a potential explanation for the Mn(IV)-dependent sulfate production that previous studies have observed in anoxic marine sediments. Desulfobulbus propionicus is the first example of a pure culture known to disproportionate S0.

  6. Modern control of mineral wool production process

    Directory of Open Access Journals (Sweden)

    Stankov Stanko P.

    2013-01-01

    Full Text Available In this paper, the control of the plant for mineral wool production consisting of a number of the technological units of different sizes and complexity is considered. The application of modern equipment based on PLC (Programmable Logic Controller and SCADA (Supervisory Control And Data Acquisition configuration provides optimal control of technological process. Described supervisory and control system is consisting of a number of units doing decentralized distributed control of technological entities where all possible situation are considered during work of machines and devices, which are installed in electric drive and are protected from technological and electrical accident. Transformer station and diesel engine, raw materials transport and dosage, processes in dome oven, centrifuges, polycondensation (PC chamber, burners, compressor station, binder preparation and dosage, wool cutting, completed panel packing and their transport to storehouse are controlled. Process variables and parameters like as level, flow, velocity, temperature, pressure, etc. are controlled. Control system is doing identification of process states changes, diagnostic and prediction of errors and provides prediction of behavior of control objects when input flows of materials and generates optimal values of control variables due to decreasing downtime and technic - economical requires connected to wool quality to be achieved. Supervisory and control system either eliminates unwanted changes in the production line or restricts them within the allowable limits according to the technology. In this way, the optimization of energy and raw materials consumption and appropriate products quality is achieved, where requirements are satisfied in accordance with process safety and environmental standards. SCADA provides a visual representation of controlled and uncontrolled parts of the technological process, processing alarms and events, monitoring of the changes of relevant

  7. Evaluation of a dry process for conversion of U-AVLIS product to UF{sub 6}. Milestone U361

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1992-05-01

    A technical and engineering evaluation has been completed for a dry UF{sub 6} production system to convert the product of an initial two-line U-AVLIS plant. The objective of the study has been to develop a better understanding of process design requirements, capital and operating costs, and demonstration requirements for this alternate process. This report summarizes the results of the study and presents various comparisons between the baseline and alternate processes, building on the information contained in UF{sub 6} Product Alternatives Review Committee -- Final Report. It also provides additional information on flowsheet variations for the dry route which may warrant further consideration. The information developed by this study and conceptual design information for the baseline process will be combined with information to be developed by the U-AVLIS program and by industrial participants over the next twelve months to permit a further comparison of the baseline and alternate processes in terms of cost, risk, and compatibility with U-AVLIS deployment schedules and strategies. This comparative information will be used to make a final process flowsheet selection for the initial U-AVLIS plant by March 1993. The process studied is the alternate UF{sub 6} production flowsheet. Process steps are (1) electron-beam distillation to reduce enriched product iron content from about 10 wt % or less, (2) hydrofluorination of the metal to UF{sub 4}, (3) fluorination of UF{sub 4} to UF{sub 6}, (4) cold trap collection of the UF{sub 6} product, (5) UF{sub 6} purification by distillation, and (6) final blending and packaging of the purified UF{sub 6} in cylinders. A preliminary system design has been prepared for the dry UF{sub 6} production process based on currently available technical information. For some process steps, such information is quite limited. Comparisons have been made between this alternate process and the baseline plant process for UF{sub 6} production.

  8. Vacuum-thermal-evaporation: the route for roll-to-roll production of large-area organic electronic circuits

    International Nuclear Information System (INIS)

    Taylor, D M

    2015-01-01

    Surprisingly little consideration is apparently being given to vacuum-evaporation as the route for the roll-to-roll (R2R) production of large-area organic electronic circuits. While considerable progress has been made by combining silicon lithographic approaches with solution processing, it is not obvious that these will be compatible with a low-cost, high-speed R2R process. Most efforts at achieving this ambition are directed at conventional solution printing approaches such as inkjet and gravure. This is surprising considering that vacuum-evaporation of organic semiconductors (OSCs) is already used commercially in the production of organic light emitting diode displays. Beginning from a discussion of the materials and geometrical parameters determining transistor performance and drawing on results from numerous publications, this review makes a case for vacuum-evaporation as an enabler of R2R organic circuit production. The potential of the vacuum route is benchmarked against solution approaches and found to be highly competitive. For example, evaporated small molecules tend to have higher mobility than printed OSCs. High resolution metal patterning on plastic films is already a low-cost commercial process for high-volume packaging applications. Similarly, solvent-free flash-evaporation and polymerization of thin films on plastic substrates is also a high-volume commercial process and has been shown capable of producing robust gate dielectrics. Reports of basic logic circuit elements produced in a vacuum R2R environment are reviewed and shown to be superior to all-solution printing approaches. Finally, the main issues that need to be resolved in order to fully develop the vacuum route to R2R circuit production are highlighted. (paper)

  9. Production process and quality control for the HTTR fuel

    International Nuclear Information System (INIS)

    Yoshimuta, S.; Suzuki, N.; Kaneko, M.; Fukuda, K.

    1991-01-01

    Development of the production and inspection technology for High Temperature Engineering Test Reactor (HTTR) fuel has been carried out by cooperative work between Japan Atomic Energy Research Institute (JAERI) and Nuclear Fuel Industries, Ltd (NFI). The performance and the quality level of the developed fuel are well established to meet the design requirements of the HTTR. For the commercial scale production of the fuel, statistical quality control and quality assurance must be carefully considered in order to assure the safety of the HTTR. It is also important to produce the fuel under well controlled process condition. To meet these requirements in the production of the HTTR fuel, a new production process and quality control system is to be introduced in the new facilities. The main feature of the system is a computer integrated control system. Process control data at each production stage of products and semi-products are all gathered by terminal computers and processed by a host computer. The processed information is effectively used for the production, quality and accountancy control. With the aid of this system, all the products will be easily traceable from starting materials to final stages and the statistical evaluation of the quality of products becomes more reliable. (author). 8 figs

  10. Radiation processing with the Messina electron linac

    International Nuclear Information System (INIS)

    Auditore, L.; Barna, R.C.; De Pasquale, D.; Emanuele, U.; Loria, D.; Morgana, E.; Trifiro, A.; Trimarchi, M.

    2008-01-01

    In the last decades radiation processing has been more and more applied in several fields of industrial treatments and scientific research as a safe, reliable and economic technique. In order to improve existing industrial techniques and to develop new applications of this technology, at the Physics Department of Messina University a high power 5 MeV electron linac has been studied and set-up. The main features of the accelerating structure will be described together with the distinctive features of the delivered beam and several results obtained by electron beam irradiations, such as improvement of the characteristics of polymers and polymer composite materials, synthesis of new hydrogels for pharmaceutical and biomedical applications, reclaim of culture ground, sterilization of medical devices, development of new dosimeters for very high doses and dose rates required for monitoring of industrial irradiations

  11. 77 FR 21584 - Certain Consumer Electronics and Display Devices and Products Containing Same; Institution of...

    Science.gov (United States)

    2012-04-10

    ... INTERNATIONAL TRADE COMMISSION [Inv. No. 337-TA-836] Certain Consumer Electronics and Display... electronics and display devices and products containing same by reason of infringement of certain claims of U... importation, or the sale within the United States after importation of certain consumer electronics and...

  12. Studies of the electron-impact double-ionisation process in magnesium using coincidence techniques

    International Nuclear Information System (INIS)

    Ford, M.J.

    1998-01-01

    This article will review recent measurements of the electron-impact double-ionisation of atomic magnesium. Results for the resonant Auger double-ionisation process with coincident detection of all three outgoing electrons, the (e, 3e) experiment, and for the direct double-ionisation process where only two outgoing electrons are detected, the (e, (3 -1)e) experiment, will be discussed. The results are analysed with reference to ionisation mechanisms and comparisons are made with calculated double-ionisation cross sections. Copyright (1998) CSIRO Australia

  13. Industrial applications of electron accelerators

    CERN Document Server

    Cleland, M R

    2006-01-01

    This paper addresses the industrial applications of electron accelerators for modifying the physical, chemical or biological properties of materials and commercial products by treatment with ionizing radiation. Many beneficial effects can be obtained with these methods, which are known as radiation processing. The earliest practical applications occurred during the 1950s, and the business of radiation processing has been expanding since that time. The most prevalent applications are the modification of many different plastic and rubber products and the sterilization of single-use medical devices. Emerging applications are the pasteurization and preservation of foods and the treatment of toxic industrial wastes. Industrial accelerators can now provide electron energies greater than 10 MeV and average beam powers as high as 700 kW. The availability of high-energy, high-power electron beams is stimulating interest in the use of X-rays (bremsstrahlung) as an alternative to gamma rays from radioactive nuclides.

  14. Current status and future perspectives of electron interactions with molecules, clusters, surfaces, and interfaces [Workshop on Fundamental challenges in electron-driven chemistry; Workshop on Electron-driven processes: Scientific challenges and technological opportunities

    Energy Technology Data Exchange (ETDEWEB)

    Becker, Kurt H.; McCurdy, C. William; Orlando, Thomas M.; Rescigno, Thomas N.

    2000-09-01

    This report is based largely on presentations and discussions at two workshops and contributions from workshop participants. The workshop on Fundamental Challenges in Electron-Driven Chemistry was held in Berkeley, October 9-10, 1998, and addressed questions regarding theory, computation, and simulation. The workshop on Electron-Driven Processes: Scientific Challenges and Technological Opportunities was held at Stevens Institute of Technology, March 16-17, 2000, and focused largely on experiments. Electron-molecule and electron-atom collisions initiate and drive almost all the relevant chemical processes associated with radiation chemistry, environmental chemistry, stability of waste repositories, plasma-enhanced chemical vapor deposition, plasma processing of materials for microelectronic devices and other applications, and novel light sources for research purposes (e.g. excimer lamps in the extreme ultraviolet) and in everyday lighting applications. The life sciences are a rapidly advancing field where the important role of electron-driven processes is only now beginning to be recognized. Many of the applications of electron-initiated chemical processes require results in the near term. A large-scale, multidisciplinary and collaborative effort should be mounted to solve these problems in a timely way so that their solution will have the needed impact on the urgent questions of understanding the physico-chemical processes initiated and driven by electron interactions.

  15. Neutron production in the interaction of electrons with a dispersing lamella

    International Nuclear Information System (INIS)

    Soto B, T. G.; Baltazar R, A.; Medina C, D.; Vega C, H. R.

    2017-10-01

    When a Linac for radiotherapy operates with acceleration voltages greater than 8 MV, neutrons are produced as secondary radiation. They deposit an undesirable and not negligible dose in the patient. Depending on the type of tumor, its location in the body and the characteristics of the patient, cancer treatment with a Linac is done with photon or electron beams, which produce neutrons through reactions (γ, n) and e, e n) respectively. Because the effective section of the reaction (n, γ) is 137 times greater than the reaction (e, e n), most studies have focused on photo neutrons. When a Linac operates with electron beams, the beam that leaves the magnetic baffle is incised in the dispersion foil in order to cause quasi-elastic interactions and expand the spatial distribution of the electrons; in their interaction with the lamella the electrons produce photons and these in turn produce neutrons. Due to the radiobiological efficiency of neutrons and the ways in which they interact with matter, is important to determine the neutrons production in Linacs operating in electron mode. The objective of this work is to determine the characteristics of photons and neutrons that occur when a beam of mono-energetic electrons of 2 mm in diameter (pencil beam) is made to impinge on a tungsten lamella of 1 cm in diameter and 0.5 mm thick located in the center of a 10 cm thick tungsten shell, used to represent the accelerator head. The study was carried out using the Monte Carlo method with the MCNP6 code for electron beams of 12 and 18 MeV. The spectra of photons and neutrons were estimated in 6 point detectors, four were placed in different points equidistant from the center of the lamella and the other two were located at 50 cm and 1 m from the electron beam, simulating the totally closed head. In this work it was found that when a Linac operates with an electron beam of 12 or 18 MeV there is neutron production mainly in the head and in the direction of the beam. (Author)

  16. 78 FR 52211 - Certain Electronic Devices Having Placeshifting or Display Replication and Products Containing...

    Science.gov (United States)

    2013-08-22

    ... INTERNATIONAL TRADE COMMISSION [Investigation No. 337-TA-878] Certain Electronic Devices Having Placeshifting or Display Replication and Products Containing Same; Commission Determination Not To Review an... States after importation of certain electronic devices having placeshifting or display replication...

  17. Microbial production of bulk chemicals: development of anaerobic processes

    NARCIS (Netherlands)

    Weusthuis, R.A.; Lamot, I.; Oost, van der J.; Sanders, J.P.M.

    2011-01-01

    nnovative fermentation processes are necessary for the cost-effective production of bulk chemicals from renewable resources. Current microbial processes are either anaerobic processes, with high yield and productivity, or less-efficient aerobic processes. Oxygen utilization plays an important role

  18. Control of the dehydration process in production of intermediate-moisture meat products: a review.

    Science.gov (United States)

    Chang, S F; Huang, T C; Pearson, A M

    1996-01-01

    IM meat products are produced by lowering the aw to 0.90 to 0.60. Such products are stable at ambient temperature and humidity and are produced in nearly every country in the world, especially in developing areas where refrigeration is limited or unavailable. Traditionally IM meats use low cost sources of energy for drying, such as sun drying, addition of salt, or fermentation. Products produced by different processes are of interest since they do not require refrigeration during distribution and storage. Many different IM meat products can be produced by utilizing modern processing equipment and methods. Production can be achieved in a relatively short period of time and their advantages during marketing and distribution can be utilized. Nevertheless, a better understanding of the principles involved in heat transfer and efficiency of production are still needed to increase efficiency of processing. A basic understanding of the influence of water vapor pressure and sorption phenomena on water activity can materially improve the efficiency of drying of IM meats. Predrying treatments, such as fermentation and humidity control, can also be taken advantage of during the dehydration process. Such information can lead to process optimization and reduction of energy costs during production of IM meats. The development of sound science-based methods to assure the production of high-quality and nutritious IM meats is needed. Finally, such products also must be free of pathogenic microorganisms to assure their success in production and marketing.

  19. Thermal Management of Software Changes in Product Lifecycle of Consumer Electronics

    OpenAIRE

    Muraoka , Yoshio; Seki , Kenichi; Nishimura , Hidekazu

    2014-01-01

    Part 6: Industry and Consumer Products; International audience; Because the power consumption of consumer electronic products varies according to processor execution, which depends on software, thermal risk may be increased by software changes, including software updates or the installation of new applications, even after hardware development has been completed. In this paper, we first introduce a typical system-level thermal simulation model, coupling the activities within modules related to...

  20. Quality costs in the production process

    OpenAIRE

    J. Michalska

    2006-01-01

    Purpose: The aim of this paper is showing the relationship of the quality costs with a production process. In this paper it was worked out and introduced the way of marking the documents with regard to the quality costs.Design/methodology/approach: In the frames of own research it has been analysed the quality costs in the production process from the documents marked using the worked out way of marking.Findings: On the basis of the own research it can be stated, that the majority of the eleme...

  1. Materials, process, product analysis of coal process technology. Phase I final report

    Energy Technology Data Exchange (ETDEWEB)

    Saxton, J. C.; Roig, R. W.; Loridan, A.; Leggett, N. E.; Capell, R. G.; Humpstone, C. C.; Mudry, R. N.; Ayres, E.

    1976-02-01

    The purpose of materials-process-product analysis is a systematic evaluation of alternative manufacturing processes--in this case processes for converting coal into energy and material products that can supplement or replace petroleum-based products. The methodological steps in the analysis include: Definition of functional operations that enter into coal conversion processes, and modeling of alternative, competing methods to accomplish these functions; compilation of all feasible conversion processes that can be assembled from combinations of competing methods for the functional operations; systematic, iterative evaluation of all feasible conversion processes under a variety of economic situations, environmental constraints, and projected technological advances; and aggregative assessments (economic and environmental) of various industrial development scenarios. An integral part of the present project is additional development of the existing computer model to include: A data base for coal-related materials and coal conversion processes; and an algorithmic structure that facilitates the iterative, systematic evaluations in response to exogenously specified variables, such as tax policy, environmental limitations, and changes in process technology and costs. As an analytical tool, the analysis is intended to satisfy the needs of an analyst working at the process selection level, for example, with respect to the allocation of RDandD funds to competing technologies.

  2. Operational impact of product variety in the process industry

    DEFF Research Database (Denmark)

    Moseley, Alexandria Lee; Hvam, Lars; Herbert-Hansen, Zaza Nadja Lee

    2016-01-01

    The purpose of this research article is to examine the impact of product variety on production performance in the process industry. As the number of product variants sold by a process company typically impacts the run length, production data from a mineral wool insulation manufacturer is analyzed...

  3. Exclusive processes in electron-ion collisions in the dipole formalism

    Energy Technology Data Exchange (ETDEWEB)

    Cazaroto, E. R.; Navarra, F. S. [Instituto de Fisica, Universidade de Sao Paulo, C.P. 66318, 05314-970 Sao Paulo, SP (Brazil); Carvalho, F. [Departamento de Ciencias Exatas e da Terra, Universidade Federal de Sao Paulo, Campus Diadema, Rua Prof. Artur Riedel, 275, Jd. Eldorado, 09972-270 Diadema, SP (Brazil); Goncalves, V. P. [Instituto de Fisica e Matematica, Universidade Federal de Pelotas, Caixa Postal 354, 96010-900 Pelotas, RS (Brazil)

    2013-03-25

    We compare the predictions of two saturation models for production of vector mesons and of photons in electron-ion collisions. The models considered are the b-CGC and the rcBK. The calculations were made in the kinematical range of the LHeC and of the future eRHIC.

  4. Electron-positron pair production in ultrastrong laser fields

    Directory of Open Access Journals (Sweden)

    Bai Song Xie

    2017-09-01

    Full Text Available Electron–positron pair production due to the decay of vacuum in ultrastrong laser fields is an interesting topic which is revived recently because of the rapid development of current laser technology. The theoretical and numerical research progress of this challenging topic is reviewed. Many new findings are presented by different approaches such as the worldline instantons, the S-matrix theory, the kinetic method by solving the quantum Vlasov equation or/and the real-time Dirac–Heisenberg–Wigner formalism, the computational quantum field theory by solving the Dirac equation and so on. In particular, the effects of electric field polarizations on pair production are unveiled with different patterns of created momentum spectra. The effects of polarizations on the number density of created particles and the nonperturbative signatures of multiphoton process are also presented. The competitive interplay between the multiphoton process and nonperturbation process plays a key role in these new findings. These newly discovered phenomena are valuable to deepen the understanding of pair production in complex fields and even have an implication to the study of strong-field ionization. More recent studies on the pair production in complex fields as well as beyond laser fields are briefly presented in the view point of perspective future.

  5. Real-Time Digital Signal Processing Based on FPGAs for Electronic Skin Implementation †

    Directory of Open Access Journals (Sweden)

    Ali Ibrahim

    2017-03-01

    Full Text Available Enabling touch-sensing capability would help appliances understand interaction behaviors with their surroundings. Many recent studies are focusing on the development of electronic skin because of its necessity in various application domains, namely autonomous artificial intelligence (e.g., robots, biomedical instrumentation, and replacement prosthetic devices. An essential task of the electronic skin system is to locally process the tactile data and send structured information either to mimic human skin or to respond to the application demands. The electronic skin must be fabricated together with an embedded electronic system which has the role of acquiring the tactile data, processing, and extracting structured information. On the other hand, processing tactile data requires efficient methods to extract meaningful information from raw sensor data. Machine learning represents an effective method for data analysis in many domains: it has recently demonstrated its effectiveness in processing tactile sensor data. In this framework, this paper presents the implementation of digital signal processing based on FPGAs for tactile data processing. It provides the implementation of a tensorial kernel function for a machine learning approach. Implementation results are assessed by highlighting the FPGA resource utilization and power consumption. Results demonstrate the feasibility of the proposed implementation when real-time classification of input touch modalities are targeted.

  6. Digital processing of ionospheric electron content data

    Science.gov (United States)

    Bernhardt, P. A.

    1979-01-01

    Ionospheric electron content data contain periodicities that are produced by a diversity of sources including hydromagnetic waves, gravity waves, and lunar tides. Often these periodicities are masked by the strong daily variation in the data. Digital filtering can be used to isolate the weaker components. The filtered data can then be further processed to provide estimates of the source properties. In addition, homomorphic filtering may be used to identify nonlinear interactions in the ionosphere.

  7. Project and Innovation Management in New Product Development Processes

    DEFF Research Database (Denmark)

    Henriksen, Leif; Gayretli, Ahmet

    2010-01-01

    Although the process of innovation is one of the most important drivers behind the growth and prosperity of today’s global economy, it is one of the least understood. This paper aims to address specific problems in carrying out new product development processes. There are crucial issues related...... to product design processes like inefficient project management, increasing product complexity, conflict management, shortfall of existing methods and tools, and high failures in new product introduction. A new approach has been proposed for a system based platform, which consist of a product platform...

  8. Incineration and pyrolysis vs. steam gasification of electronic waste.

    Science.gov (United States)

    Gurgul, Agnieszka; Szczepaniak, Włodzimierz; Zabłocka-Malicka, Monika

    2018-05-15

    Constructional complexity of items and their integration are the most distinctive features of electronic wastes. These wastes consist of mineral and polymeric materials and have high content of valuable metals that could be recovered. Elimination of polymeric components (especially epoxy resins) while leaving non-volatile mineral and metallic phases is the purpose of thermal treatment of electronic wastes. In the case of gasification, gaseous product of the process may be, after cleaning, used for energy recovery or chemical synthesis. If not melted, metals from solid products of thermal treatment of electronic waste could be recovered by hydrometallurgical processing. Three basic, high temperature ways of electronic waste processing, i.e. smelting/incineration, pyrolysis and steam gasification were shortly discussed in the paper, giving a special attention to gasification under steam, illustrated by laboratory experiments. Copyright © 2017 Elsevier B.V. All rights reserved.

  9. Low-energy electron collisions with metal clusters: Electron capture and cluster fragmentation

    International Nuclear Information System (INIS)

    Kresin, V.V.; Scheidemann, A.; Knight, W.D.

    1993-01-01

    The authors have carried out the first measurement of absolute cross sections for the interaction between electrons and size-resolved free metal clusters. Integral inelastic scattering cross sections have been determined for electron-Na n cluster collisions in the energy range from 0.1 eV to 30 eV. At energies ≤1 eV, cross sections increase with decreasing impact energies, while at higher energies they remain essentially constant. The dominant processes are electron attachment in the low-energy range, and collision-induced fragmentation at higher energies. The magnitude of electron capture cross sections can be quantitatively explained by the effect of the strong polarization field induced in the cluster by the incident electron. The cross sections are very large, reaching values of hundreds of angstrom 2 ; this is due to the highly polarizable nature of metal clusters. The inelastic interaction range for fragmentation collisions is also found to considerably exceed the cluster radius, again reflecting the long-range character of electron-cluster interactions. The important role played by the polarization interaction represents a bridge between the study of collision processes and the extensive research on cluster response properties. Furthermore, insight into the mechanisms of electron scattering is important for understanding production and detection of cluster ions in mass spectrometry and related processes

  10. Multiple electromagnetic electron-positron pair production in relativistic heavy-ion collisions

    International Nuclear Information System (INIS)

    Alscher, A.; Hencken, K.; Trautmann, D.; Baur, G.

    1997-01-01

    We calculate the cross sections for the production of one and more electron-positron pairs due to the strong electromagnetic fields in relativistic heavy-ion collisions. We derive the N-pair amplitude using the generating functional of fermions in an external field and the path-integral formalism. The N-pair production probability is found to be an approximate Poisson distribution. We calculate total cross sections for the production of one pair in lowest order, including corrections from the Poisson distribution up to third order. Furthermore, we calculate cross sections for the production of up to five pairs including corrections from the Poisson distribution. copyright 1997 The American Physical Society

  11. Production of annular electron beams by foilless diodes

    International Nuclear Information System (INIS)

    Miller, R.B.; Prestwich, K.R.; Poukey, J.W.; Shope, S.L.

    1980-01-01

    A number of important aspects of the production of annular electron beams by foilless diodes are examined, both theoretically and experimentally. The theories of Ott, Antonsen, and Lovelace (OAL) and Chen and Lovelace (CL) are compared, and the CL theory is extended to include the effect of an axial gap in an approximate fashion. For the case of finite magnetic field strengths, Larmor orbits are examined and radial oscillations of the beam profile are predicted from a beam envelope analysis. Experimental results obtained with both low- and high-impedance sources have been compared with the theory, and based on such studies, the design and construction of an intense hollow beam generator are described. Experimental results obtained with the new diode compare favorably with both the analytic theory and the results of numerical simulations. The device currently produces 2-MeV electrons at beam currents of 65--70 kA

  12. Accumulative charge separation for solar fuels production: coupling light-induced single electron transfer to multielectron catalysis.

    Science.gov (United States)

    Hammarström, Leif

    2015-03-17

    The conversion and storage of solar energy into a fuel holds promise to provide a significant part of the future renewable energy demand of our societies. Solar energy technologies today generate heat or electricity, while the large majority of our energy is used in the form of fuels. Direct conversion of solar energy to a fuel would satisfy our needs for storable energy on a large scale. Solar fuels can be generated by absorbing light and converting its energy to chemical energy by electron transfer leading to separation of electrons and holes. The electrons are used in the catalytic reduction of a cheap substrate with low energy content into a high-energy fuel. The holes are filled by oxidation of water, which is the only electron source available for large scale solar fuel production. Absorption of a single photon typically leads to separation of a single electron-hole pair. In contrast, fuel production and water oxidation are multielectron, multiproton reactions. Therefore, a system for direct solar fuel production must be able to accumulate the electrons and holes provided by the sequential absorption of several photons in order to complete the catalytic reactions. In this Account, the process is termed accumulative charge separation. This is considerably more complicated than charge separation on a single electron level and needs particular attention. Semiconductor materials and molecular dyes have for a long time been optimized for use in photovoltaic devices. Efforts are made to develop new systems for light harvesting and charge separation that are better optimized for solar fuel production than those used in the early devices presented so far. Significant progress has recently been made in the discovery and design of better homogeneous and heterogeneous catalysts for solar fuels and water oxidation. While the heterogeneous ones perform better today, molecular catalysts based on transition metal complexes offer much greater tunability of electronic and

  13. Superparticle production in high-energy electron-proton collisions

    International Nuclear Information System (INIS)

    Boldyshev, V.F.; Rekalo, M.P.; Stoletnij, I.V.

    1987-01-01

    The analytical formulae for total and differential cross sections of the ep → e-tildeγ-tilde process, taking into account the polarization of both initial beams, are obtained. It is shown that the employment of longitudinally polarized initial beams provides a tool for varying relative contributions of left and right electrons superpartners and detecting their mass difference

  14. Applied dosimetry to ionization techniques by electron beams

    International Nuclear Information System (INIS)

    Kuntz, F.

    1991-12-01

    After a general introduction about electron beam dosimetry, the second part is about the determination of treatment parameter for an electron ionization: are treated the problems of electron path determination, treatment depth of a product and finally, the radiation dose heterogeneities in all the volume of a treated product. The third part describes a process that greatly reduces radiation dose heterogeneity and then industrial interest is analyzed. The fourth part describes 2 applications of diffusion screen utilization. 66 figs

  15. Power balance equation in electron beam evaporation process

    International Nuclear Information System (INIS)

    Blumenfeld, L.; Soubbaramayer.

    1994-01-01

    The aim of the paper is to solve the equation giving the total power of the gun, used in the electron beam evaporation process, in terms of the power used to generated the vapor stream and the three main power losses due to three parasite phenomena: turbulent thermal convection in the molten pool, electron back scattering and heat radiation from the vapor emitting surface. Scaling laws are first reviewed and results are given with the example of the evaporation of aluminium with a 5 kW axisymmetric gun working in steady state mode. The influence of an applied magnetic field on the evaporation rate is also examined. 5 refs., 3 figs., 1 tab

  16. Biodiesel production process from microalgae oil by waste heat recovery and process integration.

    Science.gov (United States)

    Song, Chunfeng; Chen, Guanyi; Ji, Na; Liu, Qingling; Kansha, Yasuki; Tsutsumi, Atsushi

    2015-10-01

    In this work, the optimization of microalgae oil (MO) based biodiesel production process is carried out by waste heat recovery and process integration. The exergy analysis of each heat exchanger presented an efficient heat coupling between hot and cold streams, thus minimizing the total exergy destruction. Simulation results showed that the unit production cost of optimized process is 0.592$/L biodiesel, and approximately 0.172$/L biodiesel can be avoided by heat integration. Although the capital cost of the optimized biodiesel production process increased 32.5% and 23.5% compared to the reference cases, the operational cost can be reduced by approximately 22.5% and 41.6%. Copyright © 2015 Elsevier Ltd. All rights reserved.

  17. Expected Influence of Ethics on Product Development Process

    Directory of Open Access Journals (Sweden)

    Stig Larsson

    2008-07-01

    Full Text Available Product development efficiency and effectiveness is depending on a process being well executed. The actions of individuals included in the processes are influenced by the ethical and moral orientations that have been selected by each individual, whether this selection is conscious or not. This paper describes different ethical choices and the expected effects they may have on the development process exemplified by the product integration process for software products. The different frameworks analyzed are utilitarianism, rights ethics, duty ethics, virtue ethics and ethical egoism. The expected effects on the goals for product integration may be debated. This is a result in it self as it triggers discussions about ethical considerations and increase the awareness of the influence of moral decisions. Our conclusion is that the adherence to specific moral frameworks simplifies the alignment of actions to the practices described in product development models and standards and through this supports a more successful execution of product development projects. This conclusion is also confirmed through a comparison between the different directions and several codes of ethics for engineers issued by organizations such as IEEE as these combine features from several of the discussed ethical directions.

  18. Process Alternatives for Second Generation Ethanol Production from Sugarcane Bagasse

    DEFF Research Database (Denmark)

    F. Furlan, Felipe; Giordano, Roberto C.; Costa, Caliane B. B.

    2015-01-01

    on the economic feasibility of the process. For the economic scenario considered in this study, using bagasse to increase ethanol production yielded higher ethanol production costs compared to using bagasse for electric energy production, showing that further improvements in the process are still necessary.......In ethanol production from sugarcane juice, sugarcane bagasse is used as fuel for the boiler, to meet the steam and electric energy demand of the process. However, a surplus of bagasse is common, which can be used either to increase electric energy or ethanol production. While the first option uses...... already established processes, there are still many uncertainties about the techno-economic feasibility of the second option. In this study, some key parameters of the second generation ethanol production process were analyzed and their influence in the process feasibility assessed. The simulated process...

  19. Organic ice resists for 3D electron-beam processing: Instrumentation and operation

    DEFF Research Database (Denmark)

    Tiddi, William; Elsukova, Anna; Beleggia, Marco

    2018-01-01

    Organic vapors condensed into thin layers of ice on the surface of a cold substrate are exposed with an electron beam to create resist patterns for lithography applications. The entire spin- and development-free lithography process requires a single custom instrument. We report the design, material...... choice, implementation and operation of this apparatus. It is based on a scanning electron microscope fitted with an electron beam control system that is normally used for electron beam lithography in a multi-user open-access laboratory. The microscope was also equipped with a gas injection system......, a liquid nitrogen cooled cryostage, a temperature control system, and a load-lock. Three steps are required to initialize the apparatus for organic ice resist processing, and two steps are required to restore the apparatus for routine multi-user operations. Five steps are needed to create organic ice...

  20. Integrated durability process in product development

    International Nuclear Information System (INIS)

    Pompetzki, M.; Saadetian, H.

    2002-01-01

    This presentation describes the integrated durability process in product development. Each of the major components of the integrated process are described along with a number of examples of how integrated durability assessment has been used in the ground vehicle industry. The durability process starts with the acquisition of loading information, either physically through loads measurement or virtually through multibody dynamics. The loading information is then processed and characterized for further analysis. Durability assessment was historically test based and completed through field or laboratory evaluation. Today, it is common that both the test and CAE environments are used together in durability assessment. Test based durability assessment is used for final design sign-off but is also critically important for correlating CAE models, in order to investigate design alternatives. There is also a major initiative today to integrate the individual components into a process, by linking applications and providing a framework to communicate information as well as manage all the data involved in the entire process. Although a single process is presented, the details of the process can vary significantly for different products and applications. Recent applications that highlight different parts of the durability process are given. As well as an example of how integration of software tools between different disciplines (MBD, FE and fatigue) not only simplifies the process, but also significantly improves it. (author)

  1. Contribution of the Electron Scattering Process to the Broad Hα Wings

    Directory of Open Access Journals (Sweden)

    Sekeráš M.

    2012-06-01

    Full Text Available We modeled the extended wings of the OVI 1032, 1038 Å resonance lines and He II 1640 Å emission line in the spectra of Z And, AG Dra and V1016 Cyg by the electron scattering process. By this way we determined the electron temperature and the electron optical depth of the layer of electrons, through which the line photons are transferred in the direction of the observer. We derived an empirical relationship between the emission measure of the symbiotic nebula and the electron optical depth. This relationship allows us to distinguish the flux contribution in the broad Hα wings, which is due to the electron scattering and that produced by the Hα transition in the moving hydrogen plasma. For example, subtracting the electron scattering contribution from the Hα line profile leads to a reduction in the mass-loss rate by approximately 15 %.

  2. Incineration, pyrolysis and gasification of electronic waste

    Science.gov (United States)

    Gurgul, Agnieszka; Szczepaniak, Włodzimierz; Zabłocka-Malicka, Monika

    2017-11-01

    Three high temperature processes of the electronic waste processing: smelting/incineration, pyrolysis and gasification were shortly discussed. The most distinctive feature of electronic waste is complexity of components and their integration. This type of waste consists of polymeric materials and has high content of valuable metals that could be recovered. The purpose of thermal treatment of electronic waste is elimination of plastic components (especially epoxy resins) while leaving non-volatile mineral and metallic phases in more or less original forms. Additionally, the gaseous product of the process after cleaning may be used for energy recovery or as syngas.

  3. Incineration, pyrolysis and gasification of electronic waste

    Directory of Open Access Journals (Sweden)

    Gurgul Agnieszka

    2017-01-01

    Full Text Available Three high temperature processes of the electronic waste processing: smelting/incineration, pyrolysis and gasification were shortly discussed. The most distinctive feature of electronic waste is complexity of components and their integration. This type of waste consists of polymeric materials and has high content of valuable metals that could be recovered. The purpose of thermal treatment of electronic waste is elimination of plastic components (especially epoxy resins while leaving non-volatile mineral and metallic phases in more or less original forms. Additionally, the gaseous product of the process after cleaning may be used for energy recovery or as syngas.

  4. 75 FR 38127 - Visteon Systems, LLC North Penn Plant Electronics Products Group Including On-Site Leased Workers...

    Science.gov (United States)

    2010-07-01

    ..., North Penn Plant, Electronics Products Group to be covered by this certification. The intent of the... North Penn Plant Electronics Products Group Including On-Site Leased Workers From Ryder Integrated... Certification Regarding Eligibility To Apply for Worker Adjustment Assistance and Alternative Trade Adjustment...

  5. In situ laser processing in a scanning electron microscope

    Energy Technology Data Exchange (ETDEWEB)

    Roberts, Nicholas A.; Magel, Gregory A.; Hartfield, Cheryl D.; Moore, Thomas M.; Fowlkes, Jason D.; Rack, Philip D. [Department of Materials Science and Engineering, University of Tennessee, Knoxville, Tennessee 37996 (United States) and Omniprobe, Inc., an Oxford Instruments Company, 10410 Miller Rd., Dallas, Texas 75238 (United States); Omniprobe, Inc., an Oxford Instruments Company, 10410 Miller Rd., Dallas, Texas 75238 (United States); Center for Nanophase Materials Sciences, Oak Ridge National Laboratory, Oak Ridge, Tennessee 37831 (United States); Department of Materials Science and Engineering, University of Tennessee, Knoxville, Tennessee 37996 (United States) and Center for Nanophase Materials Sciences, Oak Ridge National Laboratory, Oak Ridge, Tennessee 37831 (United States)

    2012-07-15

    Laser delivery probes using multimode fiber optic delivery and bulk focusing optics have been constructed and used for performing materials processing experiments within scanning electron microscope/focused ion beam instruments. Controlling the current driving a 915-nm semiconductor diode laser module enables continuous or pulsed operation down to sub-microsecond durations, and with spot sizes on the order of 50 {mu}m diameter, achieving irradiances at a sample surface exceeding 1 MW/cm{sup 2}. Localized laser heating has been used to demonstrate laser chemical vapor deposition of Pt, surface melting of silicon, enhanced purity, and resistivity via laser annealing of Au deposits formed by electron beam induced deposition, and in situ secondary electron imaging of laser induced dewetting of Au metal films on SiO{sub x}.

  6. The Virtual Product-Process Design Laboratory for Structured Chemical Product Design and Analysis

    DEFF Research Database (Denmark)

    Mattei, Michele; Yunus, Nor Alafiza Binti; Kalakul, Sawitree

    2014-01-01

    The objective of this paper is to present new methods for design of chemicals based formulated products and their implementation in the software, the Virtual Product-Process Design Laboratory. The new products are tailor-made blended liquid products and emulsion-based products. The new software...

  7. Understanding the Role of Water on Electron-Initiated Processes and Radical Chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Garrett, Bruce C [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Colson, Steven D [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Dixon, David A. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Laufer, Allan H [US Department of Energy Office of Science Office of Basic Energy Sciences; Ray, Douglas [Pacific Northwest National Lab. (PNNL), Richland, WA (United States)

    2003-06-10

    On September 26–28, 2002, a workshop entitled “Understanding the Role of Water on Electron-Initiated Processes and Radical Chemistry” was held to assess new research opportunities in electron-driven processes and radical chemistry in aqueous systems. Of particular interest was the unique and complex role that the structure of water plays in influencing these processes. Novel experimental and theoretical approaches to solving long-standing problems in the field were explored. A broad selection of participants from universities and the national laboratories contributed to the workshop, which included scientific and technical presentations and parallel sessions for discussions and report writing.

  8. Printed electronic on flexible and glass substrates

    Science.gov (United States)

    Futera, Konrad; Jakubowska, Małgorzata; Kozioł, Grażyna

    2010-09-01

    Organic electronics is a platform technology that enables multiple applications based on organic electronics but varied in specifications. Organic electronics is based on the combination of new materials and cost-effective, large area production processes that provide new fields of application. Organic electronic by its size, weight, flexibility and environmental friendliness electronics enables low cost production of numerous electrical components and provides for such promising fields of application as: intelligent packaging, low cost RFID, flexible solar cells, disposable diagnostic devices or games, and printed batteries [1]. The paper presents results of inkjetted electronics elements on flexible and glass substrates. The investigations was target on characterizing shape, surface and geometry of printed structures. Variety of substrates were investigated, within some, low cost, non specialized substrate, design for other purposes than organic electronic.

  9. Roll-to-roll atomic layer deposition process for flexible electronics encapsulation applications

    International Nuclear Information System (INIS)

    Maydannik, Philipp S.; Kääriäinen, Tommi O.; Lahtinen, Kimmo; Cameron, David C.; Söderlund, Mikko; Soininen, Pekka; Johansson, Petri; Kuusipalo, Jurkka; Moro, Lorenza; Zeng, Xianghui

    2014-01-01

    At present flexible electronic devices are under extensive development and, among them, flexible organic light-emitting diode displays are the closest to a large market deployment. One of the remaining unsolved challenges is high throughput production of impermeable flexible transparent barrier layers that protect sensitive light-emitting materials against ambient moisture. The present studies deal with the adaptation of the atomic layer deposition (ALD) process to high-throughput roll-to-roll production using the spatial ALD concept. We report the development of such a process for the deposition of 20 nm thickness Al 2 O 3 diffusion barrier layers on 500 mm wide polymer webs. The process uses trimethylaluminum and water as precursors at a substrate temperature of 105 °C. The observation of self-limiting film growth behavior and uniformity of thickness confirms the ALD growth mechanism. Water vapor transmission rates for 20 nm Al 2 O 3 films deposited on polyethylene naphthalate (PEN) substrates were measured as a function of substrate residence time, that is, time of exposure of the substrate to one precursor zone. Moisture permeation levels measured at 38 °C/90% relative humidity by coulometric isostatic–isobaric method were below the detection limit of the instrument ( −4  g/m 2 day) for films coated at web moving speed of 0.25 m/min. Measurements using the Ca test indicated water vapor transmission rates ∼5 × 10 −6 g/m 2 day. Optical measurements on the coated web showed minimum transmission of 80% in the visible range that is the same as the original PEN substrate

  10. Property Modelling and Databases in Product-Process Design

    DEFF Research Database (Denmark)

    Gani, Rafiqul; Sansonetti, Sascha

    of the PC-SAFT is used. The developed database and property prediction models have been combined into a properties-software that allows different product-process design related applications. The presentation will also briefly highlight applications of the software for virtual product-process design...

  11. Influence of scattering processes on electron quantum states in nanowires

    Directory of Open Access Journals (Sweden)

    Pozdnyakov Dmitry

    2007-01-01

    Full Text Available AbstractIn the framework of quantum perturbation theory the self-consistent method of calculation of electron scattering rates in nanowires with the one-dimensional electron gas in the quantum limit is worked out. The developed method allows both the collisional broadening and the quantum correlations between scattering events to be taken into account. It is an alternativeper seto the Fock approximation for the self-energy approach based on Green’s function formalism. However this approach is free of mathematical difficulties typical to the Fock approximation. Moreover, the developed method is simpler than the Fock approximation from the computational point of view. Using the approximation of stable one-particle quantum states it is proved that the electron scattering processes determine the dependence of electron energy versus its wave vector.

  12. Low Voltage Electron Beam Processing Final Report CRADA No. TC-645-93-A

    Energy Technology Data Exchange (ETDEWEB)

    Chen, H. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Wakalopulos, G. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2017-10-16

    This CRADA project was established to develop a small, inexpensive sealed-tube electron beam processing system having immediate applications in industrial, high speed manufacturing processes, and in the Department of Energy (DOE) waste treatment/cleanup operations. The technical work involved the development and demonstration of a compact, sealed, 50-75 kilovolt (kV) EB generator prototype, including controls and power supply. The specific goals of this project were to develop a low cost vacuum tube capable of shooting an electron beam several inches into the air, and to demonstrate that wide area materials processing is feasible by stacking the tubes to produce continuous beams. During the project, we successfully demonstrated the producibility of a low cost electron beam system and several material processing operations of interest to US industry, DOE and, since September 11, 2001, the Homeland Security.

  13. Study of the niobium dehydrogenation process by transmission electron microscopy

    International Nuclear Information System (INIS)

    Bulhoes, I.A.M.; Akune, K.

    1983-01-01

    The evolution of the micro-structure of Nb-H, during the dehydrogenation process through thermal treatment, has been studied by Transmission Electron Microscopy. The results are used in order to interpret the variation of the line resolution of Electron Channeling Pattern (ECP) of Nb-H as a function of isochronous annealing temperature. It is concluded that the improvement of the ECP line resolution is enhanced of β hydrate in Nb. (Author) [pt

  14. Sales of Nicotine-Containing Electronic Cigarette Products: United States, 2015.

    Science.gov (United States)

    Marynak, Kristy L; Gammon, Doris G; Rogers, Todd; Coats, Ellen M; Singh, Tushar; King, Brian A

    2017-05-01

    To assess the proportion of electronic cigarette (e-cigarette) products sold in the United States that contain nicotine according to retail scanner data. We obtained unit sales data from January 11, 2015, to December 12, 2015, from The Nielsen Company for convenience stores; supermarkets; mass merchandisers; drug, club, and dollar stores; and Department of Defense commissaries. The data did not include purchases from tobacco specialty shops, "vape shops," or online sources. Nicotine content was assessed by product type (disposables, rechargeables, and refills), region, and flavor status based on nicotine strength listed in the Universal Product Codes. For the 36.7% of entries lacking nicotine content information, we conducted Internet searches by brand, product, and flavor. In 2015, 99.0% of e-cigarette products sold contained nicotine, including 99.0% of disposables, 99.7% of rechargeables, and 98.8% of refills. Overall, 98.7% of flavored e-cigarette products and 99.4% of nonflavored e-cigarette products contained nicotine. In 2015, almost all e-cigarette products sold in US convenience stores and other assessed channels contained nicotine. Public Health Implications. Findings reinforce the importance of warning labels for nicotine-containing products, ingredient reporting, and restrictions on sales to minors.

  15. Energy and exergy analysis of the silicon production process

    International Nuclear Information System (INIS)

    Takla, M.; Kamfjord, N.E.; Tveit, Halvard; Kjelstrup, S.

    2013-01-01

    We used energy and exergy analysis to evaluate two industrial and one ideal (theoretical) production process for silicon. The industrial processes were considered in the absence and presence of power production from waste heat in the off-gas. The theoretical process, with pure reactants and no side-reactions, was used to provide a more realistic upper limit of performance for the others. The energy analysis documented the large thermal energy source in the off-gas system, while the exergy analysis documented the potential for efficiency improvement. We found an exergetic efficiency equal to 0.33 ± 0.02 for the process without power production. The value increased to 0.41 ± 0.03 when waste heat was utilized. For the ideal process, we found an exergetic efficiency of 0.51. Utilization of thermal exergy in an off-gas of 800 °C increased this exergetic efficiency to 0.71. Exergy destructed due to combustion of by-product gases and exergy lost with the furnace off-gas were the largest contributors to the thermodynamic inefficiency of all processes. - Highlights: • The exergetic efficiency for an industrial silicon production process when silicon is the only product was estimated to 0.33. • With additional power production from thermal energy in the off-gas we estimated the exergetic efficiency to 0.41. • The theoretical silicon production process is established as the reference case. • Exergy lost with the off-gas and exergy destructed due to combustion account for roughly 75% of the total losses. • With utilization of the thermal exergy in the off-gas at a temperature of 800 °C the exergetic efficiency was 0.71

  16. Electron cyclotron resonance microwave ion sources for thin film processing

    International Nuclear Information System (INIS)

    Berry, L.A.; Gorbatkin, S.M.

    1990-01-01

    Plasmas created by microwave absorption at the electron cyclotron resonance (ECR) are increasingly used for a variety of plasma processes, including both etching and deposition. ECR sources efficiently couple energy to electrons and use magnetic confinement to maximize the probability of an electron creating an ion or free radical in pressure regimes where the mean free path for ionization is comparable to the ECR source dimensions. The general operating principles of ECR sources are discussed with special emphasis on their use for thin film etching. Data on source performance during Cl base etching of Si using an ECR system are presented. 32 refs., 5 figs

  17. Shallow irradiation of vienna sausage by electron beams in preventation of the slime production

    International Nuclear Information System (INIS)

    Watanabe, Hiroshi; Kume, Tamikazu; Ito, Hitoshi; Aoki, Shohei; Sato, Tomotaro

    1975-01-01

    Vienna sausages get spoiled by slime production or putrefaction due to the propagation of microorganisms when stored for 3 to 5 days at 10 deg C. The radiation pasteurization of vienna sausages has mainly been studied with gamma irradiation. The slime of sausages is believed to be microorganisms themselves growing on the surface of the sausages. Pasteurization of the surface of vienna sausages with electron irradiation was thus investigated. The results obtained are as follows: The vienna sausages irradiated with a dose of 0.8 to approximately 1.0 Mrad by 0.5 MeV electrons could be stored without slime production or putrefaction for more than a week at 11 deg C. The effect of pasteurization increased with energy and dose of electrons. However, the changes in the organoleptic qualities of vienna sausages were detected when irradiated with a dose of over 0.7 Mrad by 2.0 MeV electrons. Consequently, the irradiation with a dose of 1.0 Mrad by 1.0 MeV electrons was effectual in lengthening their shelf-life without deterioration of the organoleptic qualities. (author)

  18. PRODUCT TRIAL PROCESSING (PTP): A MODEL APPROACH ...

    African Journals Online (AJOL)

    Admin

    This study is a theoretical approach to consumer's processing of product trail, and equally explored ... consumer's first usage experience with a company's brand or product that is most important in determining ... product, what it is really marketing is the expected ..... confidence, thus there is a positive relationship between ...

  19. THE MODERN THEORY AND TECHNOLOGY OF PRODUCTION, PROCESSING AND USE OF THE PRODUCTS OF COMPLEX PROCESSING OF WHEAT GERM

    Directory of Open Access Journals (Sweden)

    N. S. Rodionova

    2014-01-01

    Full Text Available Summary. The data and methods for the preparation of deep processing of wheat germ and their impact on the physical and chemical properties of the final products. It was found that for use in food technology is preferable to use a method is-cold-pressed wheat germ, under which the processed products do not present a residual amount of solvents and other non-food components. Given food and biological characteristics of wheat germ and products deep processing, it was found that they contain vitamin E, A, D, vitamin group В, more than 20 macro- and microelements. Methods of extracting oil from different types raw materials. Analyzed the functional role of ω-6 and ω -3 fatty acids for the human body and ways to maintain balance. A review of plant oils, the prospects of its use to create food systems balanced composition of fatty acids. It was found that the ratio of ω-6 and ω -3 fatty acids in wheat germ oil does not meet the recommended therefore to establish the necessary balance it is preferable to mix amaranth oil and pumpkin. Classified the factors affecting the quality parameters of wheat germ stored, evaluated the role of the enzyme complex during storage of wheat germ and their products deep processing. It was found that a significant effect on the damage of wheat germ has a dual action of lipase, lipoxygenase and catalase. Given the storage and stabilization of wheat germ, shows the potential use of stabilizers to increase the shelf life of wheat germ. As stabilizers, preference is given to compositions of organic acids: ascorbic, succinic and fumaric. It is proved that the composition of organic acids suppress the activity of lipase and lipoxygenase catalase by wheatgerm type noncompetitive inhibition. A review of the technologies used wheat germ and products of their complex processing in medical, cosmetic, feed and food industry. Evaluated the potential application of wheat germ and products deep processing industry of functional

  20. Production of Mg and Al Auger electrons by noble gas ion bombardment of Mg and Al surfaces. [3 KeV, electron promotion

    Energy Technology Data Exchange (ETDEWEB)

    Ferrante, J; Pepper, S V [National Aeronautics and Space Administration, Cleveland, Ohio (USA). Lewis Research Center

    1976-08-01

    In this letter the relative production efficiency of Mg and Al Auger electrons by He, Ne, Ar, Kr and Xe ion bombardment as a function of ion energy (<=3 keV) is reported. Some comments on the interpretation of the results in terms of electron promotion are also given.