WorldWideScience

Sample records for preparations mechanical polishing

  1. Optimized pre-thinning procedures of ion-beam thinning for TEM sample preparation by magnetorheological polishing.

    Science.gov (United States)

    Luo, Hu; Yin, Shaohui; Zhang, Guanhua; Liu, Chunhui; Tang, Qingchun; Guo, Meijian

    2017-10-01

    Ion-beam-thinning is a well-established sample preparation technique for transmission electron microscopy (TEM), but tedious procedures and labor consuming pre-thinning could seriously reduce its efficiency. In this work, we present a simple pre-thinning technique by using magnetorheological (MR) polishing to replace manual lapping and dimpling, and demonstrate the successful preparation of electron-transparent single crystal silicon samples after MR polishing and single-sided ion milling. Dimples pre-thinned to less than 30 microns and with little mechanical surface damage were repeatedly produced under optimized MR polishing conditions. Samples pre-thinned by both MR polishing and traditional technique were ion-beam thinned from the rear side until perforation, and then observed by optical microscopy and TEM. The results show that the specimen pre-thinned by MR technique was free from dimpling related defects, which were still residual in sample pre-thinned by conventional technique. Nice high-resolution TEM images could be acquired after MR polishing and one side ion-thinning. MR polishing promises to be an adaptable and efficient method for pre-thinning in preparation of TEM specimens, especially for brittle ceramics. Copyright © 2017 Elsevier B.V. All rights reserved.

  2. Material removal mechanisms in electrochemical-mechanical polishing of tantalum

    International Nuclear Information System (INIS)

    Gao, F.; Liang, H.

    2009-01-01

    Material removal mechanisms in tantalum chemical-mechanical polishing (CMP) and electrochemical-mechanical polishing (ECMP) were investigated using the single frequency electrochemical impedance spectroscopy (EIS). Through measuring the impedance of the tantalum surface, the single frequency EIS scan made it possible to observe the CMP and ECMP processes in situ. The impedance results presented competing mechanisms of removal and formation of a surface oxide layer of tantalum. Analysis indicated that the thickness of the oxide layer formed during polishing was related to the mechanical power correlated to the friction force and the rotating speed. Furthermore, the rate of growth and removal of the oxide film was a function of the mechanical power. This understanding is beneficial for optimization of CMP and ECMP processes.

  3. Study on chemical mechanical polishing of silicon wafer with megasonic vibration assisted.

    Science.gov (United States)

    Zhai, Ke; He, Qing; Li, Liang; Ren, Yi

    2017-09-01

    Chemical mechanical polishing (CMP) is the primary method to realize the global planarization of silicon wafer. In order to improve this process, a novel method which combined megasonic vibration to assist chemical mechanical polishing (MA-CMP) is developed in this paper. A matching layer structure of polishing head was calculated and designed. Silicon wafers are polished by megasonic assisted chemical mechanical polishing and traditional chemical mechanical polishing respectively, both coarse polishing and precision polishing experiments were carried out. With the use of megasonic vibration, the surface roughness values Ra reduced from 22.260nm to 17.835nm in coarse polishing, and the material removal rate increased by approximately 15-25% for megasonic assisted chemical mechanical polishing relative to traditional chemical mechanical polishing. Average Surface roughness values Ra reduced from 0.509nm to 0.387nm in precision polishing. The results show that megasonic assisted chemical mechanical polishing is a feasible method to improve polishing efficiency and surface quality. The material removal and finishing mechanisms of megasonic vibration assisted polishing are investigated too. Copyright © 2017 Elsevier B.V. All rights reserved.

  4. Tribochemical interaction between nanoparticles and surfaces of selective layer during chemical mechanical polishing

    International Nuclear Information System (INIS)

    Ilie, Filip

    2013-01-01

    Nanoparticles have been widely used in polish slurries such as those in the chemical mechanical polishing (CMP) process. For understanding the mechanisms of CMP, an atomic force microscope (AFM) is used to characterize polished surfaces of selective layers, after a set of polishing experiments. To optimize the CMP polishing process, one needs to get information on the interaction between the nano-abrasive slurry nanoparticles and the surface of selective layer being polished. The slurry used in CMP process of the solid surfaces is slurry with large nanoparticle size colloidal silica sol nano-abrasives. Silica sol nano-abrasives with large nanoparticle are prepared and characterized by transmission electron microscopy, particles colloidal size, and Zeta potential in this paper. The movement of nanoparticles in liquid and the interaction between nanoparticles and solid surfaces coating with selective layer are very important to obtain an atomic alloy smooth surface in the CMP process. We investigate the nanoparticle adhesion and removal processes during CMP and post-CMP cleaning. The mechanical interaction between nanoparticles and the wafer surface was studied using a microcontact wear model. This model considers the nanoparticle effects between the polishing interfaces during load balancing. Experimental results on polishing and cleaning are compared with numerical analysis. This paper suggests that during post-CMP cleaning, a combined effort in chemical and mechanical interaction (tribochemical interactions) would be effective in removal of small nanoparticles during cleaning. For large nanoparticles, more mechanical forces would be more effective. CMP results show that the removal rate has been improved to 367 nm/min and root mean square (RMS) of roughness has been reduced from 4.4 to 0.80 nm. Also, the results show that the silica sol nano-abrasives about 100 nm are of higher stability (Zeta potential is −65 mV) and narrow distribution of nanoparticle

  5. Chemical mechanical glass polishing with cerium oxide: Effect of selected physico-chemical characteristics on polishing efficiency

    Czech Academy of Sciences Publication Activity Database

    Janoš, P.; Ederer, J.; Pilařová, V.; Henych, Jiří; Tolasz, Jakub; Milde, D.; Opletal, T.

    2016-01-01

    Roč. 362, SEP (2016), s. 114-120 ISSN 0043-1648 R&D Projects: GA MŠk(CZ) LM2015073 Institutional support: RVO:61388980 Keywords : Chemical mechanical polishing * Ceria-based polishing powders * Polishing efficienc Subject RIV: CA - Inorganic Chemistry Impact factor: 2.531, year: 2016

  6. Chemical Mechanical Polishing Optimization for 4H-SiC

    National Research Council Canada - National Science Library

    Neslen, Craig

    2000-01-01

    .... Preliminary chemical mechanical polishing (CMP) studies of 1 3/8" 4H-SiC wafers were performed in an attempt to identify the polishing parameter values that result in a maximum material removal rate and thus reduce substrate polishing time...

  7. Chemical mechanical polishing characteristics of ITO thin film prepared by RF magnetron sputtering

    International Nuclear Information System (INIS)

    Lee, Kang-Yeon; Choi, Gwon-Woo; Kim, Yong-Jae; Choi, Youn-Ok; Kim, Nam-Oh

    2012-01-01

    Indium-tin-oxide (ITO) thin films have attracted intensive interest because of their unique properties of good conductivity, high optical transmittance over the visible region and easy patterning ability. ITO thin films have found many applications in anti-static coatings, thermal heaters, solar cells, flat panel displays (FPDs), liquid crystal displays (LCDs), electroluminescent devices, sensors and organic light-emitting diodes (OLEDs). ITO thin films are generally fabricated by using various methods, such as spraying, chemical vapor deposition (CVD), evaporation, electron gun deposition, direct current electroplating, high frequency sputtering, and reactive sputtering. In this research, ITO films were grown on glass substrates by using a radio-frequency (RF) magnetron sputtering method. In order to achieve a high transmittance and a low resistivity, we examined the various film deposition conditions, such as substrate temperature, working pressure, annealing temperature, and deposition time. Next, in order to improve the surface quality of the ITO thin films, we performed a chemical mechanical polishing (CMP) with different process parameters and compared the electrical and the optical properties of the polished ITO thin films. The best CMP conditions with a high removal rate, low nonuniformity, low resistivity and high transmittance were as follows: platen speed, head speed, polishing time, and slurry flow rate of 30 rpm, 30 rpm, 60 sec, and 60 ml/min, respectively.

  8. Study of Profile Changes during Mechanical Polishing using Relocation Profilometry

    Science.gov (United States)

    Kumaran, S. Chidambara; Shunmugam, M. S.

    2017-10-01

    Mechanical polishing is a finishing process practiced conventionally to enhance quality of surface. Surface finish is improved by mechanical cutting action of abrasive particles on work surface. Polishing is complex in nature and research efforts have been focused on understanding the polishing mechanism. Study of changes in profile is a useful method of understanding behavior of the polishing process. Such a study requires tracing same profile at regular process intervals, which is a tedious job. An innovative relocation technique is followed in the present work to study profile changes during mechanical polishing of austenitic stainless steel specimen. Using special locating fixture, micro-indentation mark and cross-correlation technique, the same profile is traced at certain process intervals. Comparison of different parameters of profiles shows the manner in which metal removal takes place in the polishing process. Mass removal during process estimated by the same relocation technique is checked with that obtained using weight measurement. The proposed approach can be extended to other micro/nano finishing processes and favorable process conditions can be identified.

  9. Preparation of cerium oxide for lens polishing powder

    International Nuclear Information System (INIS)

    Injarean, Uthaiwan; Rodthongkom, Chouvana; Pichestapong, Pipat; Changkrurng, Kalaya

    2003-10-01

    Cerium is an element of rare earth group which is called lanthanide series. It is found in the ores like monazite and xenotime which are the tailings of tin mines in the south of Thailand. Cerium is used mostly as lens polishing powder besides the applications in other industries. In this study, cerium extracted from monazite ore breakdown by alkaline process was used for the preparation of lens polishing powder. Cerium hydroxide cake from the process was dissolved by hydrochloric acid and precipitated with oxalic acid. The oxalate precipitate then was calcined to oxide powder and its particle size was measured. Precipitation conditions being studied are concentration of feed cerium chloride solution, concentration of oxalic acid used for the precipitation, concentration of sulfuric acid used as precipitation control reagent and the precipitation temperature. It was found that the appropriate precipitation conditions yielded the fine oxide powder with particle size about 12μm. The oxide powder can be ground to the size of 1-3 μm which is suitable for making lens polishing powder

  10. Mechanistic, kinetic, and processing aspects of tungsten chemical mechanical polishing

    Science.gov (United States)

    Stein, David

    This dissertation presents an investigation into tungsten chemical mechanical polishing (CMP). CMP is the industrially predominant unit operation that removes excess tungsten after non-selective chemical vapor deposition (CVD) during sub-micron integrated circuit (IC) manufacture. This work explores the CMP process from process engineering and fundamental mechanistic perspectives. The process engineering study optimized an existing CMP process to address issues of polish pad and wafer carrier life. Polish rates, post-CMP metrology of patterned wafers, electrical test data, and synergy with a thermal endpoint technique were used to determine the optimal process. The oxidation rate of tungsten during CMP is significantly lower than the removal rate under identical conditions. Tungsten polished without inhibition during cathodic potentiostatic control. Hertzian indenter model calculations preclude colloids of the size used in tungsten CMP slurries from indenting the tungsten surface. AFM surface topography maps and TEM images of post-CMP tungsten do not show evidence of plow marks or intergranular fracture. Polish rate is dependent on potassium iodate concentration; process temperature is not. The colloid species significantly affects the polish rate and process temperature. Process temperature is not a predictor of polish rate. A process energy balance indicates that the process temperature is predominantly due to shaft work, and that any heat of reaction evolved during the CMP process is negligible. Friction and adhesion between alumina and tungsten were studied using modified AFM techniques. Friction was constant with potassium iodate concentration, but varied with applied pressure. This corroborates the results from the energy balance. Adhesion between the alumina and the tungsten was proportional to the potassium iodate concentration. A heuristic mechanism, which captures the relationship between polish rate, pressure, velocity, and slurry chemistry, is presented

  11. KDP Aqueous Solution-in-Oil Microemulsion for Ultra-Precision Chemical-Mechanical Polishing of KDP Crystal

    Directory of Open Access Journals (Sweden)

    Hui Dong

    2017-03-01

    Full Text Available A novel functional KH2PO4 (KDP aqueous solution-in-oil (KDP aq/O microemulsion system for KDP crystal ultra-precision chemical-mechanical polishing (CMP was prepared. The system, which consisted of decanol, Triton X-100, and KH2PO4 aqueous solution, was available at room temperature. The functional KDP aq/O microemulsion system was systematically studied and applied as polishing solution to KDP CMP technology. In this study, a controlled deliquescent mechanism was proposed for KDP polishing with the KDP aq/O microemulsion. KDP aqueous solution, the chemical etchant in the polishing process, was caged into the micelles in the microemulsion, leading to a limitation of the reaction between the KDP crystal and KDP aqueous solution only if the microemulsion was deformed under the effect of the external force. Based on the interface reaction dynamics, KDP aqueous solutions with different concentrations (cKDP were applied to replace water in the traditional water-in-oil (W/O microemulsion. The practicability of the controlled deliquescent mechanism was proved by the decreasing material removal rate (MRR with the increasing of the cKDP. As a result, the corrosion pits on the KDP surface were avoided to some degree. Moreover, the roughnesses of KDP with KDP aq/O microemulsion (cKDP was changed from 10 mM to 100 mM as polishing solutions were smaller than that with the W/O microemulsion. The smallest surface root-mean-square roughness of 1.5 nm was obtained at a 30 mmol/L KDP aq solution, because of the most appropriate deliquescent rate and MRR.

  12. Chemical mechanical polishing of hard disk substrate with {alpha}-alumina-g-polystyrene sulfonic acid composite abrasive

    Energy Technology Data Exchange (ETDEWEB)

    Lei Hong, E-mail: hong_lei2005@yahoo.com.c [Research Center of Nano-science and Nano-technology, Shanghai University, Shanghai 200444 (China); Bu Naijing; Chen Ruling; Hao Ping [Research Center of Nano-science and Nano-technology, Shanghai University, Shanghai 200444 (China); Neng Sima; Tu Xifu; Yuen Kwok [Shenzhen Kaifa Magnetic Recording Co., LTD, Shenzhen, 518035 (China)

    2010-05-03

    {alpha}-Alumina-g-polystyrene sulfonic acid ({alpha}-Al{sub 2}O{sub 3}-g-PSS) composite abrasive was prepared by surface activation, graft polymerization and sulfonation, successively. The composition, dispersibility and morphology of the product were characterized by Fourier transformed infrared spectroscopy, laser particle size analysis and scanning electron microscopy, respectively. The chemical mechanical polishing (CMP) performances of the composite abrasive on hard disk substrate with nickel-phosphorous plating were investigated. The microscopy images of the polished surfaces show that {alpha}-Al{sub 2}O{sub 3}-g-PSS composite abrasive results in improved CMP and post-CMP cleaning performances than pure {alpha}-alumina abrasive under the same testing conditions.

  13. Chemical Mechanical Polishing of Ruthenium, Cobalt, and Black Diamond Films

    Science.gov (United States)

    Peethala, Brown Cornelius

    Ta/TaN bilayer serves as the diffusion barrier as well as the adhesion promoter between Cu and the dielectric in 32 nm technology devices. A key concern of future technology devices (layer (vs. a bilayer of Ta/TaN) to act as a barrier. During patterning, they need to be planarized using conventional chemical mechanical polishing (CMP) to achieve a planar surface. However, CMP of these new barrier materials requires novel slurry compositions that provide adequate selectivity towards Cu and dielectric films, and minimize galvanic corrosion. Apart from the application as a barrier, Ru also has been proposed as a lower electrode material in metal-insulator-metal capacitors where high (> 50 nm/min) Ru removal rates (RRs) are required and as a stop layer in magnetic recording head fabrication where low (hydroxide (KOH). It was also determined that increased the ionic strength is not responsible for the observed increase in Ru removal rate. Benzotirazole (BTA) and ascorbic acid were added to the slurry to reduce the open circuit potential (Eoc) difference between Cu and Ru to ˜20 mV from about 550 mV in the absence of additives. A removal mechanism with KIO4 as the oxidizing agent is proposed based on the formation of several ruthenium oxides, some of which formed residues on the polishing pad below a pH of ˜7. Next, a colloidal silica-based slurry with hydrogen peroxide (H 2O2) as the oxidizer (1 wt%), and arginine (0.5 wt%) as the complexing agent was developed to polish Co at pH 10. The Eoc between Cu and Co at the above conditions was reduced to ˜20 mV compared to ˜250 mV in the absence of additives, suggestive of reduced galvanic corrosion during the Co polishing. The slurry also has the advantages of good post-polish surface quality at pH 10, and no dissolution rate. BTA at a concentration of 5mM in this slurry inhibited Cu dissolution rates and yielded a Cu/Co RR ratio of ˜0.8:1 while the open potential difference between Cu and Co was further reduced to ˜10

  14. Surface qualities after chemical-mechanical polishing on thin films

    International Nuclear Information System (INIS)

    Fu, Wei-En; Lin, Tzeng-Yow; Chen, Meng-Ke; Chen, Chao-Chang A.

    2009-01-01

    Demands for substrate and film surface planarizations significantly increase as the feature sizes of Integrated Circuit (IC) components continue to shrink. Chemical Mechanical Polishing (CMP), incorporating chemical and mechanical interactions to planarize chemically modified surface layers, has been one of the major manufacturing processes to provide global and local surface planarizations in IC fabrications. Not only is the material removal rate a concern, the qualities of the CMP produced surface are critical as well, such as surface finish, defects and surface stresses. This paper is to examine the CMP produced surface roughness on tungsten or W thin films based on the CMP process conditions. The W thin films with thickness below 1000 nm on silicon wafer were chemical-mechanical polished at different down pressures and platen speeds to produce different surface roughness. The surface roughness measurements were performed by an atomic force microscope (DI D3100). Results show that the quality of surface finish (R a value) is determined by the combined effects of down pressures and platen speeds. An optimal polishing condition is, then, possible for selecting the down pressures and platen speeds.

  15. Microscopic machining mechanism of polishing based on vibrations of liquid

    International Nuclear Information System (INIS)

    Huang, Z G; Guo, Z N; Chen, X; Yu, Z Q; Yu, T M; Lee, W B

    2007-01-01

    A molecular dynamics method has been applied to study the mechanism of polishing based on vibrations of liquid. Movements of polishing particles and formations of impact dents are simulated and discussed. The abrasive effect between particle and machined substrate is evaluated empirically. Polishing qualities, including roughness and fractal character under multiple impacts, are obtained by numerical methods. Results show that the particle will vibrate and roll viscously on the substrate. Press, tear and self-organization effects will be responsible for the formation of impact dents. Simulation results are compared with experimental data to verify the conclusions

  16. Novel ceria-polymer microcomposites for chemical mechanical polishing

    International Nuclear Information System (INIS)

    Coutinho, Cecil A.; Mudhivarthi, Subrahmanya R.; Kumar, Ashok; Gupta, Vinay K.

    2008-01-01

    Abrasive particles are key components in slurries for chemical mechanical polishing (CMP). Since the particle characteristics determine surface quality of wafers during polishing, in this research, novel abrasive composite particles have been developed. These composite particles contain nanoparticles of ceria dispersed within cross-linked, polymeric microspheres such that the average mass fraction of ceria is approximately 50% in the particles. The microspheres are formed by co-polymerization of N-isopropylacrylamide (NIPAM) with 3-(trimethoxysilyl)propyl methacrylate (MPS) and contain interpenetrating (IP) chains of poly(acrylic acid) (PAAc). Infrared spectroscopy, dynamic light scattering, and transmission electron microscopy are employed to characterize the composite particles. Planarization of silicon dioxide wafers is studied on a bench-top CMP tester and the polished surfaces are characterized by ellipsometry, atomic force and optical microscopy. Slurries formed from the composite ceria-polymer particles lead to lower topographical variations and surface roughness than slurries of only ceria nanoparticles even though both slurries achieve similar removal rates of ∼100 nm/min for similar ceria content. Polishing with the novel composite particles gives surfaces devoid of scratches and particle deposition, which makes these particles suitable for the next generation slurries in CMP

  17. Novel ceria-polymer microcomposites for chemical mechanical polishing

    Energy Technology Data Exchange (ETDEWEB)

    Coutinho, Cecil A. [Department of Chemical and Biomedical Engineering, University of South Florida (United States); Mudhivarthi, Subrahmanya R.; Kumar, Ashok [Nanomaterials and Nanomanufacturing Research Center, University of South Florida (United States); Department of Mechanical Engineering, University of South Florida (United States); Gupta, Vinay K. [Department of Chemical and Biomedical Engineering, University of South Florida (United States)], E-mail: vkgupta@eng.usf.edu

    2008-12-30

    Abrasive particles are key components in slurries for chemical mechanical polishing (CMP). Since the particle characteristics determine surface quality of wafers during polishing, in this research, novel abrasive composite particles have been developed. These composite particles contain nanoparticles of ceria dispersed within cross-linked, polymeric microspheres such that the average mass fraction of ceria is approximately 50% in the particles. The microspheres are formed by co-polymerization of N-isopropylacrylamide (NIPAM) with 3-(trimethoxysilyl)propyl methacrylate (MPS) and contain interpenetrating (IP) chains of poly(acrylic acid) (PAAc). Infrared spectroscopy, dynamic light scattering, and transmission electron microscopy are employed to characterize the composite particles. Planarization of silicon dioxide wafers is studied on a bench-top CMP tester and the polished surfaces are characterized by ellipsometry, atomic force and optical microscopy. Slurries formed from the composite ceria-polymer particles lead to lower topographical variations and surface roughness than slurries of only ceria nanoparticles even though both slurries achieve similar removal rates of {approx}100 nm/min for similar ceria content. Polishing with the novel composite particles gives surfaces devoid of scratches and particle deposition, which makes these particles suitable for the next generation slurries in CMP.

  18. Combined Ultrasonic Elliptical Vibration and Chemical Mechanical Polishing of Monocrystalline Silicon

    Directory of Open Access Journals (Sweden)

    Liu Defu

    2016-01-01

    Full Text Available An ultrasonic elliptical vibration assisted chemical mechanical polishing(UEV-CMP is employed to achieve high material removal rate and high surface quality in the finishing of hard and brittle materials such as monocrystalline silicon, which combines the functions of conventional CMP and ultrasonic machining. In theultrasonic elliptical vibration aided chemical mechanical polishingexperimental setup developed by ourselves, the workpiece attached at the end of horn can vibrate simultaneously in both horizontal and vertical directions. Polishing experiments are carried out involving monocrystalline silicon to confirm the performance of the proposed UEV-CMP. The experimental results reveal that the ultrasonic elliptical vibration can increase significantly the material removal rate and reduce dramatically the surface roughness of monocrystalline silicon. It is found that the removal rate of monocrystalline silicon polished by UEV-CMP is increased by approximately 110% relative to that of conventional CMP because a passive layer on the monocrystalline silicon surface, formed by the chemical action of the polishing slurry, will be removed not only by the mechanical action of CMP but also by ultrasonic vibration action. It indicates that the high efficiency and high quality CMP of monocrystalline silicon can be performed with the proposed UEV-CMP technique.

  19. A novel vibration assisted polishing device based on the flexural mechanism driven by the piezoelectric actuators

    Directory of Open Access Journals (Sweden)

    Guilian Wang

    2018-01-01

    Full Text Available The vibration assisted polishing has widely application fields because of higher machining frequency and better polishing quality, especially the polishing with the non-resonant mode that is regarded as a kind of promising polishing method. This paper reports a novel vibration assisted polishing device, consisting of the flexible hinge mechanism driven by the piezoelectric actuators, which is suitable for polishing planes or curve surfaces with slow curvature. Firstly, the generation methods of vibration trajectory are investigated for the same frequency and different frequency signals’ inputs, respectively, and then the types of elliptic and Lissajous’s vibration trajectories are generated respectively. Secondly, a flexural mechanism consisting of the right circular flexible hinges and the leaf springs is developed to produce two-dimensional vibration trajectory. Statics and dynamics investigating of this flexible mechanism are finished in detail. The analytical models about input and output compliances of the flexural mechanism are established according to the matrix-based compliance modeling, and the dynamic model of the flexural mechanism based on the Euler-Lagrange equation is also presented. The finite element model of the flexural mechanism was established to carry out the numerical simulation in order to testify the rationality of device design. Finally, the polishing experiment is carried out to prove the effectiveness of the vibration device. The experimental results show that this novel vibration assisted polishing device developed in this study can remove more effectively the cutting marks left by last process and obviously reduce the workpiece surface roughness.

  20. Mechanical polishing as an improved surface treatment for platinum screen-printed electrodes

    Directory of Open Access Journals (Sweden)

    Junqiao Lee

    2016-07-01

    Full Text Available The viability of mechanical polishing as a surface pre-treatment method for commercially available platinum screen-printed electrodes (SPEs was investigated and compared to a range of other pre-treatment methods (UV-Ozone treatment, soaking in N,N-dimethylformamide, soaking and anodizing in aqueous NaOH solution, and ultrasonication in tetrahydrofuran. Conventional electrochemical activation of platinum SPEs in 0.5 M H2SO4 solution was ineffective for the removal of contaminants found to be passivating the screen-printed surfaces. However, mechanical polishing showed a significant improvement in hydrogen adsorption and in electrochemically active surface areas (probed by two different redox couples due to the effective removal of surface contaminants. Results are also presented that suggest that SPEs are highly susceptible to degradation by strong acidic or caustic solutions, and could potentially lead to instability in long-term applications due to continual etching of the binding materials. The ability of SPEs to be polished effectively extends the reusability of these traditionally “single-use” devices. Keywords: Screen-printed electrodes, Polishing, Platinum, Activation, Pre-treatment, Cyclic voltammetry

  1. Antioxidative properties of milk protein preparations fermented by Polish strains of Lactobacillus helveticus.

    Science.gov (United States)

    Skrzypczak, Katarzyna W; Gustaw, Waldemar Z; Jabłońska-Ryś, Ewa D; Michalak-Majewska, Monika; Sławińska, Aneta; Radzki, Wojciech P; Gustaw, Klaudia M; Waśko, Adam D

    2017-01-01

    The increasing significance of food products containing substances with antioxidative activi- ties is currently being observed. This is mainly due to the fact that pathogenic changes underlying some diseases are related to the carcinogenic effects of free radicals. Antioxidative compounds play an important role in supporting and enhancing the body’s defense mechanisms, which is useful in preventing some civili- zation diseases. Unfortunately, it has been already proved that some synthetic antioxidants pose a potential risk in vivo. Therefore, antioxidant compounds derived from a natural source are extremely valuable. Milk is a source of biologically active precursors, which when enclosed in structural protein sequences are inactive. The hydrolysis process, involving bacterial proteolytic enzymes, might release biopeptides that act in various ways, including having antioxidant properties. The objective of this study was to determine the antioxidant properties of milk protein preparations fermented by Polish strains of L. helveticus. The research also focused on evaluating the dynamics of milk acidification by these strains and analyzing the textural properties of the skim milk fermented products obtained. The research studied Polish strains of L. helveticus: B734, 141, T80 and T105, which have not yet been used industrially. The antioxidant properties of 1% (w/v) solutions of milk protein preparations (skim milk powder, caseinoglycomacropeptide and α-lactoalbumin) fermented by these strains were determined by neutralizing the free radicals with 2,2-diphenyl-1-picrylhydrazyl (DPPH˙). Moreover, solutions of skim milk powder (SMP) fermented by the microorganisms being tested were analyzed on gel electrophoresis (SDS-PAGE). The dynamics of milk acidification by these microorganisms was also analyzed L. helveticus strains were used to prepare fermented regenerated skim milk products that were subjected to texture profile analysis (TPA) performed using a TA-XT2i

  2. XPS, UV–vis spectroscopy and AFM studies on removal mechanisms of Si-face SiC wafer chemical mechanical polishing (CMP)

    International Nuclear Information System (INIS)

    Zhou, Yan; Pan, Guoshun; Shi, Xiaolei; Xu, Li; Zou, Chunli; Gong, Hua; Luo, Guihai

    2014-01-01

    Highlights: • CMP removal mechanism of Si-face SiC wafer is investigated through XPS analysis. • UV–vis spectroscopy is used to study CMP removal mechanisms. • CMP removal model of Si-face SiC wafer is proposed. • The variations of atomic step morphology on ultra-smooth surface via AFM is studied. - Abstract: Chemical mechanical polishing (CMP) removal mechanisms of on-axis Si-face SiC wafer have been investigated through X-ray photoelectron spectroscopy (XPS), UV–visible (UV–vis) spectroscopy and atomic force microscopy (AFM). XPS results indicate that silicon oxide is formed on Si-face surface polished by the slurry including oxidant H 2 O 2 , but not that after immersing in H 2 O 2 solution. UV–vis spectroscopy curves prove that • OH hydroxyl radical could be generated only under CMP polishing by the slurry including H 2 O 2 and abrasive, so as to promote oxidation of Si-face to realize the effective removal; meanwhile, alkali KOH during CMP could induce the production of more radicals to improve the removal. On the other side, ultra-smooth polished surface with atomic step structure morphology and extremely low Ra of about 0.06 nm (through AFM) is obtained using the developed slurry with silica nanoparticle abrasive. Through investigating the variations of the atomic step morphology on the surface polished by different slurries, it's reveals that CMP removal mechanism involves a simultaneous process of surface chemical reaction and nanoparticle atomic scale abrasion

  3. Development of clean chemical mechanical polishing systems; Clean CMP system

    Energy Technology Data Exchange (ETDEWEB)

    Tsujimura, M.; Hosokawa, M. [Ebara Corp., Tokyo (Japan)

    1998-10-20

    Described herein are clean chemical mechanical polishing (CMP) systems developed by Ebara. A CMP system needs advanced peripheral techniques, in addition to those for grinding adopted by the conventional system, in order to fully exhibit its inherent functions. An integrated design concept is essential for the CMP steps, including slurry supplying, polishing, washing, process controlling and waste fluid treatment. The Ebara has adopted a standard concept `Clean CMP, dry-in and dry-out of wafers,` and provided world`s highest grades of techniques for inter-layer insulating film, shallow trench isolation, plug and wiring. The head for the polishing module is specially designed by FEM, to improve homogeneity of wafers from the center to edges. The dresser is also specially designed, to improve pad surface topolody after dressing. A slurry dipsersing method is developed to reduce slurry consumption. Various washing modules, designed to have the same external shape, can be allocated to various functions. 10 figs.

  4. Models of nanoparticles movement, collision, and friction in chemical mechanical polishing (CMP)

    Energy Technology Data Exchange (ETDEWEB)

    Ilie, Filip, E-mail: filip@meca.omtr.pub.ro [Polytechnic University of Bucharest, Department of Machine Elements and Tribology (Romania)

    2012-03-15

    Nanoparticles have been widely used in polishing slurry such as chemical mechanical polishing (CMP) process. The movement of nanoparticles in polishing slurry and the interaction between nanoparticles and solid surface are very important to obtain an atomic smooth surface in CMP process. Polishing slurry contains abrasive nanoparticles (with the size range of about 10-100 nm) and chemical reagents. Abrasive nanoparticles and hydrodynamic pressure are considered to cause the polishing effect. Nanoparticles behavior in the slurry with power-law viscosity shows great effect on the wafer surface in polishing process. CMP is now a standard process of integrated circuit manufacturing at nanoscale. Various models can dynamically predict the evolution of surface topography for any time point during CMP. To research, using a combination of individual nanoscale friction measurements for CMP of SiO{sub 2}, in an analytical model, to sum these effects, and the results scale CMP experiments, can guide the research and validate the model. CMP endpoint measurements, such as those from motor current traces, enable verification of model predictions, relating to friction and wear in CMP and surface topography evolution for different types of CMP processes and patterned chips. In this article, we explore models of the microscopic frictional force based on the surface topography and present both experimental and theoretical studies on the movement of nanoparticles in polishing slurry and collision between nanoparticles, as well as between the particles and solid surfaces in time of process CMP. Experimental results have proved that the nanoparticle size and slurry properties have great effects on the polishing results. The effects of the nanoparticle size and the slurry film thickness are also discussed.

  5. Role of crystal orientation on chemical mechanical polishing of single crystal copper

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Aibin, E-mail: abzhu@mail.xjtu.edu.cn; He, Dayong; Luo, Wencheng; Liu, Yangyang

    2016-11-15

    Highlights: • The role of crystal orientation in cooper CMP by quasi-continuum was studied. • The atom displacement diagrams were obtained and analyzed. • The stress distribution diagrams and load-displacement curves were analyzed. • This research is helpful to revealing the material removal mechanism of CMP. - Abstract: The material removal mechanism of single crystal copper in chemical mechanical polishing (CMP) has not been intensively investigated. And the role of crystal orientation in CMP of single crystal cooper is not quite clear yet. Quasi-continuum method was adopted in this paper to simulate the process of nano-particles grinding on single crystal copper in CMP process. Three different crystal orientations, i.e. x[100]y[001], x[001]y[110] and x[–211]y[111], were chosen for analysis. The atom displacement diagrams, stress distribution diagrams and load-displacement curves were obtained. After analyzing the deformation mechanism, residual stress of the work piece material and cutting force, results showed that, the crystal orientation of work piece has great influence on the deformation characteristics and surface quality of work piece during polishing. In the A(001)[100] orientation, the residual stress distribution after polishing is deeper, and the stress is larger than that in the B(110)[001] and C(111)[–211] orientations. And the average tangential cutting force in the A(001)[100] orientation is much larger than those in the other two crystal orientation. This research is helpful to revealing the material removal mechanism of CMP process.

  6. Effect of chemical disinfectant on the transverse strength of heat-polymerized acrylic resins subjected to mechanical and chemical polishing: an in vitro study.

    Science.gov (United States)

    Sharan, Smitha; Kavitha, H R; Konde, Harish; Kalahasti, Deepthi

    2012-05-01

    To evaluate the effect of chemical disinfectant on the transverse strength of heat-polymerized acrylic resins subjected to mechanical and chemical polishing. A total of 256 rectangular specimens (65 * 10 * 3 mm) 128 per resin (Lucitone-199 and Acralyn-H) were fabricated. One side of each specimen was not polished and the other was either mechanically (n = 96) or chemically (n = 96) polished and immersed for 10, 30 and 60 minutes in 2% alkaline glutaraldehyde. Mechanically polished (n = 32) and chemically polished (n = 32) control specimens were immersed only in distilled water. The transverse strength (N/mm(2)) was tested for failure in a universal testing machine, at a crosshead speed of 5 mm/min. Data were statistically analyzed using 2-way ANOVA and Student t-test. chemical polishing resulted in significantly lower transverse strength values than mechanical polishing. Lucitone- 199 resin demonstrated the highest overall transverse strength for the materials tested. Heat-polymerized acrylic resins either mechanically or chemically polished, did not demonstrate significant changes in transverse strength during immersion in the disinfecting solution tested, regardless of time of immersion. Lucitone-199 resin demonstrated the highest overall transverse strength for the materials tested and significantly stronger than Acralyn-H with either type of polishing following immersion in 2% alkaline glutaraldehyde. There is a concern that immersion in chemical solutions often used for cleansing and disinfection of prostheses may undermine the strength and structure of denture base resins. In this study it was observed that, the transverse strength of samples of Lucitone-199 was higher than that of the samples of Acralyn-H. The chances of fracture of the denture made of Lucitone-199 are less than that of dentures made of Acralyn-H. The chemically polished dentures may be more prone to fracture than mechanically polished dentures.

  7. Nanoscale wedge polishing of superconducting thin films-an easy way to obtain depth dependent information by surface analysis techniques

    International Nuclear Information System (INIS)

    Shapoval, T; Engel, S; Gruendlich, M; Meier, D; Backen, E; Neu, V; Holzapfel, B; Schultz, L

    2008-01-01

    A mechanical wedge polishing procedure that offers a simple, cost-effective and rapid way to look into the depth of a thin film with different surface-sensitive scanning techniques has been developed. As an example of its wide applicability, this method was utilized for the investigation of two differently prepared superconducting YBa 2 Cu 3 O 7-δ thin films: an Hf-doped film prepared by chemical solution deposition and an undoped film grown by pulsed laser deposition. Upon polishing, the roughness of the samples was reduced to less than 5 nm (peak-to-valley) without influencing the superconducting properties of the films. Thus, nanoscale polishing opens up a unique possibility for microscopic studies with various surface-sensitive techniques. We demonstrate the successful imaging of flux lines by low temperature magnetic force microscopy after polishing a formerly rough as-prepared film. By applying the wedge polishing procedure to the Hf-doped sample, high resolution electron backscattering diffraction investigations reveal the homogeneous distribution of non-superconducting BaHfO 3 nanoparticles in the whole volume of the film

  8. Chemical-mechanical polishing of metal and dielectric films for microelectronic applications

    Science.gov (United States)

    Hegde, Sharath

    The demand for smaller, faster devices has led the integrated circuit (IC) industry to continually increase the device density on a chip while simultaneously reducing feature dimensions. Copper interconnects and multilevel metallization (MLM) schemes were introduced to meet some of these challenges. With the employment of MLM in the ultra-large-scale-integrated (ULSI) circuit fabrication technology, repeated planarization of different surface layers with tolerance of a few nanometers is required. Presently, chemical-mechanical planarization (CMP) is the only technique that can meet this requirement. Damascene and shallow trench isolation processes are currently used in conjunction with CMP in the fabrication of multilevel copper interconnects and isolation of devices, respectively, for advanced logic and memory devices. These processes, at some stage, require simultaneous polishing of two different materials using a single slurry that offers high polish rates, high polish selectivity to one material over the other and good post-polish surface finish. Slurries containing one kind of abrasive particles do not meet most of these demands due mainly to the unique physical and chemical properties of each abrasive. However, if a composite particle is formed that takes the advantages of different abrasives while mitigating their disadvantages, the CMP performance of resulting abrasives would be compelling. It is demonstrated that electrostatic interactions between ceria and silica particles at pH 4 can be used to produce composite particles with enhanced functionality. Zeta potential measurement and TEM images used for particle characterization show the presence of such composite particles with smaller shell particles attached onto larger core particles. Slurries containing ceria (core)/silica (shell) and silica (core)/ceria (shell) composite particles when used to polish metal and dielectric films, respectively, yield both enhanced metal and dielectric film removal rates

  9. Novel EBSD preparation method for Cu/Sn microbumps using a focused ion beam

    International Nuclear Information System (INIS)

    Liu, Tao-Chi; Chen, Chih; Chiu, Kuo-Jung; Lin, Han-Wen; Kuo, Jui-Chao

    2012-01-01

    We proposed a novel technique developed from focused ion beam (FIB) polishing for sample preparation of electron backscatter diffraction (EBSD) measurement. A low-angle incident gallium ion beam with a high acceleration voltage of 30 kV was used to eliminate the surface roughness of cross-sectioned microbumps resulting from mechanical polishing. This work demonstrates the application of the FIB polishing technique to solders for a high-quality sample preparation for EBSD measurement after mechanical polishing. - Highlights: ► The novel FIB technique of sample preparation is fast, effective and low-cost. ► It can enhance the process precision to the specific area of the sample. ► It is convenient for analyzing the metallurgy of the microbump in 3DIC packaging. ► The EBSD image quality can be enhanced by just using a common FIB instrument.

  10. Polishing of silicon based advanced ceramics

    Science.gov (United States)

    Klocke, Fritz; Dambon, Olaf; Zunke, Richard; Waechter, D.

    2009-05-01

    Silicon based advanced ceramics show advantages in comparison to other materials due to their extreme hardness, wear and creep resistance, low density and low coefficient of thermal expansion. As a matter of course, machining requires high efforts. In order to reach demanded low roughness for optical or tribological applications a defect free surface is indispensable. In this paper, polishing of silicon nitride and silicon carbide is investigated. The objective is to elaborate scientific understanding of the process interactions. Based on this knowledge, the optimization of removal rate, surface quality and form accuracy can be realized. For this purpose, fundamental investigations of polishing silicon based ceramics are undertaken and evaluated. Former scientific publications discuss removal mechanisms and wear behavior, but the scientific insight is mainly based on investigations in grinding and lapping. The removal mechanisms in polishing are not fully understood due to complexity of interactions. The role of, e.g., process parameters, slurry and abrasives, and their influence on the output parameters is still uncertain. Extensive technological investigations demonstrate the influence of the polishing system and the machining parameters on the stability and the reproducibility. It is shown that the interactions between the advanced ceramics and the polishing systems is of great relevance. Depending on the kind of slurry and polishing agent the material removal mechanisms differ. The observed effects can be explained by dominating mechanical or chemo-mechanical removal mechanisms. Therefore, hypotheses to state adequate explanations are presented and validated by advanced metrology devices, such as SEM, AFM and TEM.

  11. Radioactive recontamination on mechanically polished piping at Shimane-1 Nuclear Power Plant

    International Nuclear Information System (INIS)

    Umeda, K.; Komoto, I.; Imamura, K.; Kataoka, I.; Uchida, S.

    1998-01-01

    In a series of preventive maintenance tasks for an aging plant, recirculation pipes of Shimane-1 NPP have been replaced by newly fabricated type 316 NG stainless steel pipes. Suppression of shutdown dose rate caused by 60 Co recontamination on the newly replaced piping was one of the major concerns in the recirculation pipe replacement. In order to suppress the shutdown dose rate, control of the 60 Co deposition rate coefficient as well as 60 Co radioactivity in the reactor water are essential. The deposition rate coefficient depends on surface roughness. The coefficient is suppressed by reduction of the effective surface area of pipes through mechanical polishing. Then the inner surface of the pipes was polished mechanically to reduce roughness prior to application in the plant. After measuring and evaluating radioactive recontamination, it was estimated that deposited amounts of radioactive corrosion products on the pipe inner surface would reach the saturated value in a few years, and would not exceed the level before replacement unless water chemistry is degraded. (author)

  12. Audit Committee Practice in the Polish Listed Stock Companies. Present Situation and Development Perspectives

    Directory of Open Access Journals (Sweden)

    Piotr Szczepankowski

    2012-06-01

    Full Text Available The audit committee is one of the parts of corporate governance mechanism, which is understood as the relationship between corporate managers, directors and the providers of equity, people and institutions who save and invest their capital to earn the return. This study presents survey research results of audit committee activity in Polish public stock companies quoted on the Warsaw Stock Exchange (WSE. The purpose of this paper is to present the audit committee practice in Poland after 2009. The paper shows that the audit committee practice is still the most problematic issue of transitional Polish corporate governance rules. The survey has shown that the corporate needs and its implementation, and communication with listed companies leave a lot of room for improvement. The paper is based on the documents prepared in 2010 by PricewaterhouseCoopers, the Polish Association of Listed Companies and the Polish Institute of Directors.

  13. Factors influencing the surface quality of polished tool steels

    International Nuclear Information System (INIS)

    Rebeggiani, S; Rosén, B-G

    2014-01-01

    Today’s demands on surface quality of moulds for injection moulding of plastic components involve no/low defect contents and roughness levels in the nm-range for high gloss applications. Material properties as well as operating conditions influence the mould finish, and thus the final surface of moulded products. This paper focuses on how particle content and different polishing strategies influence final surface qualities of moulds. Visual estimations of polished tool steel samples were combined with non-contact 3D-surface texture analysis in order to correlate traditional assessments to more quantitative methods, and to be able to analyse the surfaces at nanometre-level. It was found that steels with a lower proportion of particles, like carbides and oxides, gave rise to smoother polished surfaces. In a comparative study of polishers from different polishing shops, it was found that while different surface preparation strategies can lead to similar final roughness, similar preparation techniques can produce high-quality surfaces from different steel grades. However, the non-contact 3D-surface texture analysis showed that not all smooth polished surfaces have desirable functional topographies for injection moulding of glossy plastic components. (paper)

  14. Fe-N{sub x}/C assisted chemical–mechanical polishing for improving the removal rate of sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Li, E-mail: xl0522@126.com [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Zou, Chunli; Shi, Xiaolei [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Pan, Guoshun, E-mail: pangs@tsinghua.edu.cn [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Luo, Guihai; Zhou, Yan [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China)

    2015-07-15

    Highlights: • A novel non-noble metal catalyst (Fe-N{sub x}/C) was prepared. • Fe-N{sub x}/C shows remarkable catalytic activity for improving the removal rate of sapphire in alkaline solution. • The optimum CMP removal by Fe-N{sub x}/C yielded a superior surface finish of 0.078 nm the average roughness. • Fe{sub 2}O{sub 3}, Fe{sub 3}O{sub 4}, pyridinic N as well as pyrrolic N group possibly serving as the catalytic sites. • A soft hydration layer (boehmite, AlO(OH)) was generated on the surface of sapphire during CMP process. - Abstract: In this paper, a novel non-noble metal catalyst (Fe-N{sub x}/C) is used to improve the removal mass of sapphire as well as obtain atomically smooth sapphire wafer surfaces. The results indicate that Fe-N{sub x}/C shows good catalytic activity towards sapphire removal rate. And the material removal rates (MRRs) are found to vary with the catalyst content in the polishing fluid. Especially that when the polishing slurry mixes with 16 ppm Fe-N{sub x}/C shows the maximum MRR and its removal mass of sapphire is 38.43 nm/min, more than 15.44% larger than traditional CMP using the colloidal silicon dioxide (SiO{sub 2}) without Fe-N{sub x}/C. Catalyst-assisted chemical–mechanical polishing of sapphire is studied with X-ray photoelectron spectroscopy (XPS). It is found that the formation of a soft hydration layer (boehmite, γ-AlOOH or γ-AlO(OH)) on sapphire surface facilitates the material removal and achieving fine surface finish on basal plane. Abrasives (colloid silica together with magnetite, ingredient of Fe-N{sub x}/C) with a hardness between boehmite and sapphire polish the c-plane of sapphire with good surface finish and efficient removal. Fe{sub 2}O{sub 3}, Fe{sub 3}O{sub 4}, pyridinic N as well as pyrrolic N group would be the catalytical active sites and accelerate this process. Surface quality is characterized with atomic force microscopy (AFM). The optimum CMP removal by Fe-N{sub x}/C also yields a superior

  15. Mirror-smooth surfaces and repair of defects in superconducting RF cavities by mechanical polishing

    Energy Technology Data Exchange (ETDEWEB)

    Cooper, C. A. [Fermilab; Cooley, L. D. [Fermilab

    2012-11-22

    Mechanical techniques for polishing the inside surface of niobium superconducting radio-frequency (SRF) cavities have been systematically explored. By extending known techniques to fine polishing, mirror-like finishes were produced, with <15 nm RMS (root mean square) roughness over 1 mm2 scan area. This is an order of magnitude less than the typical roughness produced by the electropolishing of niobium cavities. The extended mechanical polishing (XMP) process was applied to several SRF cavities which exhibited equator defects that caused quench at <20 MV m-1 and were not improved by further electropolishing. Cavity optical inspection equipment verified the complete removal of these defects, and minor acid processing, which dulled the mirror finish, restored performance of the defective cells to the high gradients and quality factors measured for adjacent cells when tested with other harmonics. This innate repair feature of XMP could be used to increase manufacturing yield. Excellent superconducting properties resulted after initial process optimization, with quality factor Q of 3 × 1010 and accelerating gradient of 43 MV m-1 being attained for a single-cell TESLA cavity, which are both close to practical limits. Several repaired nine-cell cavities also attained Q > 8 × 109 at 35 MV m-1, which is the specification for the International Linear Collider. Future optimization of the process and pathways for eliminating requirements for acid processing are also discussed.

  16. Disturbance induced by surface preparation on instrumented indentation test

    International Nuclear Information System (INIS)

    Li, Yugang; Kanouté, Pascale; François, Manuel

    2015-01-01

    Surface preparation, which may induce considerable sample disturbance, plays an important role in instrumented indentation test (IIT). In this study, the sample disturbance (mainly divided into residual stresses and plastic strain) induced by the surface preparation process of instrumented indentation test specimens were investigated with both experimental tests and numerical simulations. Grazing incidence X-ray diffractions (GIXRD) and uniaxial tensile tests were conducted for characterizing the residual stresses and high plastic strain in the top surface layers of a carefully mechanically polished indentation sample, which, in the present work, is made of commercially pure titanium. Instrumented indentation tests and the corresponding finite element simulations were performed as well. For comparison, a reference sample (carefully mechanically polished & electrolytically polished) which represents the raw material was prepared and tested. Results showed that a careful mechanical polishing procedure can effectively reduce the level of residual stresses induced by this process. However, the high plastic strain in the surface region imposed by the polishing process is significant. The induced plastic strain can affect a depth up to 5 µm, which is deeper than the maximum penetration depth h max (3 µm) used for the instrumented indentation tests. In the near surface layer (in the range of depth about 350 nm), the plastic strain levels are fairly high. In the very top layer, the plastic strain was even estimated to reach more than 60%. The simultaneous use of indentation tests and numerical simulations showed that the existence of high plastic strain in the surface region will make the load vs depth (P–h) curve shift upwards, the contact hardness (H) increase and the contact stiffness (S) decrease

  17. Disturbance induced by surface preparation on instrumented indentation test

    Energy Technology Data Exchange (ETDEWEB)

    Li, Yugang, E-mail: yugang.li@utt.fr [Université de Technologie de Troyes (UTT), ICD-LASMIS, UMR CNRS 6281, 12, rue Marie Curie-CS 42060, 10010 Troyes Cedex (France); Kanouté, Pascale, E-mail: pascale.kanoute@onera.fr [Université de Technologie de Troyes (UTT), ICD-LASMIS, UMR CNRS 6281, 12, rue Marie Curie-CS 42060, 10010 Troyes Cedex (France); The French Aerospace Lab (ONERA), DMSM/MCE, 29 avenue de la Division Leclerc-BP 72, F-92322 Chatillon Cedex (France); François, Manuel, E-mail: manuel.francois@utt.fr [Université de Technologie de Troyes (UTT), ICD-LASMIS, UMR CNRS 6281, 12, rue Marie Curie-CS 42060, 10010 Troyes Cedex (France)

    2015-08-26

    Surface preparation, which may induce considerable sample disturbance, plays an important role in instrumented indentation test (IIT). In this study, the sample disturbance (mainly divided into residual stresses and plastic strain) induced by the surface preparation process of instrumented indentation test specimens were investigated with both experimental tests and numerical simulations. Grazing incidence X-ray diffractions (GIXRD) and uniaxial tensile tests were conducted for characterizing the residual stresses and high plastic strain in the top surface layers of a carefully mechanically polished indentation sample, which, in the present work, is made of commercially pure titanium. Instrumented indentation tests and the corresponding finite element simulations were performed as well. For comparison, a reference sample (carefully mechanically polished & electrolytically polished) which represents the raw material was prepared and tested. Results showed that a careful mechanical polishing procedure can effectively reduce the level of residual stresses induced by this process. However, the high plastic strain in the surface region imposed by the polishing process is significant. The induced plastic strain can affect a depth up to 5 µm, which is deeper than the maximum penetration depth h{sub max} (3 µm) used for the instrumented indentation tests. In the near surface layer (in the range of depth about 350 nm), the plastic strain levels are fairly high. In the very top layer, the plastic strain was even estimated to reach more than 60%. The simultaneous use of indentation tests and numerical simulations showed that the existence of high plastic strain in the surface region will make the load vs depth (P–h) curve shift upwards, the contact hardness (H) increase and the contact stiffness (S) decrease.

  18. The effect of mechanical load cycling and polishing time on microleakage of class V glass-ionomer and composite restorations: A scanning electron microscopy evaluation

    Directory of Open Access Journals (Sweden)

    Mansoreh Mirzaie

    2014-01-01

    Full Text Available Background: Microleakage is one of the challenging concerns in direct filling restorations. Understanding of its related factors is important in clinical practice. The aim of this study was scanning electron microscopy (SEM evaluation of marginal integrity in three types of tooth-colored restorative materials in class V cavity preparations and the effect of load cycling and polishing time on the microleakage. Materials and Methods: In this in vitro experimental study, class V cavity preparations were prepared on the buccal and lingual surfaces of 60 bovine incisors. The specimens were divided into three groups each containing 20 teeth: group 1: Filtek Z350, Group 2: Fuji IX/G Coat Plus, Group 3: Fuji II LC/GC varnish. In each group, 2 subgroups (n = 20 were established based on finishing time (immediate or delayed by 24 h. All specimens were thermocycled (×2,000, 5-50°C. In each sub groups, half of the teeth were load cycled. Epoxy resin replicas of 24 specimens were evaluated under field emission-SEM and interfacial gaps were measured. All teeth were then immersed in 0.5% basic fuchsin dye for 24 h, sectioned and observed under stereomicroscope. Data were analyzed with Kruskal-Wallis′ test and Mann-Whitney U test and a comparison between incisal and cervical microleakage was made with Wilcoxon test. P < 0.05 was considered as significant. Results: Load cycling and filling material had a significant effect on microleakage, but polishing time did not. Cervical microleakage in Z350/load cycle/immediate polish and Fuji IX/load cycle/immediate or delayed polish and Fuji IX/no load cycle/immediate polish were significantly higher than incisal microleakage. Conclusion: It was concluded that the cervical sealing ability of Fuji IX under load cycling was better than Fuji II LC. Under load cycling and immediate polishing Z350 showed better marginal integrity than both Fuji II LC and Fuji IX. The immediate polishing didn′t cause a statistically

  19. Fabrication and Characterization of FeNiCr Matrix-TiC Composite for Polishing CVD Diamond Film

    Institute of Scientific and Technical Information of China (English)

    Zhuji Jin; Zewei Yuan; Renke Kang; Boxian Dong

    2009-01-01

    Dynamic friction polishing (DFP) is one of the most promising methods appropriate for polishing CVD diamond film with high efficiency and low cost.By this method CVD diamond film is polished through being simply pressed against a metal disc rotating at a high speed utilizing the thermochemical reaction occurring as a result of dynamic friction between them in the atmosphere.However, the relatively soft materials such as stainless steel, cast iron and nickel alloy widely used for polishing CVD diamond film are easy to wear and adhere to diamond film surface, which may further lead to low efficiency and poor polishing quality.In this paper, FeNiCr matrix-TiC composite used as grinding wheel for polishing CVD diamond film was obtained by combination of mechanical alloying (MA) and spark plasma sintering (SPS).The process of ball milling,composition, density, hardness, high-temperature oxidation resistance and wear resistance of the sintered piece were analyzed.The results show that TiC was introduced in MA-SPS process and had good combination with FeNiCr matrix and even distribution in the matrix.The density of composite can be improved by mechanical alloying.The FeNiCr matrix-TiC composite obtained at 1273 K was found to be superior to at 1173 K sintering in hardness, high-temperature oxidation resistance and wearability.These properties are more favorable than SUS304 for the preparation of high-performance grinding wheel for polishing CVD diamond film.

  20. Evaluation of environmental impacts during chemical mechanical polishing (CMP) for sustainable manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Hyun Seop; Park, Sun Joon; Jeong, Hae Do [Pusan National University, Busan (Korea, Republic of)

    2013-02-15

    Reducing energy consumption has become a critical issue in manufacturing. The semiconductor industry in particular is confronted with environmental regulations on pollution associated with electric energy, chemical, and ultrapure water (UPW) consumptions. This paper presents the results of an evaluation of the environmental impacts during chemical mechanical polishing (CMP), a key process for planarization of dielectrics and metal films in ultra-large-scale integrated circuits. The steps in the CMP process are idling, conditioning, wetting, wafer loading/unloading, head dropping, polishing, and rinsing. The electric energy, CMP slurry, and UPW consumptions associated with the process and their impacts on global warming are evaluated from an environmental standpoint. The estimates of electric energy, slurry, and UPW consumptions as well as the associated greenhouse gas emissions presented in this paper will provide a technical aid for reducing the environmental burden associated with electricity consumption during the CMP process.

  1. Metallographic preparation of Zr-2.5Nb pressure tube material for examination of inclusions

    International Nuclear Information System (INIS)

    Lockley, A.J.

    1994-11-01

    The traditional final polish of Zr-2.5Nb alloy comprises an attack polish that contains a 0.05 μm alumina or fly-ash slurry with dilute hydrofluoric acid. This polish preferentially etches the material adjacent to the inclusions and distorts or removes the inclusions. A final polish has been developed that uses a caustic alumina slurry to produce a chemical-mechanical polish that keeps the inclusions intact. This preparation is reproducible, suitable for automation, and retains smaller inclusions. (author). 2 refs., 5 figs

  2. Reduction of Residual Stresses in Sapphire Cover Glass Induced by Mechanical Polishing and Laser Chamfering Through Etching

    Directory of Open Access Journals (Sweden)

    Shih-Jeh Wu

    2016-10-01

    Full Text Available Sapphire is a hard and anti-scratch material commonly used as cover glass of mobile devices such as watches and mobile phones. A mechanical polishing using diamond slurry is usually necessary to create mirror surface. Additional chamfering at the edge is sometimes needed by mechanical grinding. These processes induce residual stresses and the mechanical strength of the sapphire work piece is impaired. In this study wet etching by phosphate acid process is applied to relief the induced stress in a 1” diameter sapphire cover glass. The sapphire is polished before the edge is chamfered by a picosecond laser. Residual stresses are measured by laser curvature method at different stages of machining. The results show that the wet etching process effectively relief the stress and the laser machining does not incur serious residual stress.

  3. Electrolytic polishing system for space age materials

    International Nuclear Information System (INIS)

    Coons, W.C.; Iosty, L.R.

    1976-01-01

    A simple electrolytic polishing technique was developed for preparing Cr, Co, Hf, Mo, Ni, Re, Ti, V, Zr, and their alloys for structural analysis on the optical microscope. The base electrolyte contains 5g ZnCl 2 and 15g AlCl 3 . 6H 2 O in 200 ml methyl alcohol, plus an amount of H 2 SO 4 depending on the metal being polished. Five etchants are listed

  4. Damage-free polishing of monocrystalline silicon wafers without chemical additives

    International Nuclear Information System (INIS)

    Biddut, A.Q.; Zhang, L.C.; Ali, Y.M.; Liu, Z.

    2008-01-01

    This investigation explores the possibility and identifies the mechanism of damage-free polishing of monocrystalline silicon without chemical additives. Using high resolution electron microscopy and contact mechanics, the study concludes that a damage-free polishing process without chemicals is feasible. All forms of damages, such as amorphous Si, dislocations and plane shifting, can be eliminated by avoiding the initiation of the β-tin phase of silicon during polishing. When using 50 nm abrasives, the nominal pressure to achieve damage-free polishing is 20 kPa

  5. Design of an ultraprecision computerized numerical control chemical mechanical polishing machine and its implementation

    Science.gov (United States)

    Zhang, Chupeng; Zhao, Huiying; Zhu, Xueliang; Zhao, Shijie; Jiang, Chunye

    2018-01-01

    The chemical mechanical polishing (CMP) is a key process during the machining route of plane optics. To improve the polishing efficiency and accuracy, a CMP model and machine tool were developed. Based on the Preston equation and the axial run-out error measurement results of the m circles on the tin plate, a CMP model that could simulate the material removal at any point on the workpiece was presented. An analysis of the model indicated that lower axial run-out error led to lower material removal but better polishing efficiency and accuracy. Based on this conclusion, the CMP machine was designed, and the ultraprecision gas hydrostatic guideway and rotary table as well as the Siemens 840Dsl numerical control system were incorporated in the CMP machine. To verify the design principles of machine, a series of detection and machining experiments were conducted. The LK-G5000 laser sensor was employed for detecting the straightness error of the gas hydrostatic guideway and the axial run-out error of the gas hydrostatic rotary table. A 300-mm-diameter optic was chosen for the surface profile machining experiments performed to determine the CMP efficiency and accuracy.

  6. Characterization of chemical interactions during chemical mechanical polishing (CMP) of copper

    Science.gov (United States)

    Lee, Seung-Mahn

    2003-10-01

    Chemical mechanical polishing (CMP) has received much attention as an unique technique to provide a wafer level planarization in semiconductor manufacturing. However, despite the extensive use of CMP, it still remains one of the least understood areas in semiconductor processing. The lack of the fundamental understanding is a significant barrier to further advancements in CMP technology. One critical aspect of metal CMP is the formation of a thin surface layer on the metal surface. The formation and removal of this layer controls all the aspects of the CMP process, including removal rate, surface finish, etc. In this dissertation, we focus on the characterization of the formation and removal of the thin surface layer on the copper surface. The formation dynamics was investigated using static and dynamic electrochemical techniques, including potentiodynamic scans and chronoamperometry. The results were validated using XPS measurements. The mechanical properties of the surface layer were investigated using nanoindentation measurements. The electrochemical investigation showed that the thickness of the surface layer is controlled by the chemicals such as an oxidizer (hydrogen peroxide), a corrosion inhibitor (benzotriazole), a complexing agent (citric acid), and their concentrations. The dynamic electrochemical measurements indicated that the initial layer formation kinetics is unaffected by the corrosion inhibitors. The passivation due to the corrosion inhibitor becomes important only on large time scales (>200 millisecond). The porosity and the density of the chemically modified surface layer can be affected by additives of other chemicals such as citric acid. An optimum density of the surface layer is required for high polishing rate while at the same time maintaining a high degree of surface finish. Nanoindentation measurements indicated that the mechanical properties of the surface layer are strongly dependent on the chemical additives in the slurry. The CMP

  7. Effects of catalyst concentration and ultraviolet intensity on chemical mechanical polishing of GaN

    Science.gov (United States)

    Wang, Jie; Wang, Tongqing; Pan, Guoshun; Lu, Xinchun

    2016-08-01

    Effects of catalyst concentration and ultraviolet intensity on chemical mechanical polishing (CMP) of GaN were deeply investigated in this paper. Working as an ideal homogeneous substrate material in LED industry, GaN ought to be equipped with a smooth and flat surface. Taking the strong chemical stability of GaN into account, photocatalytic oxidation technology was adopted in GaN CMP process to realize efficient removal. It was found that, because of the improved reaction rate of photocatalytic oxidation, GaN material removal rate (MRR) increases by a certain extent with catalyst concentration increasing. Cross single line analysis on the surface after polishing by Phase Shift MicroXAM-3D was carried out to prove the better removal effect with higher catalyst concentration. Ultraviolet intensity field in H2O2-SiO2-based polishing system was established and simulated, revealing the variation trend of ultraviolet intensity around the outlet of the slurry. It could be concluded that, owing to the higher planarization efficiency and lower energy damage, the UV lamp of 125 W is the most appropriate lamp in this system. Based on the analysis, defects removal model of this work was proposed to describe the effects of higher catalyst concentration and higher power of UV lamp.

  8. Zerodur polishing process for high surface quality and high efficiency

    International Nuclear Information System (INIS)

    Tesar, A.; Fuchs, B.

    1992-08-01

    Zerodur is a glass-ceramic composite importance in applications where temperature instabilities influence optical and mechanical performance, such as in earthbound and spaceborne telescope mirror substrates. Polished Zerodur surfaces of high quality have been required for laser gyro mirrors. Polished surface quality of substrates affects performance of high reflection coatings. Thus, the interest in improving Zerodur polished surface quality has become more general. Beyond eliminating subsurface damage, high quality surfaces are produced by reducing the amount of hydrated material redeposited on the surface during polishing. With the proper control of polishing parameters, such surfaces exhibit roughnesses of < l Angstrom rms. Zerodur polishing was studied to recommend a high surface quality polishing process which could be easily adapted to standard planetary continuous polishing machines and spindles. This summary contains information on a polishing process developed at LLNL which reproducibly provides high quality polished Zerodur surfaces at very high polishing efficiencies

  9. Composite adaptive control of belt polishing force for aero-engine blade

    Science.gov (United States)

    Zhsao, Pengbing; Shi, Yaoyao

    2013-09-01

    The existing methods for blade polishing mainly focus on robot polishing and manual grinding. Due to the difficulty in high-precision control of the polishing force, the blade surface precision is very low in robot polishing, in particular, quality of the inlet and exhaust edges can not satisfy the processing requirements. Manual grinding has low efficiency, high labor intensity and unstable processing quality, moreover, the polished surface is vulnerable to burn, and the surface precision and integrity are difficult to ensure. In order to further improve the profile accuracy and surface quality, a pneumatic flexible polishing force-exerting mechanism is designed and a dual-mode switching composite adaptive control(DSCAC) strategy is proposed, which combines Bang-Bang control and model reference adaptive control based on fuzzy neural network(MRACFNN) together. By the mode decision-making mechanism, Bang-Bang control is used to track the control command signal quickly when the actual polishing force is far away from the target value, and MRACFNN is utilized in smaller error ranges to improve the system robustness and control precision. Based on the mathematical model of the force-exerting mechanism, simulation analysis is implemented on DSCAC. Simulation results show that the output polishing force can better track the given signal. Finally, the blade polishing experiments are carried out on the designed polishing equipment. Experimental results show that DSCAC can effectively mitigate the influence of gas compressibility, valve dead-time effect, valve nonlinear flow, cylinder friction, measurement noise and other interference on the control precision of polishing force, which has high control precision, strong robustness, strong anti-interference ability and other advantages compared with MRACFNN. The proposed research achieves high-precision control of the polishing force, effectively improves the blade machining precision and surface consistency, and

  10. The influence of polishing techniques on pre-polymerized CAD\\CAM acrylic resin denture bases.

    Science.gov (United States)

    Alammari, Manal Rahma

    2017-10-01

    Lately, computer-aided design and computer-aided manufacturing (CAD/CAM) has broadly been successfully employed in dentistry. The CAD/CAM systems have recently become commercially available for fabrication of complete dentures, and are considered as an alternative technique to conventionally processed acrylic resin bases. However, they have not yet been fully investigated. The purpose of this study was to inspect the effects of mechanical polishing and chemical polishing on the surface roughness (Ra) and contact angle (wettability) of heat-cured, auto-cured and CAD/CAM denture base acrylic resins. This study was conducted at the Advanced Dental Research Laboratory Center of King Abdulaziz University from March to June 2017. Three denture base materials were selected: heat cure poly-methylmethacrylate resin, thermoplastic (polyamide resin) and (CAD\\CAM) denture base resin. Sixty specimens were prepared and divided into three groups, twenty in each. Each group was divided according to the polishing techniques into (Mech P) and (Chem P), ten specimens in each; surface roughness and wettability were investigated. Data were analyzed by SPSS version 22, using one-way ANOVA and Pearson coefficient. One-way analysis of variance (ANOVA) and post hoc tests were used for comparing the surface roughness values between three groups which revealed a statistical significant difference between them (p 1 CAD\\CAM denture base material (group III) showed the least mean values (1.08±0.23, 1.39±0.31, Mech P and Chem P respectively). CAD/CAM showed the least contact angle in both polishing methods, which were statistically significant at 5% level (p=0.034 and pCAD\\CAM denture base resin with superior smooth surface compared to chemical polishing. Mechanical polishing is considered the best effective polishing technique. CAD/CAM denture base material should be considered as the material of choice for complete denture construction in the near future, especially for older dental

  11. Preparation and preliminary of two new Polish CRMs for inorganic trace analysis

    International Nuclear Information System (INIS)

    Dybczynski, R.; Danko, B.; Kulisa, K.; Maleszewska, E.; Polkowska-Motrenko, H.; Samczynski, Z.; Szopa, Z.

    2004-01-01

    Preparation and characterization of two new reference materials of biological origin, namely: Tea Leaves (INCT-TL-1) and Mixed Polish Herbs (INCT-MPH-2) is described. The raw materials were ground in an agate ball mill, sieved through a nylon sieve, collecting fraction of particle size: diameter ≤67 μm, and carefully homogenized. Preliminary homogeneity testing by XRF method and final checking of homogeneity by NAA after distribution of the materials into containers revealed that they are sufficiently homogeneous at least for sample size ≥100 mg. Both materials were prepared in amounts exceeding 40 kg and certified on the basis of a worldwide interlaboratory comparison, in which 109 laboratories from 19 countries participated. The method of data evaluation leading to assignment of certified values was essentially the same as that used previously in this Laboratory, but supplemented by additional data from the analysis of a CRM which was sent to the participants and analyzed by them along with the candidate reference materials. In addition the results for a few elements by very accurate developed methods in this Laboratory were obtained and used to support the certification process. Analytical uncertainties and stability uncertainties were quantified to arrive at combined uncertainties of the certified values. So far 18 elements in INCT-TL-1 and 21 in INCT-MPH-2 could be certified. (author)

  12. Reel-to-reel substrate tape polishing system

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, Venkat; Gardner, Michael T.; Judd, Raymond D.; Weloth, Martin; Qiao, Yunfei

    2005-06-21

    Disclosed is a reel-to-reel single-pass mechanical polishing system (100) suitable for polishing long lengths of metal substrate tape (124) used in the manufacture of high-temperature superconductor (HTS) coated tape, including multiple instantiations of a polishing station (114) in combination with a subsequent rinsing station (116) arranged along the axis of the metal substrate tape (124) that is translating between a payout spool (110a) and a take-up spool (110b). The metal substrate tape obtains a surface smoothness that is suitable for the subsequent deposition of a buffer layer.

  13. Effects of different polishing techniques on the surface roughness of dental porcelains

    Directory of Open Access Journals (Sweden)

    Işil Sarikaya

    2010-02-01

    Full Text Available OBJECTIVE: The purpose of this study was to evaluate the effects of different polishing techniques on the surface roughness of dental porcelains. MATERIAL AND METHODS: Fifty-five cylindirical specimens (15x2 mm were prepared for each feldspathic (Vita VMK 95, Ceramco III and low-fusing dental porcelain (Matchmaker. Fifty-five specimens of machinable feldspathic porcelain blocks (Vitablocs Mark II, (12x14x18 mm were cut into 2-mm-thick slices (12x14 mm with low speed saw. The prepared specimens were divided into 11 groups (n=5 representing different polishing techniques including control ((C no surface treatment, glaze (G and other 9 groups that were finished and polished with polishing discs (Sof-Lex (Sl, two porcelain polishing kits (NTI (Pk, Dialite II (Di, a diamond polishing paste (Sparkle (Sp, a zirconium silicate based cleaning and polishing prophy paste (Zircate (Zr, an aluminum oxide polishing paste (Prisma Gloss (Pg, and combinations of them. The surface roughness of all groups was measured with a profilometer. The data were analyzed with a 2-way analysis of variance, and the mean values were compared by the Tukey Honestly Significant Difference test (a=0.05. RESULTS: For all porcelain material groups, the lowest Ra values were observed in Group Gl, Group Sl, Group Pk, and Group Di, which were not significantly different from each other (p>0.05.When comparing the 4 different porcelain materials, the machinable feldspathic porcelain block group (Mark II demonstrated statistically significantly less Ra values than the other porcelain materials tested (p<0.05. No significant difference was observed between the VMK 95 and Ceramco III porcelain groups (p=0.919, also these groups demonstrated the highest Ra values. CONCLUSION: Subjected to surface roughness, the surfaces obtained with polishing and/or cleaning-prophy paste materials used alone were rougher compared to the surfaces finished using Sof-lex, Dialite, and NTI polishing kit

  14. Passivation of mechanically polished, chemically etched and anodized zirconium in various aqueous solutions: Impedance measurements

    International Nuclear Information System (INIS)

    Abo-Elenien, G.M.; Abdel-Salam, O.E.

    1987-01-01

    Zirconium and its alloys are finding increasing applications especially in water-cooled nuclear reactors. Because of the fact that zirconium is electronegative (E 0 = -1.529V) its corrosion resistance in aqueous solutions is largely determined by the existence of a thin oxide film on its surface. The structure and properties of this film depend in the first place on the method of surface pre-treatment. This paper presents an experimental study of the nature of the oxide film on mechanically polished, chemically etched and anodized zirconium. Ac impedance measurements carried out in various acidic, neutral and alkaline solutions show that the film thickness depends on the method of surface pre-treatment and the type of electrolyte solution. The variation of the potential and impedance during anodization of zirconium at low current density indicates that the initial stages of polarization consist of oxide build-up at a rate dependent on the nature of the electrode surface and the electrolyte. Oxygen evolution commences at a stage where oxide thickening starts to decline. The effect of frequency on the measured impedance indicates that the surface reactivity, and hence the corrosion rate, decreases in the following order: mechanically polished > chemically etched > anodized

  15. Analysis and optimization of surface profile correcting mechanism of the pitch lap in large-aperture annular polishing

    Science.gov (United States)

    Zhang, Huifang; Yang, Minghong; Xu, Xueke; Wu, Lunzhe; Yang, Weiguang; Shao, Jianda

    2017-10-01

    The surface figure control of the conventional annular polishing system is realized ordinarily by the interaction between the conditioner and the lap. The surface profile of the pitch lap corrected by the marble conditioner has been measured and analyzed as a function of kinematics, loading conditions, and polishing time. The surface profile measuring equipment of the large lap based on laser alignment was developed with the accuracy of about 1μm. The conditioning mechanism of the conditioner is simply determined by the kinematics and fully fitting principle, but the unexpected surface profile deviation of the lap emerged frequently due to numerous influencing factors including the geometrical relationship, the pressure distribution at the conditioner/lap interface. Both factors are quantitatively evaluated and described, and have been combined to develop a spatial and temporal model to simulate the surface profile evolution of pitch lap. The simulations are consistent with the experiments. This study is an important step toward deterministic full-aperture annular polishing, providing a beneficial guidance for the surface profile correction of the pitch lap.

  16. STUDY OF POLISHING AISI 316L WITH STRUCTURED ABRASIVE

    Directory of Open Access Journals (Sweden)

    François GOOSSENS

    2015-05-01

    Full Text Available Finishing process like polishing is usually used to obtain high quality mechanical surface characteristics such as texture and roughness. These operations are mainly handmade and need highly trained operators thus limiting their repeatability and profitability. To optimize the industrialization of the polishing process, it is therefore necessary to modelize the process to built efficient parameter database. The aim of this study is to characterise the polishing of 316L stainless steel with structured abrasive belts. The geometric data of the belts are given, and we then propose a model to determine material removal. An experimental test bench is set up to test this model and characterise the polishing process in terms of forces. It produces samples for different polishing conditions. The different polished surfaces are then analyzed thanks to the roughness and the wettability. Using experimental designs, we are able to validate the proposed model and identify the parameters that influence a polishing operation.

  17. Initial polishing time affects gloss retention in resin composites.

    Science.gov (United States)

    Waheeb, Nehal; Silikas, Nick; Watts, David

    2012-10-01

    To determine the effect of finishing and polishing time on the surface gloss of various resin-composites before and after simulated toothbrushing. Eight representative resin-composites (Ceram X mono, Ceram X duo, Tetric EvoCeram, Venus Diamond, EsteliteSigma Quick, Esthet.X HD, Filtek Supreme XT and Spectrum TPH) were used to prepare 80 disc-shaped (12 mm x 2 mm) specimens. The two step system Venus Supra was used for polishing the specimens for 3 minutes (Group A) and 10 minutes (Group B). All specimens were subjected to 16,000 cycles of simulated toothbrushing. The surface gloss was measured after polishing and after brushing using the gloss meter. Results were evaluated using one way ANOVA, two ways ANOVA and Dennett's post hoc test (P = 0.05). Group B (10-minute polishing) resulted in higher gloss values (GV) for all specimens compared to Group A (3 minutes). Also Group B showed better gloss retention compared to Group A after simulated toothbrushing. In each group, there was a significant difference between the polished composite resins (P gloss after the simulated toothbrushing.

  18. Polish-Bulgarian-Russian, Bulgarian-Polish-Russian or Russian-Bulgarian-Polish dictionary?

    Directory of Open Access Journals (Sweden)

    Violetta Koseska-Toszewa

    2015-11-01

    Full Text Available Polish-Bulgarian-Russian, Bulgarian-Polish-Russian or Russian-Bulgarian-Polish dictionary? The trilingual dictionary (M. Duszkin, V. Koseska, J. Satoła and A. Tzoneva is being elaborated based on a working Polish-Bulgarian-Russian electronic parallel corpus authored by Maksim Duszkin, Violetta Koseska-Toszewa and Joanna Satoła-Staśkowiak, and works by A. Tzoneva. It is the first corpus comparing languages belonging to three different Slavic language groups: western, southern and eastern. Works on the dictionary are based on Gramatyka konfrontatywna bułgarsko-polska (Bulgarian-Polish confrontative grammar and the proposed there semantic-oriented interlanguage. Two types of classifiers have been introduced into the dictionary: classic and semantic. The trilingual dictionary will present a consistent and homogeneous set of facts of grammar and semantics. The Authors point out that in a traditional dictionary it is not clear for example whether aspect should be understood as imperfective / perfective form of a verb or as its meaning. Therefore in the dictionary forms and meaning are separated in a regular way. Imperfective verb form has two meanings: state and configuration of states and events culminating in state. Also perfective verb form has two meanings: event and configuration of states and events culminating in event. These meanings are described by the semantic classifiers, respectively, state and event, state1 and event1. The way of describing language units, mentioned in the article, gives a possibility to present language material (Polish, Bulgarian, Russian in any required order, hence the article’s title.

  19. Polish students at the Académie Julian until 1919

    Directory of Open Access Journals (Sweden)

    Zgórniak, Marek

    2012-08-01

    Full Text Available The subject of the article is the presence of Polish students in the most important private artistic school in Paris in the second half of the 19thcentury. The extant records regarding the atelier for male students made it possible to compile a list of about 165 Polish painters and sculptors studying there in the period from 1880 to 1919. The text presents the criteria used when preparing the list and the diagrams show the fluctuations in registration and the number of Polish artists in particular ateliers in successive years. The observations contained in the article have a summary nature and are illustrated only with selected examples.

  20. Chemical mechanical polishing of BTO thin film for vertical sidewall patterning of high-density memory capacitor

    International Nuclear Information System (INIS)

    Kim, Nam-Hoon; Ko, Pil-Ju; Seo, Yong-Jin; Lee, Woo-Sun

    2006-01-01

    Most high-k materials cannot to be etched easily. Problems such as low etch rate, poor sidewall angle, plasma damage, and process complexity have emerged in high-density DRAM fabrication. Chemical mechanical polishing (CMP) by the damascene process has been used to pattern high-k materials for high-density capacitor. Barium titanate (BTO) thin film, a typical high-k material, was polished with three types of silica slurry having different pH values. Sufficient removal rate with adequate selectivity to realize the pattern mask of tetra-ethyl ortho-silicate (TEOS) film for the vertical sidewall angle was obtained. The changes of X-ray diffraction pattern and dielectric constant by CMP process were negligible. Planarization was also achieved for the subsequent multilevel processes. Our new CMP approach will provide a guideline for effective patterning of high-k materials by CMP

  1. Effects of air-polishing powders on color stability of composite resins.

    Science.gov (United States)

    Güler, Ahmet Umut; Duran, Ibrahim; Yücel, Ali Çağin; Ozkan, Pelin

    2011-10-01

    The purpose of this study was to investigate the effect of different air-polishing powders on the color stability of different types of composite resin restorative materials. Thirty cylindrical specimens (15×2 mm) were prepared for each of 7 composite resin restorative materials. All specimens were polished with a series of aluminum oxide polishing discs (Sof-Lex). The prepared specimens of each composite resin were randomly divided into 3 groups of 10 specimens each, for control (Group-C) and two air-powder applications (Group-CP: Cavitron Prophy-Jet; Group-PS: Sirona ProSmile prophylaxis powder). A standard air-polishing unit (ProSmile Handly) was used. All specimens were air-powdered for 10 s at 4-bar pressure. The distance of the spray nosel from the specimens was approximately 10 mm and angulation of the nosel was 90°. Specimens were stored in 100 mL of coffee (Nescafe Classic) for 24 h at 37°C. Color measurement of all specimens was recorded before and after exposure to staining agent with a colorimeter (Minolta CR-300). Color differences (∆E*) between the 2 color measurements (baseline and after 24 h storage) were calculated. The data were analyzed with a 2-way ANOVA test, and mean values were compared by the Tukey HSD test (p.05) and these groups demonstrated the highest ∆E* values. For Filtek Silorane and IntenS, the highest ∆E* values were observed in Group-PS. The lowest ∆E* values for all composite resin groups were observed in Group-C. When comparing the 7 composite resin restorative materials, Aelite Aesthetic Enemal demonstrated significantly less ∆E* values than the other composite resins tested. The highest ∆E* values were observed in Quixfil. Except for Quixfil, all control groups of composite resins that were polished Sof-Lex exhibited clinically acceptable ∆E values (<3.7). Air-polishing applications increased the color change for all composite resin restorative materials tested. Composite restorations may require re-polishing

  2. Effects of air-polishing powders on color stability of composite resins

    Directory of Open Access Journals (Sweden)

    Ahmet Umut Güler

    2011-10-01

    Full Text Available OBJECTIVES: The purpose of this study was to investigate the effect of different air-polishing powders on the color stability of different types of composite resin restorative materials. MATERIAL AND METHODS: Thirty cylindrical specimens (15×2 mm were prepared for each of 7 composite resin restorative materials. All specimens were polished with a series of aluminum oxide polishing discs (Sof-Lex. The prepared specimens of each composite resin were randomly divided into 3 groups of 10 specimens each, for control (Group-C and two air-powder applications (Group-CP: Cavitron Prophy-Jet; Group-PS: Sirona ProSmile prophylaxis powder. A standard air-polishing unit (ProSmile Handly was used. All specimens were air-powdered for 10 s at 4-bar pressure. The distance of the spray nosel from the specimens was approximately 10 mm and angulation of the nosel was 90°. Specimens were stored in 100 mL of coffee (Nescafe Classic for 24 h at 37°C. Color measurement of all specimens was recorded before and after exposure to staining agent with a colorimeter (Minolta CR-300. Color differences (∆E* between the 2 color measurements (baseline and after 24 h storage were calculated. The data were analyzed with a 2-way ANOVA test, and mean values were compared by the Tukey HSD test (p.05 and these groups demonstrated the highest ∆E* values. For Filtek Silorane and IntenS, the highest ∆E* values were observed in Group-PS. The lowest ∆E* values for all composite resin groups were observed in Group-C. When comparing the 7 composite resin restorative materials, Aelite Aesthetic Enemal demonstrated significantly less ∆E* values than the other composite resins tested. The highest ∆E* values were observed in Quixfil. CONCLUSION: Except for Quixfil, all control groups of composite resins that were polished Sof-Lex exhibited clinically acceptable ∆E values (<3.7. Air-polishing applications increased the color change for all composite resin restorative materials

  3. Effects of polishing procedures on color stability of composite resins

    Directory of Open Access Journals (Sweden)

    Ahmet Umut Güler

    2009-04-01

    Full Text Available The purpose of this study was to investigate the effect of different polishing methods on color stability of posterior, universal and nanohybrid composite resin restorative materials upon exposure to a staining agent. Twenty-five specimens were prepared for each of 5 different composite resins (Filtek Z250, Filtek P60, Quadrant LC, Grandio and Filtek Supreme. Specimens were divided into 5 groups and different polishing procedures, including polishing discs (Pd, polishing discs then diamond polishing paste (PdP, polishing discs then a liquid polishing system (Biscover (PdB, and combinations of these (PdPB were used. Unpolished specimens served as the control (C. The specimens were stored for 48 h in a coffee solution. The color of all specimens was measured before and after exposure with a colorimeter, and total color change (DE* were calculated. The data were analyzed with a two-way ANOVA and the means were compared by Tukey HSD test (a=0.05. The lowest color difference was observed in the groups PdP and C, while the highest color difference was observed in PdPB, and PdB. When comparing the five different restorative materials, no significant difference was observed between FiltekP60 and FiltekZ250, and these materials demonstrated significantly less color change than Quadrant LC and the nanohybrid materials (Grandio, Filtek Supreme. The posterior (Filtek P60 and universal (Filtek Z250 composite resin restorative materials, which do not contain tetraethyleneglycol dimethacrylate (TEGDMA, were found to be less stainable than the nanohybrid (Grandio, Filtek Supreme and universal (Quadrant LC composite resins, which contain TEGDMA. The use of diamond polishing paste after polishing with polishing discs significantly decreased staining when compared to the groups that used polishing discs alone, for all restorative materials tested. The highest color change values were obtained for the specimens that were polished with the Biscover liquid polish

  4. Novel cavitation fluid jet polishing process based on negative pressure effects.

    Science.gov (United States)

    Chen, Fengjun; Wang, Hui; Tang, Yu; Yin, Shaohui; Huang, Shuai; Zhang, Guanghua

    2018-04-01

    Traditional abrasive fluid jet polishing (FJP) is limited by its high-pressure equipment, unstable material removal rate, and applicability to ultra-smooth surfaces because of the evident air turbulence, fluid expansion, and a large polishing spot in high-pressure FJP. This paper presents a novel cavitation fluid jet polishing (CFJP) method and process based on FJP technology. It can implement high-efficiency polishing on small-scale surfaces in a low-pressure environment. CFJP uses the purposely designed polishing equipment with a sealed chamber, which can generate a cavitation effect in negative pressure environment. Moreover, the collapse of cavitation bubbles can spray out a high-energy microjet and shock wave to enhance the material removal. Its feasibility is verified through researching the flow behavior and the cavitation results of the negative pressure cavitation machining of pure water in reversing suction flow. The mechanism is analyzed through a computational fluid dynamics simulation. Thus, its cavitation and surface removal mechanisms in the vertical CFJP and inclined CFJP are studied. A series of polishing experiments on different materials and polishing parameters are conducted to validate its polishing performance compared with FJP. The maximum removal depth increases, and surface roughness gradually decreases with increasing negative outlet pressures. The surface becomes smooth with the increase of polishing time. The experimental results confirm that the CFJP process can realize a high material removal rate and smooth surface with low energy consumption in the low-pressure environment, together with compatible surface roughness to FJP. Copyright © 2017 Elsevier B.V. All rights reserved.

  5. Tribological approach to study polishing of road surface under traffic

    OpenAIRE

    KANE, Malal; DO, Minh Tan

    2007-01-01

    The polishing phenomenon of road pavements under the vehicle traffic constitutes the main mechanism inherent to the loss of skid resistance over time. A better understanding of this phenomenon would allow an improvement of road safety. This study comprises a review of laboratory test and a model simulating the polishing of road surfaces. The laboratory test uses a polishing machine so called 'Wehner-Schulze' which can reproduce the evolution of the road texture from specimens taken directly f...

  6. Influence of Wafer Edge Geometry on Removal Rate Profile in Chemical Mechanical Polishing: Wafer Edge Roll-Off and Notch

    Science.gov (United States)

    Fukuda, Akira; Fukuda, Tetsuo; Fukunaga, Akira; Tsujimura, Manabu

    2012-05-01

    In the chemical mechanical polishing (CMP) process, uniform polishing up to near the wafer edge is essential to reduce edge exclusion and improve yield. In this study, we examine the influences of inherent wafer edge geometries, i.e., wafer edge roll-off and notch, on the CMP removal rate profile. We clarify the areas in which the removal rate profile is affected by the wafer edge roll-off and the notch, as well as the intensity of their effects on the removal rate profile. In addition, we propose the use of a small notch to reduce the influence of the wafer notch and present the results of an examination by finite element method (FEM) analysis.

  7. Preparation and certification of the Polish reference material Virginia Tobacco Leaves (CTA-VTL-2) for inorganic trace analysis including microanalysis

    International Nuclear Information System (INIS)

    Dybczynski, R.; Polkowska-Motrenko, H.; Samczynski, Z.; Szopa, Z.

    1997-01-01

    A new Polish certified reference material Virginia Tobacco Leaves (CTA-VTL-2) for inorganic trace analysis including microanalysis has been prepared. Certification of the candidate reference material was based on the world-wide interlaboratory comparison in which 60 laboratories from 18 countries, participated using various analytical methods and techniques. Data evaluation performed by means of the new multifunctional software package -SSQC. Recommended values were assigned for 33 and 'information' values for 10 elements, respectively. The validity of 'certified' values was confirmed for several elements using 'very accurate' methods developed in this Laboratory. (author)

  8. Synchrotron/crystal sample preparation

    Science.gov (United States)

    Johnson, R. Barry

    1993-01-01

    The Center for Applied Optics (CAO) of the University of Alabama in Huntsville (UAH) prepared this final report entitled 'Synchrotron/Crystal Sample Preparation' in completion of contract NAS8-38609, Delivery Order No. 53. Hughes Danbury Optical Systems (HDOS) is manufacturing the Advanced X-ray Astrophysics Facility (AXAF) mirrors. These thin-walled, grazing incidence, Wolter Type-1 mirrors, varying in diameter from 1.2 to 0.68 meters, must be ground and polished using state-of-the-art techniques in order to prevent undue stress due to damage or the presence of crystals and inclusions. The effect of crystals on the polishing and grinding process must also be understood. This involves coating special samples of Zerodur and measuring the reflectivity of the coatings in a synchrotron system. In order to gain the understanding needed on the effect of the Zerodur crystals by the grinding and polishing process, UAH prepared glass samples by cutting, grinding, etching, and polishing as required to meet specifications for witness bars for synchrotron measurements and for investigations of crystals embedded in Zerodur. UAH then characterized these samples for subsurface damage and surface roughness and figure.

  9. Surface geometry of three packable and one hybrid composite after polishing.

    Science.gov (United States)

    Jung, Martin; Bruegger, Hilka; Klimek, Joachim

    2003-01-01

    This study evaluated the surface quality of four composite materials after polishing with six different polishing techniques. Eighty specimens were made using three packable composites (Definite/Degussa, SureFil/ Dentsply and Solitaire/Heraeus-Kulzer) and one hybrid composite (Herculite XRV/Kerr). Five specimens of each material were polished using flexible Sof-Lex discs. The remaining 75 specimens of each composite were prepared using three finishing protocols: a single 30 microm diamond (n = 25), two finishing diamonds (30/20 microm; n = 25) and a 30 microm diamond followed by a tungsten carbide finishing bur (n = 25). Final polishing of each of the three finishing groups was accomplished with SuperBuff, Diafix-oral, OneGloss, Astropol and HaWe Composite Polishers (n = 5, each). Surface roughness was evaluated quantitatively by laser-stylus profilometry. Average roughness (R(a)) was calculated; statistical analysis of the data was performed with two-way ANOVA and Scheffé post-hoc tests. The polished surfaces were examined qualitatively by SEM. The results showed significant effects on surface roughness from the different composites (p = 0.011) and polishing systems (p < 0.001). After polishing, the Solitaire surfaces (R(a) = 0.72 microm) were smoother than Definite (R(a) = 0.87 microm) and SureFil (R(a) = 0.89 microm) and significantly smoother than Herculite (R(a) = 0.92 microm; p = 0.011). Three of the polishing methods (SuperBuff, Diafix-oral and Astropol) achieved lower R(a)-values than Sof-Lex discs. The polishing quality of the one-step systems SuperBuff and Diafix-oral was strongly affected by the initial finishing protocol.

  10. Evaluation of the effect of polishing on flexural strength of feldspathic porcelain and its comparison with autoglazing and over glazing

    Directory of Open Access Journals (Sweden)

    Jalali H.

    2005-06-01

    Full Text Available Statement of Problem: Ceramic restorations are popular because they can provide the most natural replacement for teeth. However, the brittleness of ceramics is a primary disadvantage. There are various methods for strengthening ceramics such as metal framework, ceramic cores, and surface strengthening mechanisms through glazing, work hardening and ion exchange. Purpose: The purpose of this study was to evaluate the effect of polish on flexural strength of feldspathic porcelain and to compare it with overglaze and autoglaze. Materials and Methods: In this experimental study, one brand of feldspathic porcelain (colorlogic, Ceramco was used and forty bars (25×6×3 mm were prepared according to ISO 6872 and ADA No. 69. The specimens were randomly divided into four groups: overglazed, auto glazed, fine polish and coarse polish (clinic polish. Flexural strength of each specimen was determined by three point bending test (Universal Testing Machine, Zwick 1494, Germany. Collected data was analyzed by ANOVA and post-hoc test with P<0.05 as the limit of significance. Results: A significant difference was observed among the studied groups (P<0.0001. According to post-hoc test, flexural strength in overglaze and fine polish group were significantly stronger than clinic polish and autoglaze group (P<0.001. Although the mean value for overglazed group was higher than fine polish group, this was not statistically significant (P=0.9. Also no statistical difference was seen between autoglazed and coarse polish group (P=0.2. Conclusion: Based on the findings of this study, flexural strength achieved by fine polish (used in this study can compete with overglazing the feldespathic porcelains. It also can be concluded that a final finishing procedure that involves fine polishing may be preferred to simple staining followed by self-glazing.

  11. 1.06 μm 150 psec laser damage study of diamond turned, diamond turned/polished and polished metal mirrors

    International Nuclear Information System (INIS)

    Saito, T.T.; Milam, D.; Baker, P.; Murphy, G.

    1975-01-01

    Using a well characterized 1.06 μm 150 ps glass laser pulse the damage characteristics for diamond turned, diamond turned/ polished, and polished copper and silver mirrors less than 5 cm diameter were studied. Although most samples were tested with a normal angle of incidence, some were tested at 45 0 with different linear polarization showing an increase in damage threshold for S polarization. Different damage mechanisms observed will be discussed. Laser damage is related to residual surface influences of the fabrication process. First attempts to polish diamond turned surfaces resulted in a significant decrease in laser damage threshold. The importance of including the heat of fusion in the one dimensional heat analysis of the theoretical damage threshold and how close the samples came to the theoretical damage threshold is discussed. (auth)

  12. Wear characteristics of polished and glazed lithium disilicate ceramics opposed to three ceramic materials.

    Science.gov (United States)

    Saiki, Osamu; Koizumi, Hiroyasu; Akazawa, Nobutaka; Kodaira, Akihisa; Okamura, Kentaro; Matsumura, Hideo

    2016-01-01

    This study compared the wear characteristics of a heat-pressed lithium disilicate ceramic material opposed to feldspathic porcelain, a lithium disilicate glass ceramic, and zirconia materials. Ceramic plate specimens were prepared from feldspathic porcelain (EX-3 nA1B), lithium disilicate glass ceramics (e.max CAD MO1/C14), and zirconia (Katana KT 10) and then ground or polished. Rounded rod specimens were fabricated from heat-pressed lithium disilicate glass ceramic (e.max press LT A3) and then glazed or polished. A sliding wear testing apparatus was used for wear testing. Wear of glazed rods was greater than that of polished rods when they were abraded with ground zirconia, ground porcelain, polished porcelain, or polished lithium disilicate ceramics. For both glazed and polished rods, wear was greater when the rods were abraded with ground plates. The findings indicate that application of a polished surface rather than a glazed surface is recommended for single restorations made of heat-pressed lithium disilicate material. In addition, care must be taken when polishing opposing materials, especially those used in occlusal contact areas. (J Oral Sci 58, 117-123, 2016).

  13. Electrochemical Polishing Applications and EIS of a Vitamin B4-Based Ionic Liquid

    International Nuclear Information System (INIS)

    Wixtrom, Alex I.; Buhler, Jessica E.; Reece, Charles E.; Abdel-Fattah, Tarek M.

    2013-01-01

    Modern particle accelerators require minimal interior surface roughness for Niobium superconducting radio frequency (SRF) cavities. Polishing of the Nb is currently achieved via electrochemical polishing with concentrated mixtures of sulfuric and hydrofluoric acids. This acid-based approach is effective at reducing the surface roughness to acceptable levels for SRF use, but due to acid-related hazards and extra costs (including safe disposal of used polishing solutions), an acid-free method would be preferable. This study focuses on an alternative electrochemical polishing method for Nb, using a novel ionic liquid solution containing choline chloride, also known as Vitamin B 4 (VB 4 ). Potentiostatic electrochemical impedance spectroscopy (EIS) was also performed on the VB4-based system. Nb polished using the VB4-based method was found to have a final surface roughness comparable to that achieved via the acid-based method, as assessed by atomic force microscopy (AFM). These findings indicate that acid-free VB 4 -based electrochemical polishing of Nb represents a promising replacement for acid-based methods of SRF cavity preparation

  14. Preparation and certification of the Polish reference material Virginia Tobacco Leaves (CTA-VTL-2) for inorganic trace analysis including microanalysis

    Energy Technology Data Exchange (ETDEWEB)

    Dybczynski, R.; Polkowska-Motrenko, H.; Samczynski, Z.; Szopa, Z.

    1997-12-31

    A new Polish certified reference material Virginia Tobacco Leaves (CTA-VTL-2) for inorganic trace analysis including microanalysis has been prepared. Certification of the candidate reference material was based on the world-wide interlaboratory comparison in which 60 laboratories from 18 countries, participated using various analytical methods and techniques. Data evaluation performed by means of the new multifunctional software package -SSQC. Recommended values were assigned for 33 and `information` values for 10 elements, respectively. The validity of `certified` values was confirmed for several elements using `very accurate` methods developed in this Laboratory. (author). 47 refs, 28 figs, 12 tabs.

  15. Gloss and surface roughness produced by polishing kits on resin composites.

    Science.gov (United States)

    Sadidzadeh, Ramtin; Cakir, Deniz; Ramp, Lance C; Burgess, John O

    2010-08-01

    To compare in vitro the surface roughness (Ra) and gloss (G) produced by three conventional and one experimental polishing kits on four resin composites. 24 discs were prepared (d = 12 mm, t = 4 mm) for each resin composite: Filtek Supreme Plus Body/A2 (FSB), Yellow Translucent (FST), Heliomolar/A2 (HM), and EsthetX/A2 (EX) following the manufacturers' instructions. They were finished with 320 grit silicon carbide paper for 80 seconds each. Polishing systems: Sof-Lex, Enhance-Pogo, Astropol and Experimental Discs/EXL-695, were applied following manufacturers' instructions. Each specimen was ultrasonically cleaned with distilled water and dried. Gloss and Ra were measured with a small area glossmeter (Novo-curve) and non-contact profilometer (Proscan 2000) following ISO 4288, respectively. The results were evaluated by two-way ANOVA followed by separate one-way ANOVA and Tukey/Kramer test (P = 0.05). There was a significant interaction of surface roughness and gloss between the composites and polishing systems (P gloss was obtained for FSB composite polished with the Experimental kit. The experimental polishing system produced smoothest surfaces (P gloss (P < 0.05).

  16. Cleansing orthodontic brackets with air-powder polishing: effects on frictional force and degree of debris.

    Science.gov (United States)

    Leite, Brisa Dos Santos; Fagundes, Nathalia Carolina Fernandes; Aragón, Mônica Lídia Castro; Dias, Carmen Gilda Barroso Tavares; Normando, David

    2016-01-01

    Debris buildup on the bracket-wire interface can influence friction. Cleansing brackets with air-powder polishing can affect this process. The aim of this study was to evaluate the frictional force and amount of debris remaining on orthodontic brackets subjected to prophylaxis with air-powder polishing. Frictional force and debris buildup on the surface of 28 premolar brackets were evaluated after orthodontic treatment. In one hemiarch, each bracket was subjected to air-powder polishing (n = 14) for five seconds, while the contralateral hemiarch (n = 14) served as control. Mechanical friction tests were performed and images of the polished bracket surfaces and control surfaces were examined. Wilcoxon test was applied for comparative analysis between hemiarches at p Brackets that had been cleaned with air-powder polishing showed lower friction (median = 1.27 N) when compared to the control surfaces (median = 4.52 N) (p orthodontic brackets with air-powder polishing significantly reduces debris buildup on the bracket surface while decreasing friction levels observed during sliding mechanics.

  17. Cancer morbidity among polishers.

    Science.gov (United States)

    Järvholm, B; Thiringer, G; Axelson, O

    1982-01-01

    The mortality pattern among 86 men was determined to investigate the possible hazards of polishing steel. The men had polished steel with polishing paste for at least five years. The polishing pastes had contained tallow, beeswax, carnauba wax, alundum, carborundum, ferric oxide, and chalk. A total of 18 men had died compared with 13.3 expected. Four had died of stomach cancer compared with 0.44 expected (p less than 0.005). The mortality for other causes of death was not increased. The study does not permit any definite conclusion but indicates a possible cancer hazard among polishers. PMID:7066237

  18. Polishing large NaCl windows on a continuous polisher

    International Nuclear Information System (INIS)

    Williamson, R.

    1979-01-01

    The Helios and Antares CO 2 fusion laser systems incorporate numerous large sodium chloride windows. These must be refinished periodically, making necessary a consistent and predictable polishing capability. A continuous polisher (or annular lap) which might at Kirtland's Developmental Optical Facility. Large NaCl windows had not been polished on this type of machine. The machine has proven itself capable of producing lambda/16 figures at 633 nm (HeNe) with extremely smooth surfaces on glass. Since then, we have been working exclusively on NaCl optics. Due to different polishing parameters between NaCl and glass, and the slight solubility of the pitch in the slurry, this phase presents new problems. The work on glass will be reviewed. Results on NaCl to date will be reported. The potential of this type of machine relative to prisms, thin and irregularly shaped optics will be discussed

  19. EFFECTS OF POLISHING TIME AND THERMALCYCLINGON THE MICROLEAKAGE OF FOUR TOOTH –COLOURED DIRECT RESTORATIVE MATERIALS

    Directory of Open Access Journals (Sweden)

    V MORTAZAVI

    2002-09-01

    Full Text Available Introdaction. Microleakage has been recognized as a major clinical problem with direct filled dental restorations.The purpose of this study was to investigate and to compare the microleakage of four direct filled tooth-coloured materials, evaluation the effects of polishing time and thermocycling on the microleakage of these materials. Methods. Wedge-shaped class V cavities were prepared on buccal and lingual surfaces of 96 intact extracted human molar teeth. The teeth were randomly divided into three treatment groups and four subgroups. The cavities of each subgroup were filled using one of these materials: a conventional glass ionomer; a resin modified glass ionomer; a composite resin and a compomer. Polishing in the teeth of group 1 was done immediately after placement of restorations and in group 2 one week later. In group 3 delayed polishing and thermocycling (X100 was done. All of the teeth were stored in distilled water for one week and then stained with dye, sectioned, and scored for microleakage on occlusal and cervical edges. Results were statistically analyzed by Kruskal wallis and Mann whitney tests. Results. There was a statistically difference between the microleakage scores of four materials (P < 0.001. Immediately polished glass ionomer and compomer groups have significantly more microleakage than delayed polished groups (P < 0.001. Thermocycting could infulence the microleakage of composite on cervical edges (P < 0.05. Discussion. The precense of differences between the nature of materials and also the surface treatment such as primer or etchant application could influence the microleakage. The prescence of differences in reaction rate between the materials and the time that they reach to their adequate mechanical strength and adhesive bond strength lead to presence of differences between the effect of polishing time on the microleakage scores of materials.

  20. Preparation and certification of the Polish reference material 'Oriental Tobacco Leaves' (CTA-OTL-1) for inorganic trace analysis

    International Nuclear Information System (INIS)

    Dybczynski, R.; Polkowska-Motrenko, H.; Samczynski, Z.; Szopa, Z.

    1996-01-01

    A new Polish certified reference material 'Oriental Tobacco Leaves' (CTA-OTL-1) for inorganic trace analysis was prepared. Fresh tobacco leaves of variety 'Oriental' were dried, ground and sieved. All precautions were taken to avoid contamination of material with metals. The next step was homogenization. Preliminary homogeneity checking consisted in determining of Ca, Fe and K content by X-ray fluorescence. Final homogeneity testing was performed by neutron activation analysis determining Co, Cr, Fe and Rb. To assure long-term stability, the whole lot of material was sterilized by electron beam radiation. Certification of the candidate reference material was done on the basis of world-wide interlaboratory comparisons exercise in which 61 laboratories, using various analytical methods participated. (author). 30 refs, 12 tabs, 21 figs

  1. Preparation and certification of the Polish reference material `Oriental Tobacco Leaves` (CTA-OTL-1) for inorganic trace analysis

    Energy Technology Data Exchange (ETDEWEB)

    Dybczynski, R.; Polkowska-Motrenko, H.; Samczynski, Z.; Szopa, Z. [Institute of Nuclear Chemistry and Technology, Warsaw (Poland)

    1996-12-31

    A new Polish certified reference material `Oriental Tobacco Leaves` (CTA-OTL-1) for inorganic trace analysis was prepared. Fresh tobacco leaves of variety `Oriental` were dried, ground and sieved. All precautions were taken to avoid contamination of material with metals. The next step was homogenization. Preliminary homogeneity checking consisted in determining of Ca, Fe and K content by X-ray fluorescence. Final homogeneity testing was performed by neutron activation analysis determining Co, Cr, Fe and Rb. To assure long-term stability, the whole lot of material was sterilized by electron beam radiation. Certification of the candidate reference material was done on the basis of world-wide interlaboratory comparisons exercise in which 61 laboratories, using various analytical methods participated. (author). 30 refs, 12 tabs, 21 figs.

  2. Forming a health culture of future teachers in Polish educational establishments

    Directory of Open Access Journals (Sweden)

    T.S. IERMAKOVA

    2014-10-01

    Full Text Available Aim: to study the experience of the structure and system of training of future teachers in Polish schools. Material: content analysis of domestic and foreign authors. Used data from the survey of students of Polish universities. Also were used survey results through polish service ANKIETKA. For comparison, a questionnaire survey 35 students of the Faculty of Physical Education (future teachers of physical training and 30 students - the future teachers of elementary school of Ukrainian university. Results: the study of Polish teachers consider health culture of a person as the ability to assess individual and community health needs using in everyday life hygiene and health regulations. There have been some differences among Ukrainian and Polish students in their health and health culture. Among the respondents, Polish students - the future teachers of physical culture, is dominated motives such as the improvement of the physical condition, strengthen self-esteem, as well as improved health. Polish students from other disciplines believe that the most important motive for the adoption of physical activity is a concern for the physical well-being and mental health. The majority of Ukrainian students (future teachers of physical culture believe an important part of building health culture of their direct participation in various sports clubs, as well as the ability to organize physical culture, sports and educational work with students outside the classroom. Ukrainian students (other specialty noted the need to improve health, enhance knowledge in specific subjects humanities and promoting healthy lifestyles. Conclusions: It is recommended to use the experience of preparing students of Polish schools in modern Ukrainian higher education.

  3. Effects of various polishing media and techniques on the surface finish and behavior of laser glasses

    International Nuclear Information System (INIS)

    Landingham, R.L.; Casey, A.W.; Lindahl, R.O.

    1978-01-01

    The advance of high-power laser technology is dependent on the rate of advancement in laser glass forming and surface preparation. The threshold damage of glass surfaces continues to be a weak link in the overall advancement of laser technology. Methods were developed and used in the evaluation of existing glass surface preparation techniques. Modified procedures were evaluated to reduce surface contamination and subsurface defects. Polishing rates were monitored under controlled polishing conditions (purity, pH, particle size distribution, particle concentration, etc.). Future work at LLL for this ongoing investigation is described

  4. RNA-Seq and iTRAQ Reveal the Dwarfing Mechanism of Dwarf Polish Wheat (Triticum polonicum L.).

    Science.gov (United States)

    Wang, Yi; Xiao, Xue; Wang, Xiaolu; Zeng, Jian; Kang, Houyang; Fan, Xing; Sha, Lina; Zhang, Haiqin; Zhou, Yonghong

    2016-01-01

    The dwarfing mechanism of Rht-dp in dwarf Polish wheat (DPW) is unknown. Each internode of DPW was significantly shorter than it in high Polish wheat (HPW), and the dwarfism was insensitive to photoperiod, abscisic acid (ABA), gibberellin (GA), cytokinin (CK), auxin and brassinolide (BR). To understand the mechanism, three sets of transcripts, DPW, HPW, and a chimeric set (a combination of DPW and HPW), were constructed using RNA sequencing (RNA-Seq). Based on the chimeric transcripts, 2,446 proteins were identified using isobaric tags for relative and absolute quantification (iTRAQ). A total of 108 unigenes and 12 proteins were considered as dwarfism-related differentially expressed genes (DEGs) and differentially expressed proteins (DEPs), respectively. Among of these DEGs and DEPs, 6 DEGs and 6 DEPs were found to be involved in flavonoid and S-adenosyl-methionine (SAM) metabolisms; 5 DEGs and 3 DEPs were involved in cellulose metabolism, cell wall plasticity and cell expansion; 2 DEGs were auxin transporters; 2 DEPs were histones; 1 DEP was a peroxidase. These DEGs and DEPs reduced lignin and cellulose contents, increased flavonoid content, possibly decreased S-adenosyl-methionine (SAM) and polyamine contents and increased S-adenosyl-L-homocysteine hydrolase (SAHH) content in DPW stems, which could limit auxin transport and reduce extensibility of the cell wall, finally limited cell expansion (the cell size of DPW was significantly smaller than HPW cells) and caused dwarfism in DPW.

  5. Convergent Polishing: A Simple, Rapid, Full Aperture Polishing Process of High Quality Optical Flats & Spheres

    Science.gov (United States)

    Suratwala, Tayyab; Steele, Rusty; Feit, Michael; Dylla-Spears, Rebecca; Desjardin, Richard; Mason, Dan; Wong, Lana; Geraghty, Paul; Miller, Phil; Shen, Nan

    2014-01-01

    Convergent Polishing is a novel polishing system and method for finishing flat and spherical glass optics in which a workpiece, independent of its initial shape (i.e., surface figure), will converge to final surface figure with excellent surface quality under a fixed, unchanging set of polishing parameters in a single polishing iteration. In contrast, conventional full aperture polishing methods require multiple, often long, iterative cycles involving polishing, metrology and process changes to achieve the desired surface figure. The Convergent Polishing process is based on the concept of workpiece-lap height mismatch resulting in pressure differential that decreases with removal and results in the workpiece converging to the shape of the lap. The successful implementation of the Convergent Polishing process is a result of the combination of a number of technologies to remove all sources of non-uniform spatial material removal (except for workpiece-lap mismatch) for surface figure convergence and to reduce the number of rogue particles in the system for low scratch densities and low roughness. The Convergent Polishing process has been demonstrated for the fabrication of both flats and spheres of various shapes, sizes, and aspect ratios on various glass materials. The practical impact is that high quality optical components can be fabricated more rapidly, more repeatedly, with less metrology, and with less labor, resulting in lower unit costs. In this study, the Convergent Polishing protocol is specifically described for fabricating 26.5 cm square fused silica flats from a fine ground surface to a polished ~λ/2 surface figure after polishing 4 hr per surface on a 81 cm diameter polisher. PMID:25489745

  6. Investigation on the surface characterization of Ga-faced GaN after chemical-mechanical polishing

    Energy Technology Data Exchange (ETDEWEB)

    Gong, Hua [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Pan, Guoshun, E-mail: pangs@tsinghua.edu.cn [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Zhou, Yan; Shi, Xiaolei; Zou, Chunli; Zhang, Suman [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China)

    2015-05-30

    Highlights: • Tiny-sized nanoparticles were introduced in GaN CMP to realize a good surface. • The relationship between surface characterization and abrasive size was conducted. • An atomic step-terrace structure was achieved on GaN surface after CMP. • Pt/C catalyst nanoparticles were used in GaN CMP to get a higher MRR. - Abstract: The relationship between the surface characterization after chemical mechanical polishing (CMP) and the size of the silica (SiO{sub 2}) abrasive used for CMP of gallium nitride (GaN) substrates was investigated in detail. Atomic force microscope was used for measuring the surface morphology, pit feature, pit depth distribution, and atomic step-terrace structure. With the decrease of SiO{sub 2} abrasive size, the pit depth reduced and the atomic step-terrace structure became more whole with smaller damage area, resulting in smaller roughness. For tiny-sized SiO{sub 2} abrasive, an almost complete atomic step-terrace structure with 0.0523 nm roughness was achieved. On the other hand, in order to acquire higher removal, Pt/C nanoparticle was employed as a catalyst in CMP slurry. The result indicates that when Pt/C catalyst content was reached to 1.0 ppm, material removal rate was increased by 47.69% compared to that by none of the catalyst, and besides, the pit depth reduced and the surface atomic step-terrace structure was not destroyed. The Pt/C nanoparticle is proved to be the promising catalyst to the surface preparation of super-hard and inert materials with high efficiency and good surface.

  7. Research on high-efficiency polishing technology of photomask substrate

    Science.gov (United States)

    Zhao, Shijie; Xie, Ruiqing; Zhou, Lian; Liao, Defeng; Chen, Xianhua; Wang, Jian

    2018-03-01

    A method of photomask substrate fabrication is demonstrated ,that the surface figure and roughness of fused silica will converge to target precision rapidly with the full aperture polishing. Surface figure of optical flats in full aperture polishing processes is primarily dependent on the surface profile of polishing pad, therefor, a improved function of polishing mechanism was put forward based on two axis lapping machine and technology experience, and the pad testing based on displacement sensor and the active conditioning method of the pad is applied in this research. Moreover , the clamping deformation of the thin glass is solved by the new pitch dispensing method. The experimental results show that the surface figure of the 152mm×152mm×6.35mm optical glass is 0.25λ(λ=633nm) and the roughness is 0.32nm ,which has meet the requirements of mask substrate for 90 45nm nodes.

  8. Laser polishing of 3D printed mesoscale components

    International Nuclear Information System (INIS)

    Bhaduri, Debajyoti; Penchev, Pavel; Batal, Afif; Dimov, Stefan; Soo, Sein Leung; Sten, Stella; Harrysson, Urban; Zhang, Zhenxue; Dong, Hanshan

    2017-01-01

    Highlights: • Process optimisation for laser polishing novel 3D printed SS316L parts. • Evaluating the effects of key polishing parameters on SS316L surface roughness. • Detailed spectroscopic analysis of oxide layer formation due to laser polishing. • Comparative surface integrity analysis of SS parts polished in air and argon. • A maximum reduction in roughness of over 94% achieved at optimised polishing settings. - Abstract: Laser polishing of various engineered materials such as glass, silica, steel, nickel and titanium alloys, has attracted considerable interest in the last 20 years due to its superior flexibility, operating speed and capability for localised surface treatment compared to conventional mechanical based methods. The paper initially reports results from process optimisation experiments aimed at investigating the influence of laser fluence and pulse overlap parameters on resulting workpiece surface roughness following laser polishing of planar 3D printed stainless steel (SS316L) specimens. A maximum reduction in roughness of over 94% (from ∼3.8 to ∼0.2 μm S_a) was achieved at the optimised settings (fluence of 9 J/cm"2 and overlap factors of 95% and 88–91% along beam scanning and step-over directions respectively). Subsequent analysis using both X-ray photoelectron spectroscopy (XPS) and glow discharge optical emission spectroscopy (GDOES) confirmed the presence of surface oxide layers (predominantly consisting of Fe and Cr phases) up to a depth of ∼0.5 μm when laser polishing was performed under normal atmospheric conditions. Conversely, formation of oxide layers was negligible when operating in an inert argon gas environment. The microhardness of the polished specimens was primarily influenced by the input thermal energy, with greater sub-surface hardness (up to ∼60%) recorded in the samples processed with higher energy density. Additionally, all of the polished surfaces were free of the scratch marks, pits, holes, lumps

  9. Laser polishing of 3D printed mesoscale components

    Energy Technology Data Exchange (ETDEWEB)

    Bhaduri, Debajyoti, E-mail: debajyoti.bhaduri@gmail.com [Department of Mechanical Engineering, School of Engineering, University of Birmingham, Edgbaston, Birmingham, B15 2TT (United Kingdom); Penchev, Pavel; Batal, Afif; Dimov, Stefan; Soo, Sein Leung [Department of Mechanical Engineering, School of Engineering, University of Birmingham, Edgbaston, Birmingham, B15 2TT (United Kingdom); Sten, Stella; Harrysson, Urban [Digital Metal, Höganäs AB, 263 83 Höganäs (Sweden); Zhang, Zhenxue; Dong, Hanshan [School of Metallurgy and Materials, University of Birmingham, Edgbaston, Birmingham, B15 2TT (United Kingdom)

    2017-05-31

    Highlights: • Process optimisation for laser polishing novel 3D printed SS316L parts. • Evaluating the effects of key polishing parameters on SS316L surface roughness. • Detailed spectroscopic analysis of oxide layer formation due to laser polishing. • Comparative surface integrity analysis of SS parts polished in air and argon. • A maximum reduction in roughness of over 94% achieved at optimised polishing settings. - Abstract: Laser polishing of various engineered materials such as glass, silica, steel, nickel and titanium alloys, has attracted considerable interest in the last 20 years due to its superior flexibility, operating speed and capability for localised surface treatment compared to conventional mechanical based methods. The paper initially reports results from process optimisation experiments aimed at investigating the influence of laser fluence and pulse overlap parameters on resulting workpiece surface roughness following laser polishing of planar 3D printed stainless steel (SS316L) specimens. A maximum reduction in roughness of over 94% (from ∼3.8 to ∼0.2 μm S{sub a}) was achieved at the optimised settings (fluence of 9 J/cm{sup 2} and overlap factors of 95% and 88–91% along beam scanning and step-over directions respectively). Subsequent analysis using both X-ray photoelectron spectroscopy (XPS) and glow discharge optical emission spectroscopy (GDOES) confirmed the presence of surface oxide layers (predominantly consisting of Fe and Cr phases) up to a depth of ∼0.5 μm when laser polishing was performed under normal atmospheric conditions. Conversely, formation of oxide layers was negligible when operating in an inert argon gas environment. The microhardness of the polished specimens was primarily influenced by the input thermal energy, with greater sub-surface hardness (up to ∼60%) recorded in the samples processed with higher energy density. Additionally, all of the polished surfaces were free of the scratch marks, pits, holes

  10. Functional Median Polish

    KAUST Repository

    Sun, Ying

    2012-08-03

    This article proposes functional median polish, an extension of univariate median polish, for one-way and two-way functional analysis of variance (ANOVA). The functional median polish estimates the functional grand effect and functional main factor effects based on functional medians in an additive functional ANOVA model assuming no interaction among factors. A functional rank test is used to assess whether the functional main factor effects are significant. The robustness of the functional median polish is demonstrated by comparing its performance with the traditional functional ANOVA fitted by means under different outlier models in simulation studies. The functional median polish is illustrated on various applications in climate science, including one-way and two-way ANOVA when functional data are either curves or images. Specifically, Canadian temperature data, U. S. precipitation observations and outputs of global and regional climate models are considered, which can facilitate the research on the close link between local climate and the occurrence or severity of some diseases and other threats to human health. © 2012 International Biometric Society.

  11. Grinding and polishing instead of sectioning for the tissue samples with a graft: Implications for light and electron microscopy.

    Science.gov (United States)

    Mukhamadiyarov, Rinat A; Sevostyanova, Victoria V; Shishkova, Daria K; Nokhrin, Andrey V; Sidorova, Olga D; Kutikhin, Anton G

    2016-06-01

    A broad use of the graft replacement requires a detailed investigation of the host-graft interaction, including both histological examination and electron microscopy. A high quality sectioning of the host tissue with a graft seems to be complicated; in addition, it is difficult to examine the same tissue area by both of the mentioned microscopy techniques. To solve these problems, we developed a new technique of epoxy resin embedding with the further grinding, polishing, and staining. Graft-containing tissues prepared by grinding and polishing preserved their structure; however, sectioning frequently required the explantation of the graft and led to tissue disintegration. Moreover, stained samples prepared by grinding and polishing may then be assessed by both light microscopy and backscattered scanning electron microscopy. Therefore, grinding and polishing outperform sectioning when applied to the tissues with a graft. Copyright © 2016 Elsevier Ltd. All rights reserved.

  12. Challenges of sample preparation for cross sectional EBSD analysis of electrodeposited nickel films

    DEFF Research Database (Denmark)

    Alimadadi, Hossein; Pantleon, Karen

    2009-01-01

    Thorough microstructure and crystallographic orientation analysis of thin films by means of electron backscatter diffraction requires cross section preparation of the film-substrate compound. During careful preparation, changes of the rather non-stable as-deposited microstructure must be avoided....... Different procedures for sample preparation including mechanical grinding and polishing, electropolishing and focused ion beam milling have been applied to a nickel film electrodeposited on top of an amorphous Ni-P layer on a Cu-substrate. Reliable EBSD analysis of the whole cross section can be obtained...

  13. Functional Median Polish

    KAUST Repository

    Sun, Ying; Genton, Marc G.

    2012-01-01

    polish is demonstrated by comparing its performance with the traditional functional ANOVA fitted by means under different outlier models in simulation studies. The functional median polish is illustrated on various applications in climate science

  14. Production of rare earth polishing powders in Russia

    International Nuclear Information System (INIS)

    Kosynkin, V.D.; Ivanov, E.N.; Kotrekhov, V.A.; Shtutza, M.G.; Grabko, A.I.

    1998-01-01

    Full text: Russia is a potent producer of polishing powders made of rare earth material presented as an extensive and well developed base. Considering the reserves, the facilities predisposition and the polishing agent (cerium dioxide) content the chief mineral source is loparite, apatite and monazite. The production of rare earth polishing powders is based on specially developed continuous technological processes, corrosion-proof equipment, ensuring a high and stable production quality. A special attention is paid to the radiation safety of the powders. The initial material for the rare earth polishing powders based on loparite is the fusion cake of rare earth chlorides obtained at that mineral chlorination. The technology of the polishing powder production from the REE fusion cake includes the following stages: dissolution of the REE fusion cake chlorides; - thorough cleaning of the REE fusion cake chlorides from radioactive and non-rare-earth impurities; chemical precipitation of REE carbonates, obtaining middlings with proper material and granulometric composition, thermal treatment of precipitated carbonates followed with the operations of drying and roasting; classification of roasted oxides, obtaining end products - polishing powders. The production of fluorine-containing powders includes the stage of their fluorination after the stage of carbonate precipitation. The stabilizing doping can be introduced both into the middlings during one of the technological process of powders manufacturing and into the end product. Rare earth polishing powders are manufactured in Russia by the Share Holding Company 'Chepetz Mechanical Plant' (ChMP Co.), the city of Glasov. The plant produces a number of polishing materials, such as; polishing powder Optinol, containing at least 50% by mass of cerium dioxide, used in the mass production of optical and other articles; polishing powder Optinol-10 with doping to improve the sedimentary and aggregate stability of the solid phase

  15. Terminology extraction from medical texts in Polish.

    Science.gov (United States)

    Marciniak, Małgorzata; Mykowiecka, Agnieszka

    2014-01-01

    Hospital documents contain free text describing the most important facts relating to patients and their illnesses. These documents are written in specific language containing medical terminology related to hospital treatment. Their automatic processing can help in verifying the consistency of hospital documentation and obtaining statistical data. To perform this task we need information on the phrases we are looking for. At the moment, clinical Polish resources are sparse. The existing terminologies, such as Polish Medical Subject Headings (MeSH), do not provide sufficient coverage for clinical tasks. It would be helpful therefore if it were possible to automatically prepare, on the basis of a data sample, an initial set of terms which, after manual verification, could be used for the purpose of information extraction. Using a combination of linguistic and statistical methods for processing over 1200 children hospital discharge records, we obtained a list of single and multiword terms used in hospital discharge documents written in Polish. The phrases are ordered according to their presumed importance in domain texts measured by the frequency of use of a phrase and the variety of its contexts. The evaluation showed that the automatically identified phrases cover about 84% of terms in domain texts. At the top of the ranked list, only 4% out of 400 terms were incorrect while out of the final 200, 20% of expressions were either not domain related or syntactically incorrect. We also observed that 70% of the obtained terms are not included in the Polish MeSH. Automatic terminology extraction can give results which are of a quality high enough to be taken as a starting point for building domain related terminological dictionaries or ontologies. This approach can be useful for preparing terminological resources for very specific subdomains for which no relevant terminologies already exist. The evaluation performed showed that none of the tested ranking procedures were

  16. [Comparison of surface roughness of nanofilled and microhybrid composite resins after curing and polishing].

    Science.gov (United States)

    Jiang, Hong; Lv, Da; Liu, Kailei; Zhang, Weisheng; Yao, Yao; Liao, Chuhong

    2014-05-01

    To compare the surface roughness of nanofilled dental composite resin and microhybrid composite resins after curing and polishing. A nanofilled composite (Z350) and 4 microhybrid composites (P60, Z250, Spectrum, and AP-X) were fabricated from the lateral to the medial layers to prepare 8 mm×8 mm×5 mm cubical specimens. The 4 lateral surfaces of each specimens were polished with abrasive disks (Super-Snap). Profilometer was used to test the mean surface roughness (Ra) after polishing. P60 had the lowest Ra (0.125∓0.030 µm) followed by Z250 and Spectrum. The Ra of Z350 (0.205∓0.052 µm) was greater than that of the other 3 resins, and AP-X had the roughest surfaces. Under scanning electron microscope, the polished faces of P60 resin were characterized by minor, evenly distributed particles with fewer scratches; the polished faces of Z350 presented with scratches where defects of the filling material could be seen. The nanofilled composite Z350 has smooth surface after polishing by abrasive disks, but its smoothness remains inferior to that of other micro-hybrid composite resins.

  17. Chemical etching and polishing of InP

    International Nuclear Information System (INIS)

    Kurth, E.; Reif, A.; Gottschalch, V.; Finster, J.; Butter, E.

    1988-01-01

    This paper describes possibilities of several chemical preparations for the selective cleaning of InP surfaces. The investigations of the surface states after the chemical treatment were carried out by means of XPS measurements. A pre-etching with (NH 4 ) 2 S 2 O 8 :H 2 SO 4 :H 2 O and a polishing with 1% bromine in methanol produce optically smooth (100)-and (111) P surfaces free of oxides. (author)

  18. Health Information in Polish (polski)

    Science.gov (United States)

    ... Tools You Are Here: Home → Multiple Languages → Polish (polski) URL of this page: https://medlineplus.gov/languages/polish.html Health Information in Polish (polski) To use the sharing features on this page, ...

  19. Using quantum dots to tag subsurface damage in lapped and polished glass samples

    International Nuclear Information System (INIS)

    Williams, Wesley B.; Mullany, Brigid A.; Parker, Wesley C.; Moyer, Patrick J.; Randles, Mark H.

    2009-01-01

    Grinding, lapping, and polishing are finishing processes used to achieve critical surface parameters in a variety of precision optical and electronic components. As these processes remove material from the surface through mechanical and chemical interactions, they may induce a damaged layer of cracks, voids, and stressed material below the surface. This subsurface damage (SSD) can degrade the performance of a final product by creating optical aberrations due to diffraction, premature failure in oscillating components, and a reduction in the laser induced damage threshold of high energy optics. As these defects lie beneath the surface, they are difficult to detect, and while many methods are available to detect SSD, they can have notable limitations regarding sample size and type, preparation time, or can be destructive in nature. The authors tested a nondestructive method for assessing SSD that consisted of tagging the abrasive slurries used in lapping and polishing with quantum dots (nano-sized fluorescent particles). Subsequent detection of fluorescence on the processed surface is hypothesized to indicate SSD. Quantum dots that were introduced to glass surfaces during the lapping process were retained through subsequent polishing and cleaning processes. The quantum dots were successfully imaged by both wide field and confocal fluorescence microscopy techniques. The detected fluorescence highlighted features that were not observable with optical or interferometric microscopy. Atomic force microscopy and additional confocal microscope analysis indicate that the dots are firmly embedded in the surface but do not appear to travel deep into fractures beneath the surface. Etching of the samples exhibiting fluorescence confirmed that SSD existed. SSD-free samples exposed to quantum dots did not retain the dots in their surfaces, even when polished in the presence of quantum dots.

  20. Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives: Synthesis and the effects on chemical mechanical polishing (CMP) performances of sapphire wafers

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Tingting; Lei, Hong, E-mail: hong_lei2005@aliyun.com

    2017-08-15

    Highlights: • The novel Nd{sup 3+}-doped colloidal SiO{sub 2} abrasives were synthesized by seed-introduced method. • The Nd{sup 3+}-doped colloidal SiO{sub 2} abrasives exhibited lower Ra and higher MRR on sapphire during CMP. • The cores SiO{sub 2} were coated by the shells (SiO{sub 2}, Nd{sub 2}Si{sub 2}O{sub 7} and Nd(OH){sub 3}) via chemical bonds and hydrogen bonds. • XPS analysis revealed the solid-state chemical reaction between Nd{sup 3+}-doped colloidal SiO{sub 2} abrasives and sapphire during CMP. - Abstract: Abrasive is one of the most important factors in chemical mechanical polishing (CMP). In order to improve the polishing qualities of sapphire substrates, the novel Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives were prepared by seed-induced growth method. In this work, there were a series of condensation reactions during the synthesis process of Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives and the silica cores were coated by shells (which contains SiO{sub 2}, Nd{sub 2}Si{sub 2}O{sub 7} and Nd(OH){sub 3}) via chemical bonds and hydrogen bonds in the Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives, which made the composite abrasives’ core-shell structure more sTable Scanning electron microscopy (SEM) showed that Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives were spherical and uniform in size. And the acting mechanisms of Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives on sapphire in CMP were investigated. Time-of-flight secondary ion mass spectroscopy (TOF-SIMS) analysis and X-ray photoelectron spectroscopy (XPS) analysis demonstrated that the solid-state chemical reactions between the shells (which contained SiO{sub 2}, Nd{sub 2}Si{sub 2}O{sub 7} and Nd(OH){sub 3}) of Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives and the sapphire occurred during the CMP process. Furthermore, Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives exhibited lower surface roughness and

  1. Polish Cartographical Review

    Directory of Open Access Journals (Sweden)

    Nedjeljko Frančula

    2017-07-01

    Full Text Available The Polish Cartographical Review (PCR journal has been published in English four times a year since 2015. The journal is in open access and it is published by De Gruyter Open. It is edited by Polish scientists in collaboration with international experts.

  2. The effects of different polishing techniques on the staining resistance of CAD/CAM resin-ceramics

    Science.gov (United States)

    Demirci, Tevfik; Demirci, Gamze; Sagsoz, Nurdan Polat; Yildiz, Mehmet

    2016-01-01

    PURPOSE The purposes of this study were to evaluate the staining resistance of CAD/CAM resin-ceramics polished with different techniques and to determine the effectiveness of the polishing techniques on resin-ceramics, comparing it with that of a glazed glass-ceramic. MATERIALS AND METHODS Four different CAD/CAM ceramics (feldspathic ceramic: C-CEREC Blocs, (SIRONA) and three resin-ceramics: L-Lava Ultimate, (3M ESPE), E-Enamic, (VITA) and CS-CeraSmart, (GC)) and one light cure composite resin: ME-Clearfil Majesty Esthetic (Kuraray) were used. Only C samples were glazed (gl). Other restorations were divided into four groups according to the polishing technique: nonpolished control group (c), a group polished with light cure liquid polish (Biscover LV BISCO) (bb), a group polished with ceramic polishing kit (Diapol, EVE) (cd), and a group polished with composite polishing kit (Clearfil Twist Dia, Kuraray) (kc). Glazed C samples and the polished samples were further divided into four subgroups and immersed into different solutions: distilled water, tea, coffee, and fermented black carrot juice. Eight samples (8 × 8 × 1 mm) were prepared for each subgroup. According to CIELab system, four color measurements were made: before immersion, immersion after 1 day, after 1 week, and after 1 month. Data were analyzed with repeated measures of ANOVA (α=.05). RESULTS The highest staining resistance was found in gl samples. There was no difference among gl, kc and cd (P>.05). Staining resistance of gl was significantly higher than that of bb (PCeramic and composite polishing kits can be used for resin ceramics as a counterpart of glazing procedure used for full ceramic materials. Liquid polish has limited indications for resin ceramics. PMID:28018558

  3. Polish-German bilingualism at school. A Polish perspective

    Directory of Open Access Journals (Sweden)

    Pulaczewska, Hanna

    2014-03-01

    Full Text Available This article presents the institutional frames for the acquisition of Polish literacy skills in Germany and the maintenance of Polish-German bilingualism after the repatriation of bilingual children to Poland. These processes are examined in the context of recent developments in the European domestic job market. While the European Union has placed proficiency in several languages among its educational objectives, and foreign languages have been made obligatory school subjects in all member countries, the potential advantages of internal European migrations for producing high-proficiency bilinguals are being ignored. Bilingualism resulting from migration and biculturalism enjoys little social prestige in the host countries. In Germany, there is significant regional variation in how school authorities react to challenges posed by the presence of minority languages. In many cases, the linguistic potential of many second-generation migrants and re-emigrants gets largely wasted because of lacking interest and incentives from German and Polish institutions alike.

  4. Chromatic stability of acrylic resins of artificial eyes submitted to accelerated aging and polishing.

    Science.gov (United States)

    Goiato, Marcelo Coelho; Santos, Daniela Micheline dos; Souza, Josiene Firmino; Moreno, Amália; Pesqueira, Aldiéris Alves

    2010-12-01

    Esthetics and durability of materials used to fabricate artificial eyes has been an important issue since artificial eyes are essential to restore esthetics and function, protect the remaining tissues and help with patients' psychological therapy. However, these materials are submitted to degrading effects of environmental agents on the physical properties of the acrylic resin. This study assessed the color stability of acrylic resins used to fabricate sclera in three basic shades (N1, N2 and N3) when subjected to accelerated aging, mechanical and chemical polishing. Specimens of each resin were fabricated and submitted to mechanical and chemical polishing. Chromatic analysis was performed before and after accelerated aging through ultraviolet reflection spectrophotometry. All specimens revealed color alteration following polishing and accelerated aging. The resins presented statistically significant chromatic alteration (p<0.01) between the periods of 252 and 1008 h. Both polishing methods presented no significant difference between the values of color derivatives of resins.

  5. Effects of surface polishing and annealing on the optical conductivity of intermetallic compounds

    CERN Document Server

    Rhee, J Y

    1999-01-01

    The optical conductivity spectra of several intermetallic compounds were measured by spectroscopic ellipsometry. Three spectra were measured for each compound; just after the sample was mechanically polished, at high temperature, and after the sample was annealed at 110 .deg. C for at least one day and cooled to room temperature. An equiatomic FeTi alloy showed the typical effects of annealing after mechanical polishing of surface. The spectrum after annealing had a larger magnitude and sharper structures than the spectrum before annealing. We also observed shifts of peaks in the spectrum. A relatively low-temperature annealing gave rise to unexpectedly substantial effects, and the effects were explained by recrystallization and/or a disorder -> order transition of the surface of the sample which was damaged and, hence, became highly disordered by mechanical polishing. Similar effects were also observed when the sample temperature was lowered. The observed changes upon annealing could partly be explained by p...

  6. Contribution of NAA and other techniques to the certification of two new Polish CRMs prepared by INCT

    International Nuclear Information System (INIS)

    Dybczynski, R.; Danko, B.; Kulisa, K.; Maleszewska, E.; Polkowska-Motrenko, H.; Samczynski, Z.; Szopa, Z.

    2002-01-01

    Two new reference materials of biological origin, namely Tea Leaves (INCTTL-1 ) and Mixed Polish Herbs (INCT-MPH-2), were prepared, and a worldwide interlaboratory comparison in which more than 100 laboratories participated was organized with the aim to certify these materials for the content of possibly a great number of trace elements. In this paper a preliminary analysis of the contribution of various analytical techniques to the certification of the new reference materials is presented and discussed with special emphasis on the role played by neutron activation analysis (NAA) and other radiometric techniques. The significance of 'very accurate methods' by radiochemical NAA in the certification process is pointed out. An attempt is made to compare the outcome of the present intercomparison with those formerly organized by INCT as well as with some earlier IAEA intercomparisons in order to demonstrate similarities, differences and trends in the use of the various analytical techniques in trace analysis as a function of time. (author)

  7. Processing, Mechanical and Optical Properties of Additive-Free ZrC Ceramics Prepared by Spark Plasma Sintering

    Directory of Open Access Journals (Sweden)

    Clara Musa

    2016-06-01

    Full Text Available In the present study, nearly fully dense monolithic ZrC samples are produced and broadly characterized from microstructural, mechanical and optical points of view. Specifically, 98% dense products are obtained by Spark Plasma Sintering (SPS after 20 min dwell time at 1850 °C starting from powders preliminarily prepared by Self-propagating High-temperature Synthesis (SHS followed by 20 min ball milling. A prolonged mechanical treatment up to 2 h of SHS powders does not lead to appreciable benefits. Vickers hardness of the resulting samples (17.5 ± 0.4 GPa is reasonably good for monolithic ceramics, but the mechanical strength (about 250 MPa up to 1000 °C could be further improved by suitable optimization of the starting powder characteristics. The very smoothly polished ZrC specimen subjected to optical measurements displays high absorption in the visible-near infrared region and low thermal emittance at longer wavelengths. Moreover, the sample exhibits goodspectral selectivity (2.1–2.4 in the 1000–1400 K temperature range. These preliminary results suggest that ZrC ceramics produced through the two-step SHS/SPS processing route can be considered as attractive reference materials for the development of innovative solar energy absorbers.

  8. Surface morphology changes of acrylic resins during finishing and polishing phases

    Directory of Open Access Journals (Sweden)

    Glaucio Serra

    2013-12-01

    Full Text Available INTRODUCTION: The finishing and polishing phases are essential to improve smoothness and shining on the surface of acrylic resins used to make removable orthodontic appliances. A good surface finishing reduces roughness, which facilitates hygiene, prevents staining and provides greater comfort to the patients. OBJECTIVE: The aim of this paper was to analyze the changes on surface morphology of acrylic resins during finishing and polishing phases. METHODS: Thirty discs (10 mm in diameter and 5 mm in length were made with acrylic resin and randomly divided into ten groups. The control group did not receive any treatment while the other groups received gradual finishing and polishing. The last group received the entire finishing and polishing procedures. Surface morphology was qualitatively analyzed through scanning electron microscopy and quantitatively analyzed through a laser profilometer test. RESULTS: The acrylic resin surfaces without treatment showed bubbles which were not observed in the subsequent phases. Wearing out with multilaminated burs, finishing with wood sandpaper and finishing with water sandpaper resulted in surfaces with decreasing irregularities. The surfaces that were polished with pumice and with low abrasive liquids showed high superficial smoothness. CONCLUSION: Highly smooth acrylic resin surfaces can be obtained after mechanical finishing and polishing performed with multilaminated burs, wood sandpaper, water sandpaper, pumice and low abrasive liquids.

  9. Polish Academy of Sciences Great Dictionary of Polish [Wielki słownik języka polskiego PAN

    Directory of Open Access Journals (Sweden)

    Piotr Žmigrodzki

    2014-12-01

    Full Text Available The paper describes a lexicographical project involving the development of the newest general dictionary of the Polish language: the Polish Academy of Sciences Great Dictionary of Polish [Wielki słownik języka polskiego PAN]. The project is coordinated by the Institute of Polish Language at the Polish Academy of Sciences and carried out in collaboration with linguists and lexicographers from several other Polish academic centres. The paper offers a brief description of the genesis of the project and the scope of information included in the dictionary, the organisation of work, the life of the dictionary on the Web as well as the plans for the future.

  10. Polish Higher Education: Intersectoral Distinctiveness

    Science.gov (United States)

    Musial, Joanna

    2014-01-01

    This study analyzes degrees of differences between the private and public sectors of Polish higher education. It finds them to be strong: Polish private institutions function very differently from Polish public institutions and these differences correspond with those found in the literature on higher education elsewhere in the world. Polish…

  11. Modeling the effects of cohesive energy for single particle on the material removal in chemical mechanical polishing at atomic scale

    International Nuclear Information System (INIS)

    Wang Yongguang; Zhao Yongwu; An Wei; Wang Jun

    2007-01-01

    This paper proposes a novel mathematical model for chemical mechanical polishing (CMP) based on interface solid physical and chemical theory in addition to energy equilibrium knowledge. And the effects of oxidation concentration and particle size on the material removal in CMP are investigated. It is shown that the mechanical energy and removal cohesive energy couple with the particle size, and being a cause of the non-linear size-removal rate relation. Furthermore, it also shows a nonlinear dependence of removal rate on removal cohesive energy. The model predictions are in good qualitative agreement with the published experimental data. The current study provides an important starting point for delineating the micro-removal mechanism in the CMP process at atomic scale

  12. Violation of interest-rate parity: a Polish example

    Science.gov (United States)

    Przystawa, Jerzy; Wolf, Marek

    2000-09-01

    The mechanism of the so-called “Bagsik Oscillator” is presented and discussed. In essence, it is a repeated exploitation of arbitrage opportunities that resulted from a marked departure from the interest-rate parity relationship between the local Polish currency and the western currencies.

  13. Surface Roughness and Gloss of Actual Composites as Polished With Different Polishing Systems.

    Science.gov (United States)

    Rodrigues-Junior, S A; Chemin, P; Piaia, P P; Ferracane, J L

    2015-01-01

    This in vitro study evaluated the effect of polishing with different polishing systems on the surface roughness and gloss of commercial composites. One hundred disk-shaped specimens (10 mm in diameter × 2 mm thick) were made with Filtek P-90, Filtek Z350 XT, Opallis, and Grandio. The specimens were manually finished with #400 sandpaper and polished by a single operator using three multistep systems (Superfix, Diamond Pro, and Sof-lex), one two-step system (Polidores DFL), and one one-step system (Enhance), following the manufacturer's instructions. The average surface roughness (μm) was measured with a surface profilometer (TR 200 Surface Roughness Tester), and gloss was measured using a small-area glossmeter (Novo-Curve, Rhopoint Instrumentation, East Sussex, UK). Data were analyzed by two-way analysis of variance and Tukey's test (α=0.05). Statistically significant differences in surface roughness were identified by varying the polishing systems (pGloss was influenced by the composites (pone-step system, Enhance, produced the lowest gloss for all composites. Surface roughness and gloss were affected by composites and polishing systems. The interaction between both also influenced these surface characteristics, meaning that a single polishing system will not behave similarly for all composites. The multistep systems produced higher gloss, while the one-step system produced the highest surface roughness and the lowest gloss of all.

  14. Polish country study to address climate change: Strategies of the GHG`s emission reduction and adaptation of the Polish economy to the changed climate. Final report

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1996-01-01

    The Polish Country Study Project was initiated in 1992 as a result of the US Country Study Initiative whose objective was to grant the countries -- signatories of the United Nations` Framework Convention on Climate Change -- assistance that will allow them to fulfill their obligations in terms of greenhouse gases (GHG`s) inventory, preparation of strategies for the reduction of their emission, and adapting their economies to the changed climatic conditions. In February 1993, in reply to the offer from the United States Government, the Polish Government expressed interest in participation in this program. The Study proposal, prepared by the Ministry of Environmental Protection, Natural Resources and Forestry was presented to the US partner. The program proposal assumed implementation of sixteen elements of the study, encompassing elaboration of scenarios for the strategy of mission reduction in energy sector, industry, municipal management, road transport, forestry, and agriculture, as well as adaptations to be introduced in agriculture, forestry, water management, and coastal management. The entire concept was incorporated in macroeconomic strategy scenarios. A complementary element was the elaboration of a proposal for economic and legal instruments to implement the proposed strategies. An additional element was proposed, namely the preparation of a scenario of adapting the society to the expected climate changes.

  15. Polish visit

    CERN Document Server

    2003-01-01

    On 6 October, Professor Michal Kleiber, Polish Minister of Science and Chairman of the State Committee for Scientific Research, visited CERN and met both the current and designated Director General, Luciano Maiani and Robert Aymar. Professor Kleiber visited the CMS and ATLAS detector assembly halls, the underground cavern for ATLAS, and the LHC superconducting magnet string test hall. Michal Kleiber (left), Polish minister of science and Jan Krolikowski, scientist at Warsaw University and working for CMS, who shows the prototypes of the Muon Trigger board of CMS.

  16. Precision machining and polishing of scintillating crystals for large calorimeters and hodoscopes

    International Nuclear Information System (INIS)

    Wuest, C.R.; Fuchs, B.A.; Holdener, F.R.; Heck, J.L. Jr.

    1994-04-01

    New machining and polishing techniques have been developed for large scintillating crystal arrays such as the Barium Fluoride Electromagnetic Calorimeter for the GEM Detector at SSCL, the Crystal Clear Collaboration's cerium fluoride or lead tungstenate calorimeter at the proposed LHC and CERN, the PHENIX Detector at RHIC (barium fluoride), and the cesium iodide Calorimeter for the BaBar Detector at PEP-2 B Factory at SLAC. The machining and polishing methods to be presented in this paper provide crystalline surfaces without sub-surface damage or deformation as verified by Rutherford Back-scattering (RBS) analysis. Surface roughness of about 10--20 angstroms and sub-micron mechanical tolerances have been demonstrated on large barium fluoride crystal samples. Mass production techniques have also been developed for machining the proper angled surfaces and polishing up to five 50 cm long crystals at one time. These techniques utilize kinematic mount technology developed at LLNL to allow precision machining and polishing of complex surfaces. They will present this technology along with detailed surface studies of barium fluoride and cerium fluoride crystals polished with this technique

  17. The Effect of Prophylactic Polishing Pastes on Surface Roughness of Indirect Restorative Materials

    Directory of Open Access Journals (Sweden)

    Esra Can Say

    2014-01-01

    Full Text Available The purpose of this study was to evaluate the influence of prophylactic polishing pastes (PPP; Detartrine (DT, Topex (TP on surface roughness (Ra of indirect composites (IRC; Tescera (TES, Gradia (GRD, and Estenia C&B (EST, a glass ceramic (Empress 2 layering (E2, and a leucite reinforced glass ceramic (Empress Esthetic (EE with two different (glazed (G; polished (P surface preparations. A total of 90 IRC and 120 ceramic discs, 8 mm in diameter and 2 mm thick, were prepared. E2 and EE specimens were randomly divided into two groups (n=30. One group was glazed (GE2; GEE, while the other group was polished (PE2; PEE the same as the IRCs. The specimens in each group were subsequently divided into three subgroups: control (C, DT, and TP. Ra (μm was evaluated with a profilometer. Data were analyzed by Kruskal Wallis, followed by the Dunn's multiple comparison tests P0.05. PE2 and PEE were not affected by DT or TP P>0.05, while GE2 and GEE exhibited significant roughening after TP P<0.05. Surface roughness of IRCs and glazed ceramics can be affected by PPP applications.

  18. The Effect of Grinding and Polishing Procedure of Tool Steels in Sheet Metal Forming

    DEFF Research Database (Denmark)

    Lindvall, F.; Bergström, J.; Krakhmalev, P.

    2010-01-01

    The surface finish of tools in sheet metal forming has a large influence on the performance of the forming tool. Galling, concern of wear in sheet metal forming, is a severe form of adhesive wear where sheet material is transferred on to the tool surface. By polishing the tools to a fine surface ...... 40 and Vanadis 6 and up to ten different grinding and polishing treatments were tested against AISI 316 stainless steel. The tests showed that an optimum surface preparation might be found at the transition between abrasive and adhesive wear....

  19. Buffered Electrochemical Polishing of Niobium

    Energy Technology Data Exchange (ETDEWEB)

    Ciovati, Gianluigi [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Tian, Hui [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); College of William and Mary, Williamsburg, VA (United States); Corcoran, Sean [Virginia Polytechnic Inst. and State Univ. (Virginia Tech), Blacksburg, VA (United States)

    2011-03-01

    The standard preparation of superconducting radio-frequency (SRF) cavities made of pure niobium include the removal of a 'damaged' surface layer, by buffered chemical polishing (BCP) or electropolishing (EP), after the cavities are formed. The performance of the cavities is characterized by a sharp degradation of the quality factor when the surface magnetic field exceeds about 90 mT, a phenomenon referred to as 'Q-drop.' In cavities made of polycrystalline fine grain (ASTM 5) niobium, the Q-drop can be significantly reduced by a low-temperature (? 120 °C) 'in-situ' baking of the cavity if the chemical treatment was EP rather than BCP. As part of the effort to understand this phenomenon, we investigated the effect of introducing a polarization potential during buffered chemical polishing, creating a process which is between the standard BCP and EP. While preliminary results on the application of this process to Nb cavities have been previously reported, in this contribution we focus on the characterization of this novel electrochemical process by measuring polarization curves, etching rates, surface finish, electrochemical impedance and the effects of temperature and electrolyte composition. In particular, it is shown that the anodic potential of Nb during BCP reduces the etching rate and improves the surface finish.

  20. Effects of surface polishing and annealing on the optical conductivity of intermetallic compounds

    International Nuclear Information System (INIS)

    Rhee, Joo Yull

    1999-01-01

    The optical conductivity spectra of several intermetallic compounds were measured by spectroscopic ellipsometry. Three spectra were measured for each compound; just after the sample was mechanically polished, at high temperature, and after the sample was annealed at 110 .deg. C for at least one day and cooled to room temperature. An equiatomic FeTi alloy showed the typical effects of annealing after mechanical polishing of surface. The spectrum after annealing had a larger magnitude and sharper structures than the spectrum before annealing. We also observed shifts of peaks in the spectrum. A relatively low-temperature annealing gave rise to unexpectedly substantial effects, and the effects were explained by recrystallization and/or a disorder → order transition of the surface of the sample which was damaged and, hence, became highly disordered by mechanical polishing. Similar effects were also observed when the sample temperature was lowered. The observed changes upon annealing could partly be explained by presumption that the recrystallization would be realized in such a way that the average atomic spacing would be reduced

  1. The effect of polishing technique on 3-D surface roughness and gloss of dental restorative resin composites.

    Science.gov (United States)

    Ereifej, N S; Oweis, Y G; Eliades, G

    2013-01-01

    The aim of this study was to compare surface roughness and gloss of resin composites polished using different polishing systems. Five resin composites were investigated: Filtek Silorane (FS), IPS Empress Direct (IP), Clearfil Majesty Posterior (CM), Premise (PM), and Estelite Sigma (ES). Twenty-five disk specimens were prepared from each material, divided into five groups, each polished with one of the following methods: Opti1Step (OS), OptiDisc (OD), Kenda CGI (KD), Pogo (PG), or metallurgical polishing (ML). Gloss and roughness parameters (Sa, Sz, Sq, and St) were evaluated by 60°-angle glossimetry and white-light interferometric profilometry. Two-way analysis of variance was used to detect differences in different materials and polishing techniques. Regression and correlation analyses were performed to examine correlations between roughness and gloss. Significant differences in roughness parameters and gloss were found according to the material, type of polishing, and material/polishing technique (pgloss was recorded for PM/ML (88.4 [2.3]) and lowest for FS/KD (30.3 [5.7]). All roughness parameters were significantly correlated with gloss (r= 0.871, 0.846, 0.713, and 0.707 for Sa, Sq, Sz, St, and gloss, respectively). It was concluded that the polishing procedure and the type of composite can have significant impacts on surface roughness and gloss of resin composites.

  2. Standards of Conditions During Preparations for the Summer Paralympic Games Between 2004 and 2012 Assessed by Polish Athletes

    Directory of Open Access Journals (Sweden)

    Sobiecka Joanna

    2015-12-01

    Full Text Available The quality of training conditions affects sporting success, injuries and health. The aim of the work was to present the conditions during the preparations of Polish athletes for the Summer Paralympic Games 2004-2012. The study encompassed 271 paralympians: Athens (91, Beijing (89 and London (91, competing in 13 disciplines. The research was based on a two-part questionnaire by Kłodecka-Różalska adjusted for disabled sports, and was conducted one month before each PG. Part 1 contained 20 closed-ended questions regarding conditions during preparations, while Part 2 concerned socio-demographic and sports-related data. Three levels of conditions: good, satisfactory and poor, were identified. The analysis showed that while the relationships between the athletes were good in all the preparatory periods, the co-operation with the paralympic coaches worsened. The standards of accommodation, food and sports facilities lowered. Personal orthopaedic supply was satisfactory in London; personal sporting equipment was good at all PG. The quality of medical care was the highest in London. The co-operation with physicians, physiotherapists and massage therapists was satisfactory. Consultations with the dietician were sporadic and assessed as poor. Psychological consultations were rare but satisfactory in Beijing and London. Contacts with the mass media were poor at all PG. Although combining private life, work, and education with sport was satisfactory, it was increasingly difficult to manage, particularly before London. The conditions during preparations for the PG 2004-2012 varied. Improvement was noticed only in the quality of medical care and personal orthopaedic supply.

  3. Standards of Conditions During Preparations for the Summer Paralympic Games Between 2004 and 2012 Assessed by Polish Athletes.

    Science.gov (United States)

    Sobiecka, Joanna; Gawroński, Wojciech; Kądziołka, Marta; Kruszelnicki, Paweł; Kłodecka-Różalska, Jadwiga; Plinta, Ryszard

    2015-11-22

    The quality of training conditions affects sporting success, injuries and health. The aim of the work was to present the conditions during the preparations of Polish athletes for the Summer Paralympic Games 2004-2012. The study encompassed 271 paralympians: Athens (91), Beijing (89) and London (91), competing in 13 disciplines. The research was based on a two-part questionnaire by Kłodecka-Różalska adjusted for disabled sports, and was conducted one month before each PG. Part 1 contained 20 closed-ended questions regarding conditions during preparations, while Part 2 concerned socio-demographic and sports-related data. Three levels of conditions: good, satisfactory and poor, were identified. The analysis showed that while the relationships between the athletes were good in all the preparatory periods, the co-operation with the paralympic coaches worsened. The standards of accommodation, food and sports facilities lowered. Personal orthopaedic supply was satisfactory in London; personal sporting equipment was good at all PG. The quality of medical care was the highest in London. The co-operation with physicians, physiotherapists and massage therapists was satisfactory. Consultations with the dietician were sporadic and assessed as poor. Psychological consultations were rare but satisfactory in Beijing and London. Contacts with the mass media were poor at all PG. Although combining private life, work, and education with sport was satisfactory, it was increasingly difficult to manage, particularly before London. The conditions during preparations for the PG 2004-2012 varied. Improvement was noticed only in the quality of medical care and personal orthopaedic supply.

  4. Precision machining and polishing of scintillating crystals for large calorimeters and hodoscopes

    International Nuclear Information System (INIS)

    Wuest, C.R.; Fuchs, B.A.

    1993-05-01

    New machining and polishing techniques have been developed for large barium fluoride scintillating crystals that provide crystalline surfaces without sub-surface damage or deformation as verified by Atomic Force Microscopy (AFM) and Rutherford Back-scattering (RBS) analyses. Surface roughness of about 10--20 angstroms and sub-micron mechanical tolerances have been demonstrated on large crystal samples. Mass production techniques have also been developed for machining and polishing up to five 50 cm long crystals at one time. We present this technology along with surface studies of barium fluoride crystals polished with this technique. This technology is applicable for a number of new crystal detectors proposed at Colliders including the Barium Fluoride Electromagnetic Calorimeter at SSC, the Crystal Clear Collaboration's cerium fluoride calorimeter at LHC, and the KTeV and PHENIX scintillating hodoscopes at Fermilab, and RHIC, respectively. Lawrence Livermore National Laboratory (LLNL) has an active program of study on barium fluoride scintillating crystals for the Barium Fluoride Electromagnetic Calorimeter Collaboration and cerium fluoride and lead fluoride for the Crystal Clear Collaboration. This program has resulted in a number of significant improvements in the mechanical processing, polishing and coating of fluoride crystals. Techniques have been developed using diamond-loaded pitch lapping that can produce 15 angstrom RMS surface finishes over large areas. Also, special polishing fixtures have been designed based on mounting technology developed for the 1.1 m diameter optics used in LLNL's Nova Laser. These fixtures allow as many as five 25--50 cm long crystals to be polished and lapped at the same time with tolerances satisfying the stringent requirements of crystal calorimeters. We also discuss results on coating barium fluoride with UV reflective layers of magnesium fluoride and aluminum

  5. Nd:YOV4 laser polishing on WC-Co HVOF coating

    Science.gov (United States)

    Giorleo, L.; Ceretti, E.; Montesano, L.; La Vecchia, G. M.

    2017-10-01

    WC/Co coatings are widely applied to different types of components due to their extraordinary performance properties including high hardness and wear properties. In industrial applications High Velocity Oxy-Fuel (HVOF) technique is extensively used to deposit hard metal coatings. The main advantage of HVOF compared to other thermal spray techniques is the ability to accelerate the melted powder particles of the feedstock material at a relatively high velocity, leading to obtain good adhesion and low porosity level. However, despite the mentioned benefits, the surface finish quality of WC-Co HVOF coatings results to be poor (Ra higher than 5 µm) thus a mechanical polishing process is often needed. The main problem is that the high hardness of coating leads the polishing process expensive in terms of time and tool wear; moreover polishing becomes difficult and not always possible in case of limited accessibility of a part, micro dimensions or undercuts. Nowadays a different technique available to improve surface roughness is the laser polishing process. The polishing principle is based on focused radiation of a laser beam that melts a microscopic layer of surface material. Compared to conventional polishing process (as grinding) it ensures the possibility of avoiding tool wear, less pollution (no abrasive or liquids), no debris, less machining time and coupled with a galvo system it results to be more suitable in case of 3D complex workpieces. In this paper laser polishing process executed with a Nd:YOV4 Laser was investigated: the effect of different process parameters as initial coating morphology, laser scan speed and loop cycles were tested. Results were compared by a statistical approach in terms of average roughness along with a morphological analysis carried out by Scanning Electron Microscope (SEM) investigation coupled with EDS spectra.

  6. Comparative evaluation of effect of different polishing systems on surface roughness of composite resin: An in vitro study.

    Science.gov (United States)

    Chour, Rashmi G; Moda, Aman; Arora, Arpana; Arafath, Muhmmed Y; Shetty, Vikram K; Rishal, Yousef

    2016-08-01

    Satisfactory composite restoration depends upon its smooth finish, quality of polishing agents, type of composite material used, and its composition. The present study evaluated the effect of different polishing systems on the surface roughness of composite resin. Forty discs of composite were prepared and equally subjected to different finishing and polishing procedures; (i) unpolished control group, (ii) sof-lex discs, (iii) diamond tips, and (iv) Astrobrush groups. Later, the surface roughness for the entire specimen was evaluated using Profilomotor. Data were tabulated and statistically analyzed using analysis of variance and Tukey's test at significance level of 0.001. Composite surface roughness after polishing was statistically significant between the groups. Sof-lex group produced lesser surface roughness compared to control, Astrobrush, and diamond group. The present study indicated that diamond tips can be used to remove rough surface whereas sof-lex can be used for final finish and polish of the composite restoration.

  7. In-situ photopatterning of hydrogel microarrays in polished microchips

    NARCIS (Netherlands)

    Gümüscü, B.; van den Berg, Albert; Eijkel, Jan C.T.

    2015-01-01

    We present a fabrication method which enables simple and eproducible photopatterning of micron- sized hydrogel arrays inside closed microchips. To achieve this, the glass cover of the microchip is thinned by mechanical grinding and polishing. This procedure reduces the spacing between the photomask

  8. R&D progress in SRF surface preparation with centrifugal barrel polishing (cbp) for both Nb and Cu

    Energy Technology Data Exchange (ETDEWEB)

    Palczewski, Ari [JLAB

    2013-09-01

    Centrifugal Barrel polishing (CBP) is becoming a common R&D tool for SRF cavity preparation around the world. During the CBP process a cylindrically symmetric SRF cavity is filled with relatively cheap and environmentally friendly abrasive and sealed. The cavity is then spun around a cylindrically symmetric axis at high speeds uniformly conditioning the inner surface. This uniformity is especially relevant for SRF application because many times a single manufacturing defects limits cavity?s performance well below it?s theoretical limit. In addition CBP has created surfaces with roughness?s on the order of 10?s of nm which create a unique surface for wet chemistry or thin film deposition. CBP is now being utilized at Jefferson Laboratory, Fermi Laboratory and Cornell University in the US, Deutsches Elektronen-Synchrotron in Germany, Laboratori Nazionali di Legnaro in Italy, and Raja Ramanna Centre for Advanced Technology in India. In this talk we will present current CBP research from each lab including equipment, baseline recipes, cavity removal rates and subsequent cryogenic cavity tests on niobium as well as copper cavities where available.

  9. Surface texture of resin-modified glass ionomer cements: effects of finishing/polishing time.

    Science.gov (United States)

    Yap, A U J; Ong, S B; Yap, W Y; Tan, W S; Yeo, J C

    2002-01-01

    This study compared the surface texture of resin-modified glass ionomer cements after immediate and delayed finishing with different finishing/polishing systems. Class V preparations were made on the buccal and lingual/palatal surfaces of 64 freshly extracted teeth. The cavities on each tooth were restored with Fuji II LC (GC) and Photac-Fil Quick (3M-ESPE) according to manufacturers' instructions. Immediately after light-polymerization, gross finishing was done with 8-fluted tungsten carbide burs. The teeth were then randomly divided into four groups of 16 teeth. Half of the teeth in each group were finished immediately, while the remaining half were finished after one-week storage in distilled water at 37 degrees C. The following finishing/polishing systems were employed: (a) Robot Carbides; (b) Super-Snap system; (c) OneGloss and (d) CompoSite Polishers. The mean surface roughness (microm; n=8) in vertical (RaV) and horizontal (RaH) axis was measured using a profilometer. Data was subjected to ANOVA/Scheffe's tests and Independent Samples t-test at significance level 0.05. Ra values were generally lower in both vertical and horizontal axis with delayed finishing/polishing. Although significant differences in RaV and RaH values were observed among several systems with immediate finishing/polishing, only one (Fuji II LC: RaH - Super-Snap < Robot Carbides) was observed with delayed finishing.

  10. Reactive Ion Etching as Cleaning Method Post Chemical Mechanical Polishing for Phase Change Memory Device

    International Nuclear Information System (INIS)

    Min, Zhong; Zhi-Tang, Song; Bo, Liu; Song-Lin, Feng; Bomy, Chen

    2008-01-01

    In order to improve nano-scale phase change memory performance, a super-clean interface should be obtained after chemical mechanical polishing (CMP) of Ge 2 Sb 2 Te 5 phase change films. We use reactive ion etching (RIE) as the cleaning method. The cleaning effect is analysed by scanning electron microscopy and an energy dispersive spectrometer. The results show that particle residue on the surface has been removed. Meanwhile, Ge 2 Sb 2 Te 5 material stoichiometric content ratios are unchanged. After the top electrode is deposited, current-voltage characteristics test demonstrates that the set threshold voltage is reduced from 13 V to 2.7V and the threshold current from 0.1mA to 0.025mA. Furthermore, we analyse the RIE cleaning principle and compare it with the ultrasonic method

  11. Preparation and characterization of Bi-2223 tapes

    International Nuclear Information System (INIS)

    Hense, K.; Kirchmayr, H.; Kovac, P.; Lackner, R.; Mueller, M.; Pachla, W.; Pitel, J.; Polak, M.; Usak, P.

    2003-01-01

    In a concerted action between Austrian, Slovakian and Polish research institutes Bi-2223 tapes have been prepared and characterized by different physical methods. Metallographic studies by optical as well as electron microscopy, measurements of critical current (angle dependent) and losses have been performed. Properties of individual filaments extracted from multifilamentary tapes were also studied. Uniformity of local I C of these filaments were considerably lower than that of the whole tape. This indicates that improvement of filament homogeneity could improve the over all J C in tapes. The application of these tapes for optimized magnet coils will also be discussed. From these investigations a better understanding of the mechanisms, limiting the critical current could be achieved and more optimized preparation methods can be envisioned

  12. Effects of finishing/polishing techniques on microleakage of resin-modified glass ilonomer cement restorations.

    Science.gov (United States)

    Yap, Adrian U J; Yap, W Y; Yeo, Egwin J C; Tan, Jane W S; Ong, Debbie S B

    2003-01-01

    This study investigated the effect of finishing/polishing techniques on the microleakage of resin-modified glass ionomer restorations. Class V preparations were made on the buccal and lingual/palatal surfaces of freshly extracted teeth. The cavities on each tooth were restored with Fuji II LC (FT [GC]) and Photac-Fil Quick (PF [3M-ESPE]) according to manufacturers' instructions. Immediately after light-polymerization, gross finishing was done with eight-fluted tungsten carbide burs. The teeth were then randomly divided into four groups and finishing/polishing was done with one of the following systems: (a) Robot Carbides (RC); (b) Super-Snap system (SS); (c) OneGloss (OG) and (d) CompoSite Polishers (CS). The sample size for each material-finishing/polishing system combination was eight. After finishing/polishing, the teeth were stored in distilled water at 37 degrees C for one week. The root apices were then sealed with acrylic and two coats of varnish was applied 1 mm beyond the restoration margins. The teeth were subsequently subjected to dye penetration testing (0.5% basic fuchsin), sectioned and scored. Data was analyzed using Kruskal-Wallis and Mann-Whitney U tests at a significance level of 0.05. Results of statistical analysis were as follows: Enamel margins: PF-OGpolishing technique, leakage at dentin margins was significantly greater than at enamel margins for FT. For PF, no significant difference in leakage scores was observed between dentin and enamel with the exception of finishing/polishing with OG. FT restorations had significantly less enamel and dentin leakage than PF restorations when treated with OG. The effect of finishing/polishing techniques on microleakage was both tissue and material dependent.

  13. Strategy for development of the Polish electricity sector

    Energy Technology Data Exchange (ETDEWEB)

    Dybowski, J. [Polish Power Grid Co., Warsaw (Poland)

    1995-12-01

    This paper represents the strategy for development of the Polish Electricity Sector dealing with specific problems which are common for all of East Central Europe. In 1990 Poland adopted a restructuring program for the entire energy sector. Very ambitious plans were changed several times but still the main direction of change was preserved. The most difficult period of transformation is featured by several contradictions which have to be balanced. Electricity prices should increase in order to cover the modernization and development program but the society is not able to take this burden in such a short time. Furthermore the new environment protection standards force the growth of capital investment program which sooner or later has to be transferred through the electricity prices. New economic mechanisms have to be introduced to the electricity sector to replace the old ones noneffective, centrally planned. This process has to follow slow management changes. Also, introduction of new electricity market is limited by those constraints. However, this process of change would not be possible without parallel governmental initiation like preparation of new energy law and regulatory frames.

  14. The corpus-driven revolution in Polish Sign Language: the interview with Dr. Paweł Rutkowski

    Directory of Open Access Journals (Sweden)

    Iztok Kosem

    2018-02-01

    Full Text Available Dr. Paweł Rutkowski is head of the Section for Sign Linguistics at the University of Warsaw. He is a general linguist and a specialist in the field of syntax of natural languages, carrying out research on Polish Sign Language (polski język migowy — PJM. He has been awarded a number of prizes, grants and scholarships by such institutions as the Foundation for Polish Science, Polish Ministry of Science and Higher Education, National Science Centre, Poland, Polish–U.S. Fulbright Commission, Kosciuszko Foundation and DAAD. Dr. Rutkowski leads the team developing the Corpus of Polish Sign Language and the Corpus-based Dictionary of Polish Sign Language, the first dictionary of this language prepared in compliance with modern lexicographical standards. The dictionary is an open-access publication, available freely at the following address: http://www.slownikpjm.uw.edu.pl/en/. This interview took place at eLex 2017, a biennial conference on electronic lexicography, where Dr. Rutkowski was awarded the Adam Kilgarriff Prize and gave a keynote address entitled Sign language as a challenge to electronic lexicography: The Corpus-based Dictionary of Polish Sign Language and beyond. The interview was conducted by Dr. Victoria Nyst from Leiden University, Faculty of Humanities, and Dr. Iztok Kosem from the University of Ljubljana, Faculty of Arts.

  15. Effect of conditioner load on the polishing pad surface during chemical mechanical planarization process

    Energy Technology Data Exchange (ETDEWEB)

    Shin, Cheol Min; Qin, Hong Yi; Hong, Seok Jun; Jeon, Sang Hyuk; Kulkarni, Atul; Kim, Tae Sun [Sungkyunkwan University, Suwon (Korea, Republic of)

    2016-12-15

    During the Chemical mechanical planarization (CMP), the pad conditioning process can affect the pad surface characteristics. Among many CMP process parameters, the improper applied load on the conditioner arm may have adverse effects on the polyurethane pad. In this work, we evaluated the pad surface properties under the various conditioner arm applied during pad conditioning process. The conditioning pads were evaluated for surface topography, surface roughness parameters such as Rt and Rvk and Material removal rate (MRR) and within-wafer non-uniformity after wafer polishing. We observed that, the pad asperities were collapsed in the direction of conditioner rotation and blocks the pad pores applied conditioner load. The Rvk value and MRR were founded to be in relation with 4 > 1 > 7 kgF conditioner load. Hence, this study shows that, 4 kgF applied load by conditioner is most suitable for the pad conditioning during CMP.

  16. Chemical polishing of epitoxial silicon wafer

    International Nuclear Information System (INIS)

    Osada, Shohei

    1978-01-01

    SSD telescopes are used for the determination of the kind and energy of charged particles produced by nuclear reactions, and are the equipments combining ΔE counters and E counters. The ΔE counter is a thin SSD which is required to be thin and homogeneous enough to get the high resolution of measurement. The SSDs for ΔE counters have so far been obtained by polishing silicon plates mechanically and chemically or by applying electrolytic polishing method on epitaxial silicon wafers, but it was very hard to obtain them. The creative etching equipment and technique developed this time make it possible to obtain thin SSDs for ΔE counters. The outline of the etching equipment and its technique are described in the report. The etching technique applied for the silicon films for ΔE counters with thickness of about 10 μm was able to be experimentally established in this study. (Kobatake, H.)

  17. Friction Properties of Polished Cvd Diamond Films Sliding against Different Metals

    Science.gov (United States)

    Lin, Zichao; Sun, Fanghong; Shen, Bin

    2016-11-01

    Owing to their excellent mechanical and tribological properties, like the well-known extreme hardness, low coefficient of friction and high chemical inertness, chemical vapor deposition (CVD) diamond films have found applications as a hard coating for drawing dies. The surface roughness of the diamond films is one of the most important attributes to the drawing dies. In this paper, the effects of different surface roughnesses on the friction properties of diamond films have been experimentally studied. Diamond films were fabricated using hot filament CVD. The WC-Co (Co 6wt.%) drawing dies were used as substrates. A gas mixture of acetone and hydrogen gas was used as the feedstock gas. The CVD diamond films were polished using mechanical polishing. Polished diamond films with three different surface roughnesses, as well as the unpolished diamond film, were fabricated in order to study the tribological performance between the CVD diamond films and different metals with oil lubrication. The unpolished and polished CVD diamond films are characterized with scanning electron microscope (SEM), atomic force microscope (AFM), surface profilometer, Raman spectrum and X-ray diffraction (XRD). The friction examinations were carried out by using a ball-on-plate type reciprocating friction tester. Low carbide steel, stainless steel, copper and aluminum materials were used as counterpart balls. Based on this study, the results presented the friction coefficients between the polished CVD films and different metals. The friction tests demonstrate that the smooth surface finish of CVD diamond films is beneficial for reducing their friction coefficients. The diamond films exhibit low friction coefficients when slid against the stainless steel balls and low carbide steel ball, lower than that slid against copper ball and aluminum ball, attributed to the higher ductility of copper and aluminum causing larger amount of wear debris adhering to the sliding interface and higher adhesive

  18. [The influence of the different polishing methods on the marginal sealing property of the computer aided design and computer aided manufacture zirconium dioxide full crown].

    Science.gov (United States)

    Zhou, Jianying; Deng, Jiupeng; Li, Jinyuan; Wang, Jide; Shen, Baolian

    2016-05-01

    To evaluate the influence of different polishing methods on marginal microleakage of zirconium dioxide full crown. Thirty extracted premolars were selected and randomly divided into three groups, A, B and C, with 10 in each group. Group A was prepared with MANI TF-13 bur completely without the treatment of shoulder. The shoulder of group B was polished with MANI TR13-EF bur after the preparation using MANI TF-13. The shoulder of group C was polished with the dental pneumatic ultrasonic hand-piece of KaVo SONICflex after the preparation using MANI TF-13 bur. Five specimens after preparation were selected in each group. Fifteen CAD/CAM zirconium dioxide full crowns have been made. The crowns were bonded using PULPDENT resin cement, and the root canals were sealed using nail polish, and apical foramen were closed using flow resin. The test-pieces have been immersed in a 3% solution of methylene blue for 24 h. The condition of shoulder marginal microleakage was observed using light stereomicroscopy and evaluated in classification index. The remaining specimens in each group were used for roughness test and scanning electron microscope(SEM) experiment. The marginal microleakage situations of specimens in three groups was analyzed by SPSS 17.0. The enamel surface of different polishing methods was observed using SEM. The specimens in group C demonstrated the least marginal microleakage, and those in group B showed an intermediate level of marginal microleakage, and those in group A characterized the most serious marginal microleakage (total, χ2=44.610, P<0.01; among the different groups, P<0.05). The roughness experiment showed that specimens in group C achieve the smoothest results ([0.27±0.03] μm). Preparation shoulder polished using the dental pneumatic ultrasonic hand-piece demonstrated the best result under the SEM among the three groups. The anti-microleakage effectiveness of dental pneumatic ultrasonic hand-piece in shoulder refinement is better than ordinary

  19. Origin of high oxide to nitride polishing selectivity of ceria-based slurry in the presence of picolinic acid

    Institute of Scientific and Technical Information of China (English)

    Wang Liang-Yong; Liu Bo; Song Zhi-Tang; Liu Wei-Li; Feng Song-Lin; David Huang; S.V Babu

    2011-01-01

    We report on the investigation of the origin of high oxide to nitride polishing selectivity of ceria-based slurry in the presence of picolinic acid. The oxide to nitride removal selectivity of the ceria slurry with picolinic acid is as high as 76.6 in the chemical mechanical polishing. By using zeta potential analyzer, particle size analyzer, horizon profilometer, thermogravimetric analysis and Fourier transform infrared spectroscopy, the pre-and the post-polished wafer surfaces as well as the pre-and the post-used ceria-based slurries are compared. Possible mechanism of high oxide to nitride selectivity with using ceria-based slurry with picolinic acid is discussed.

  20. Materialographic preparation of lithium-carbon intercalation compounds; Materialographische Praeparation von Lithium-Kohlenstoff-Einlagerungsverbindungen

    Energy Technology Data Exchange (ETDEWEB)

    Druee, Martin; Seyring, Martin; Grasemann, Aaron [Jena Univ. (Germany). Otto Schott Institute of Materials Research; Rettenmayr, Markus [Center for Energy and Environmental Chemistry, Jena (Germany)

    2016-12-15

    The materialographic investigation of anode materials for rechargeable lithium ion batteries is a significant step in the understanding and development of electrode materials, but made dramatically more difficult due to the high reactivity of the materials involved. In this work a method is presented which permits the metallographic preparation of the lithium-carbon intercalation compounds used as anode materials in today's rechargeable lithium ion batteries, and which allows the details of their microstructures to be contrasted. After classic, but absolutely water free, preparation in a protective gas atmosphere, the final stage of preparation is carried out using both ion beam polishing and manual polishing on a stationary polishing disc, whereby no significant differences of the quality of the microstructural images obtained is apparent.

  1. APPLICATION OF CHEMICAL PRE-TREATMENT ON THE POLISHED SURFACE OF ALUMINIUM ALLOYS

    Directory of Open Access Journals (Sweden)

    Pavel Kraus

    2016-12-01

    Full Text Available This paper reports the preparation and characterization of thin transparent nanolayers with phase composition ZrF4 and different modification of SiO2 with special focus on affecting the surface roughness of the material and the way of exclusion of the thin nanolayer on the surface of the polished aluminium material. The thin nanolayer was prepared by the sol-gel method. The final treatment based on PTFE was applied on the surface of some samples. This treatment is suitable for increasing wear resistance. The films were characterized with help of SEM microscopy and EDS analysis. The surface roughness was measured with classical surface roughness tester. The results on this theme have already published but not on the polished surface of the aluminium material. The results from the experiment show the problems with application of these nanolayers because a cracks were found on the surface of the material and deformations of the layer after application of the PTFE final layer. The surface layer formation is discussed.

  2. Impact of mechanical bowel preparation in elective colorectal surgery: A meta-analysis.

    Science.gov (United States)

    Rollins, Katie E; Javanmard-Emamghissi, Hannah; Lobo, Dileep N

    2018-01-28

    To analyse the effect of mechanical bowel preparation vs no mechanical bowel preparation on outcome in patients undergoing elective colorectal surgery. Meta-analysis of randomised controlled trials and observational studies comparing adult patients receiving mechanical bowel preparation with those receiving no mechanical bowel preparation, subdivided into those receiving a single rectal enema and those who received no preparation at all prior to elective colorectal surgery. A total of 36 studies (23 randomised controlled trials and 13 observational studies) including 21568 patients undergoing elective colorectal surgery were included. When all studies were considered, mechanical bowel preparation was not associated with any significant difference in anastomotic leak rates (OR = 0.90, 95%CI: 0.74 to 1.10, P = 0.32), surgical site infection (OR = 0.99, 95%CI: 0.80 to 1.24, P = 0.96), intra-abdominal collection (OR = 0.86, 95%CI: 0.63 to 1.17, P = 0.34), mortality (OR = 0.85, 95%CI: 0.57 to 1.27, P = 0.43), reoperation (OR = 0.91, 95%CI: 0.75 to 1.12, P = 0.38) or hospital length of stay (overall mean difference 0.11 d, 95%CI: -0.51 to 0.73, P = 0.72), when compared with no mechanical bowel preparation, nor when evidence from just randomized controlled trials was analysed. A sub-analysis of mechanical bowel preparation vs absolutely no preparation or a single rectal enema similarly revealed no differences in clinical outcome measures. In the most comprehensive meta-analysis of mechanical bowel preparation in elective colorectal surgery to date, this study has suggested that the use of mechanical bowel preparation does not affect the incidence of postoperative complications when compared with no preparation. Hence, mechanical bowel preparation should not be administered routinely prior to elective colorectal surgery.

  3. Study of Pneumatic Servo Loading System in Double-Sided Polishing

    International Nuclear Information System (INIS)

    Qian, N; Ruan, J; Li, W

    2006-01-01

    The precise double-sided polishing process is one of the main methods to get the ultra-smooth surface of workpiece. In double-sided polishing machine, a loading system is required to be able to precisely control the load superimposed on the workpiece, while the polishing is being carried out. A pneumatic servo loading system is proposed for this purpose. In the pneumatic servo system, the servo valve, which acts both the electrical to mechanical converter and the power amplifier, has a substantial influence on the performance of the loading system. Therefore a specially designed pneumatic digital servo valve is applied in the control system. In this paper, the construction of the pneumatic servo loading system in double-sided polishing machine and control strategy associated with the digital servo valve are first addressed. The mathematical model of the system established and the hardware of the pneumatic servo system is designed. Finally, the experiments are carried out by measuring the practical load on the workpiece and the quality of the surface finish. It is demonstrated that the error rate of load is less than 5% and a super-smooth surface of silicon wafer with roughness Ra 0.401 nm can be obtained

  4. The tourism attractiveness of Polish libraries

    OpenAIRE

    Miedzińska, Magdalena; Tanaś, Sławoj

    2009-01-01

    The aim of the article is to draw the reader's attention to the tourism attractiveness of renowned Polish libraries. These have attained a tourism function due to tourism exploration and penetration, but remain in the shadow of other Polish cultural assets. The article outlines the historical geography of Polish libraries, an analysis of tourism assets and an attempt to classify and catalogue libraries in Poland.

  5. Recovery of rare earths from used polishes by chemical vapor transport process

    International Nuclear Information System (INIS)

    Ozaki, T.; Machida, K.; Adachi, G.

    1998-01-01

    Full text: Rare earth oxide polishes are widely used in the glass industry because of its mechanical and chemical polishing action. The Japanese glass industry use 2000 tons per year of the polishes, and a large portion of them are thrown away after their polishing lifetime. A dry recovery processes for rare earths from the used polishes have been investigated by using a chemical vapor transport method via the formation of vapor complexes RAl n Cl 3+3n (R = rare earths). A flow type reactor with various temperature gradients was employed for the process. The used polishes were mixed with active carbon, and chlorinated with N 2 + Cl 2 mixture at 1273 K. Aluminium oxide were also chlorinated at lower temperature and the resulting AlCl 3 were introduced to the reactor. The rare earth chlorides and AlCl 3 were converted to the vapor complexes. These were driven along the temperature gradient, decomposed according to the reverse reaction, and regenerated RCl 3 . About 90 % of the used polish were chlorinated after 2 hours. Rare earth chlorides, AlCl 3 , and FeCl 3 were fully transported after 82 hours. The rare earth chlorides were mainly condensed over the temperature range 1263-903 K. On the other hand, AlCl 3 and FeCl 3 were deposited at the temperature range below 413 K. CaCl 2 and SrCl 2 were hardly transported and remained in the residue. When the temperature gradient with the smaller slope was used, mutual separation efficiencies among the rare earths was improved. The highest CeCl 3 purity of 80% was obtained in the process

  6. Origin of high oxide to nitride polishing selectivity of ceria-based slurry in the presence of picolinic acid

    International Nuclear Information System (INIS)

    Wang Liang-Yong; Liu Bo; Song Zhi-Tang; Liu Wei-Li; Feng Song-Lin; David Huang; Babu, S.V

    2011-01-01

    We report on the investigation of the origin of high oxide to nitride polishing selectivity of ceria-based slurry in the presence of picolinic acid. The oxide to nitride removal selectivity of the ceria slurry with picolinic acid is as high as 76.6 in the chemical mechanical polishing. By using zeta potential analyzer, particle size analyzer, horizon profilometer, thermogravimetric analysis and Fourier transform infrared spectroscopy, the pre- and the post-polished wafer surfaces as well as the pre- and the post-used ceria-based slurries are compared. Possible mechanism of high oxide to nitride selectivity with using ceria-based slurry with picolinic acid is discussed. (interdisciplinary physics and related areas of science and technology)

  7. Detection of paint polishing defects

    Science.gov (United States)

    Rebeggiani, S.; Wagner, M.; Mazal, J.; Rosén, B.-G.; Dahlén, M.

    2018-06-01

    Surface finish plays a major role on perceived product quality, and is the first thing a potential buyer sees. Today end-of-line repairs of the body of cars and trucks are inevitably to secure required surface quality. Defects that occur in the paint shop, like dust particles, are eliminated by manual sanding/polishing which lead to other types of defects when the last polishing step is not performed correctly or not fully completed. One of those defects is known as ‘polishing roses’ or holograms, which are incredibly hard to detect in artificial light but are clearly visible in sunlight. This paper will present the first tests with a measurement set-up newly developed to measure and analyse polishing roses. The results showed good correlations to human visual evaluations where repaired panels were estimated based on the defects’ intensity, severity and viewing angle.

  8. Tooth polishing: The current status

    Directory of Open Access Journals (Sweden)

    Madhuri Alankar Sawai

    2015-01-01

    Full Text Available Healthy teeth and gums make a person feel confident and fit. As people go about their daily routines and with different eating and drinking habits, the tooth enamel turns yellowish or gets stained. Polishing traditionally has been associated with the prophylaxis procedure in most dental practices, which patients know and expect. However, with overzealous use of polishing procedure, there is wearing of the superficial tooth structure. This would lead to more accumulation of local deposits. Also, it takes a long time for the formation of the fluoride-rich layer of the tooth again. Hence, now-a-days, polishing is not advised as a part of routine oral prophylaxis procedure but is done selectively based on the patients′ need. The article here, gives an insight on the different aspects of the polishing process along with the different methods and agents used for the same.

  9. Polish Industry and Art at CERN

    CERN Multimedia

    2000-01-01

    On 17 October 2000 the second Polish industrial and technological exhibition opened at CERN. The first one was held five years ago and nine of the companies that were present then have come back again this year. Six of those companies were awarded contracts with CERN in 1995. Three Polish officials were present at the Opening Ceremony today: Mrs Malgorzata Kozlowska, Under-secretary of State in the State Committee for Scientific Research, Mr Henryk Ogryczak, Under-secretary of State in Ministry of Economy and Prof. Jerzy Niewodniczanski, President of National Atomic Energy Agency. Professor Luciano Maiani welcomed the Polish delegation to CERN and stressed the important contribution of Polish scientists and industrialists to the work of the laboratory. Director General Luciano Maiani (back left) and head of SPL division Karl-Heinz Kissler (back right) visit the Poland at CERN exhibition… The exhibition offers Polish companies the opportunity to establish professional contacts with CERN. Nineteen companies...

  10. SEM Evaluation and Comparision of Marginal Integrity in Glass-Ionomer and Copmposite Class Restoratins With Immediate or Delay Polishing

    Directory of Open Access Journals (Sweden)

    Ranjbar Omidi B

    2011-12-01

    Full Text Available Background and Aims: Marginal seal in class V cavities and determining the best restorative material to decrease microleakage is of great importance in operative dentistry. The aim of this study was to evaluate the effect of polishing time on the microleakage of three types of tooth-colored restorative materials in class V cavity preparations and to assess the marginal integrity of these materials using scanning electron microscope (SEM.Materials and Methods: In this in vitro study, class V cavity preparations were made on the buccal and lingual surfaces of 30 bovine incisors (60 cavities. The specimens were divided into three groups each containing 10 teeth (20 cavities: group 1, Filtek Z350 (nanocomposite; group 2, Fuji IX/G Coat Plus (CGIC; and group 3, Fuji II LC (RMGI. In each group, half of the specimens (n = 20 were finished/polished immediately and the rest of them were finished/polished after 24 hours. All the specimens were thermocycled for 2000 cycles (5-50 °C. Epoxy resin replicas of 12 specimens (2 restorations in each subgroup were evaluated using SEM and the interfacial gaps were measured. Finally, the teeth were immersed in 0.5% basic fuchsin dye for 24 hours at room temperature, sectioned and observed under stereomicroscope. The data were analyzed using Kruskal-Wallis and Mann-Whitney U tests and the comparison between incisal and cervical microleakage was made with Wilcoxon test.Results: Incisal and cervical microleakage were not affected by polishing time in none of the three restorative materials (P>0.05. Cervical microleakage only in Fuji IX with immediate polishing was significantly higher than incisal microleakage (P0.05.Conclusion: Immediate polishing is recommended in tooth-colored class V restorations.

  11. Polish polar research (outline

    Directory of Open Access Journals (Sweden)

    Krzysztof Ludwik Birkenmajer

    2017-12-01

    Full Text Available The article describes Polish research and discoveries in the Arctic and the Antarctic since the 19th century. The author is a geologist and since 1956 has been engaged in scientific field research on Spitsbergen, Greenland and Antarctica (23 expeditions. For many years chairman of the Committee on Polar Research of the Polish Academy of Sciences, he is now its Honorary Chairman.

  12. Conformal polishing approach: Tool footprint analysis

    Directory of Open Access Journals (Sweden)

    José A Dieste

    2016-02-01

    Full Text Available Polishing process is one of the most critical manufacturing processes during a metal part production because it determines the final quality of the product. Free-form surface polishing is a handmade process with lots of rejected parts, scrap generation and time and energy consumption. Two different research lines are being developed: prediction models of the final surface quality parameters and an analysis of the amount of material removed depending on the polishing parameters to predict the tool footprint during the polishing task. This research lays the foundations for a future automatic conformal polishing system. It is based on rotational and translational tool with dry abrasive in the front mounted at the end of a robot. A tool to part concept is used, useful for large or heavy workpieces. Results are applied on different curved parts typically used in tooling industry, aeronautics or automotive. A mathematical model has been developed to predict the amount of material removed in function of polishing parameters. Model has been fitted for different abrasives and raw materials. Results have shown deviations under 20% that implies a reliable and controllable process. Smaller amount of material can be removed in controlled areas of a three-dimensional workpiece.

  13. Surface Roughness, Microhardness, and Microleakage of a Silorane-Based Composite Resin after Immediate or Delayed Finishing/Polishing

    Directory of Open Access Journals (Sweden)

    Fernanda Carvalho Rezende Lins

    2016-01-01

    Full Text Available Objective. This study evaluated the effect of immediate or delayed finishing/polishing using different systems on the surface roughness, hardness, and microleakage of a silorane-based composite. Material and Methods. Specimens were made with silorane-based composite (Filtek P90, 3M ESPE and assigned to the treatments: control (light-cured; aluminum oxide discs (Sof-Lex, 3M ESPE; diamond-impregnated silicone tips (Astropol, Ivoclar Vivadent; aluminum oxide-impregnated silicone tips (Enhance, Dentsply. Half of the specimens were finished/polished immediately and the rest after 7 days. Surface roughness (Ra, μm; n=20 and Vickers microhardness (50 g; 45 s; n=10 were measured. Cavities were prepared in bovine incisors and filled with Filtek P90. The fillings received immediate or delayed finishing/polishing (n=10 and were subjected to dye penetration test (0.5% basic fuchsin, 24 h. Data were analyzed by ANOVA and Scheffe, Kruskal-Wallis, and Mann-Whitney tests (p<0.05. Results. The finishing/polishing system significantly influenced roughness and microhardness (p<0.0001. For enamel, microleakage was not affected by the finishing/polishing system (p=0.309. For dentin, Sof-Lex discs and Astropol points promoted greater microleakage than Enhance points (p=0.033. Conclusion. Considering roughness, microhardness, and microleakage together, immediate finishing/polishing of a silorane-based composite using aluminum oxide discs may be recommended.

  14. Lysenko affair and Polish botany.

    Science.gov (United States)

    Köhler, Piotr

    2011-01-01

    This article describes the slight impact of Lysenkoism upon Polish botany. I begin with an account of the development of plant genetics in Poland, as well as the attitude of scientists and the Polish intelligentsia toward Marxist philosophy prior to the World War II. Next I provide a short history of the introduction and demise of Lysenkoism in Polish science, with a focus on events in botany, in context with key events in Polish science from 1939 to 1958. The article outlines the little effects of Lysenkoism upon botanists and their research, as well as how botanists for the most part rejected what was often termed the "new biology." My paper shows that though Lysenko's theories received political support, and were actively promoted by a small circle of scientists and Communist party activists, they were never accepted by most botanists. Once the political climate in Poland altered after the events of 1956, Lysenko's theories were immediately abandoned.

  15. Preparation of ceramic materials for surface characterization

    International Nuclear Information System (INIS)

    Zipperian, D.C.

    1989-01-01

    This paper discusses how microstructural preparation permits a microscopic analysis of a material's internal structure, which is related to the physical properties of the material. Today, numerous microstructural quantitative and qualitative measurements are commonly utilized. Several of these include phase determination, phase hardness, phase distribution, grain size and shape, and porosity and size distribution. The most widely used surface characterization techniques are optical microscopy, electron microscopy, and x-ray microscopy. Optical microscopy includes both transmitted-and reflected-light techniques and requires a surface preparation prior to analysis. Transmitted-light microscopy samples require thinning and polishing of both sides of the sample, whereas reflected light techniques require polishing of only one side of the sample

  16. Technology of Polish copper ore beneficiation – perspectives from the past experience

    Directory of Open Access Journals (Sweden)

    Wieniewski Andrzej

    2016-01-01

    Full Text Available The paper describes the main types of existing copper deposits in the world and the most common enrichment technologies. The characteristic elements of the current flowsheet of the Polish ore beneficiation were discussed together with the reasons for using them. The paper presents a perspective flowsheet based on more than 50-years of experience in beneficiation of Polish copper ore. The main elements of proposed technology are: leaving in justified cases the division of ore into fractions of increased sandstone and carbonate ores content, flotation in the milling circuit as part of the effective preparation of the ore to rougher flotation, intensive rougher flotation, classic cleaning system, processing of the middlings with grinding system in new type mills, classification and flotation with outlet of final tailings.

  17. Self-reported preparation of Polish midwives for independent performance of prophylactic activities within the scope of women's diseases and obstetric pathologies.

    Science.gov (United States)

    Iwanowicz-Palus, Grażyna J; Rzońca, Ewa; Bień, Agnieszka; Włoszczak-Szubzda, Anna

    2014-01-01

    The objective of the study is an attempt to recognize self-reported preparation of midwives for an independent performance of prophylactic activities within the scope of women's diseases and obstetric pathologies. The study was conducted in a representative all-Polish population sample of 3,569 midwives, by the method of a diagnostic survey using a questionnaire technique. The research instrument was a questionnaire form designed by the author containing items concerning the characteristics of respondents and the object of the study, constructed based on the 5-point Liker scale. The relationships between the variables were verified using chi-square test (χ(2)) of independence. The p values pindependent performance of prophylactic activities in the area of women's diseases (84.28%) and obstetric pathologies (77.95%). However, nearly every tenth midwife, irrespective of the region of Poland where she lives, age, and participation in post-graduate training, is not prepared for an independent performance of the prevention of women's diseases. In turn, the lack of preparation for carrying out prevention of women's diseases was admitted mainly by midwives from the northern and central regions of Poland, aged 31-40, possessing post-secondary school education, who did not participate in any form of post-graduate training. The results of studies and analysis of the relevant literature indicate that it is necessary for midwives to improve their qualifications in the area of prophylaxis of women's diseases and obstetric pathologies through participation in various forms of post-graduate education.

  18. Polish Literature of the Holocaust. The First Instalment: 1939-1968

    Directory of Open Access Journals (Sweden)

    Katarzyna Kuczyńska-Koschany

    2015-01-01

    Full Text Available The text is a critical attempt discussing the compendium Literatura polska wobec Zagłady, (“Polish Literature in the Face of the Holocaust” edited and published by three prominent scholarly experts on the subject: Sławomir Buryła, Dorota Krawczyńska and Jacek Leociak. This is the first of the three volumes of the series Reprezentacje Zagłady w kulturze polskiej (“Representations of the Holocaust in Polish Culture” – an endeavour which is imposing already in its first instalment concerning the years 1939-1968. The time frame of the abovementioned volume is marked by the date of the beginning of World War II (1939, resulting in the Holocaust of the Jews of Europe, and a “dry pogrom”, that is was the anti-Semitic campaign in Poland in 1968 (the campaign itself and its writings shall be examined in the following volume. A comprehensive and very carefully prepared monograph has been divided into two fundamental parts: concerning the literature reacting to the Holocaust conducted by Nazi Germany during the war (1939-1945 and discussing the literary echoes of that genocide in the years 1945-1968. The study and invaluable interpretational effort have been focused on personal document literature (Marta Janczewska, Jacek Leociak, the prose (Sławomir Buryła, Dorota Krawczyńska, the poetry (Piotr Matywiecki and the press (Ewa Koźmińska-Frejlak. A separate chapter has been devoted to a the “global text”, i.e., Archiwum Ringelbluma (“Ringelblum’s Archives”. Highly appreciating the entire volume as well as its individual fragments, recalling fundamental considerations and the ones concerning details, finally, proposing small corrections and pointing to minor shortcomings, the author of the critical review suggests the use of the formula “Polish literature of the Holocaust” (analogous to the formula coined by Grzegorz Niziołek “Polish theatre of the Holocaust” as the one principally necessary to be contrasted with the

  19. Advanced ion exchange resins for PWR condensate polishing

    International Nuclear Information System (INIS)

    Hoffman, B.; Tsuzuki, S.

    2002-01-01

    The severe chemical and mechanical requirements of a pressurized water reactor (PWR) condensate polishing plant (CPP) present a major challenge to the design of ion exchange resins. This paper describes the development and initial operating experience of improved cation and anion exchange resins that were specifically designed to meet PWR CPP needs. Although this paper focuses specifically on the ion exchange resins and their role in plant performance, it is also recognized and acknowledged that excellent mechanical design and operation of the CPP system are equally essential to obtaining good results. (authors)

  20. Chemical polishing of partially oxidized T-111 alloy

    International Nuclear Information System (INIS)

    Teaney, P.E.

    1974-01-01

    The specimens were pressure-mounted in Bakelite and ground through 600 grit on silicon carbide papers. The specimens were rough-polished on a vibratory polisher for 4 to 6 h, using a water slurry of one micron alumina on Texmet, followed by 0.3-μ alumina on Texmet overnight. Final polishing was accomplished by continuous swabbing with a chemical polish. A chemical polish consisting of ten parts lactic acid, four parts nitric acid, and four parts hydrofluoric acid worked well for the T-111 parent material specimens; however, in the partially oxidized specimens, considerable pitting and staining occurred in the oxygen-affected zone and in the transition zone between the oxygen-affected zone and the parent material. A chemical polish was developed for the partially oxidized specimens by adjusting the ratio of the acids to ten parts lactic acid, two parts nitric acid, and two parts hydrofluoric acid. This slowed the chemical attack on the oxygen-affected zone considerably and, with continuous swabbing, the pitting and stain could be avoided. The specimens were rinsed and checked occasionally on the metallograph to determine when the proper polish had been obtained. Some specimens required intermittent polishing times up to 1 / 2 hour. No relationship could be established between the oxygen content of the specimen and the time required for chemical polishing in the partially oxidized specimens. However, the microstructure of the transition zone was the most difficult to obtain, and specimens with uniform reaction zones across the width of the specimen polished quicker than those with the transition zone

  1. Graphite Composite Panel Polishing Fixture

    Science.gov (United States)

    Hagopian, John; Strojny, Carl; Budinoff, Jason

    2011-01-01

    The use of high-strength, lightweight composites for the fixture is the novel feature of this innovation. The main advantage is the light weight and high stiffness-to-mass ratio relative to aluminum. Meter-class optics require support during the grinding/polishing process with large tools. The use of aluminum as a polishing fixture is standard, with pitch providing a compliant layer to allow support without deformation. Unfortunately, with meter-scale optics, a meter-scale fixture weighs over 120 lb (.55 kg) and may distort the optics being fabricated by loading the mirror and/or tool used in fabrication. The use of composite structures that are lightweight yet stiff allows standard techniques to be used while providing for a decrease in fixture weight by almost 70 percent. Mounts classically used to support large mirrors during fabrication are especially heavy and difficult to handle. The mount must be especially stiff to avoid deformation during the optical fabrication process, where a very large and heavy lap often can distort the mount and optic being fabricated. If the optic is placed on top of the lapping tool, the weight of the optic and the fixture can distort the lap. Fixtures to support the mirror during fabrication are often very large plates of aluminum, often 2 in. (.5 cm) or more in thickness and weight upwards of 150 lb (68 kg). With the addition of a backing material such as pitch and the mirror itself, the assembly can often weigh over 250 lb (.113 kg) for a meter-class optic. This innovation is the use of a lightweight graphite panel with an aluminum honeycomb core for use as the polishing fixture. These materials have been used in the aerospace industry as structural members due to their light weight and high stiffness. The grinding polishing fixture consists of the graphite composite panel, fittings, and fixtures to allow interface to the polishing machine, and introduction of pitch buttons to support the optic under fabrication. In its

  2. A Study of Polishing Feature of Ultrasonic-Assisted Vibration Method in Bamboo Charcoal

    Directory of Open Access Journals (Sweden)

    Hsin-Min Lee

    2017-01-01

    Full Text Available Focusing on the feature of porosity in bamboo charcoal, this study applies the ultrasonic-assisted vibration method to perform surface polishing of the silicon wafer workpiece. The self-developed bamboo charcoal polishing spindle and ultrasonic- assisted vibration mechanism are attached to a single lapping machine. In the machining process, ultrasonic vibration enables the diamond slurry to smoothly pass through the microscopic holes of bamboo charcoal; the end of the bamboo charcoalis able to continue machining on the surface of the workpiece through the grasping force which exists in the microscopic holes. Under the polishing and machining parameters of ultrasonic-assisted vibration, with a diamond slurry concentration of 0.3%, the experimental results show a polishing time of 20 min, a loading of 25 N on the workpiece surface, a spindle speed of 1200 rpm, a vibration frequency of 30 kHz and the original surface roughness value of Ra 0.252 μm equals that of a mirror-like surface at Ra 0.017 μm. These research results prove that by using bamboo charcoal and ultrasonic-assisted vibration for polishing, a very good improvement can be achieved on the workpiece surface.

  3. THE SOCIALIST YOUTH UNION (1957–1976 – POLISH COUNTERPART OF KOMSOMOL

    Directory of Open Access Journals (Sweden)

    Joanna Sadowska

    2016-10-01

    Full Text Available The political system of the Polish People’s Republic was modelled on the Soviet one. Polish youth organizations had the ambitions of being counterparts of Komsomol: they adopted similar work methods and tried to play a similar role in the country. The obvious differences resulted from the specificity of each country and the differences in the societies. The most deeply rooted in the memory of Poles is the Socialist Youth Union, which, being the most stable, existed for almost 20 years with nearly 1.3 million members in the early 1970s. The Union was closely connected with the Polish United Workers’ Party and it had to accomplish two main kinds of political task: to select and prepare future members of the Party, both ordinary and those in the managerial positions, and to educate the whole young generation. The Party indeed treated the organization as its agency, an office dealing with the affairs of youths. However, non-political activity of the Union (culture, entertainment, tourism, etc. was much more effective and evaluated more positively. Actually, there was much more falsehood in the Union: many members were almost completely passive and the work was often only simulated.

  4. Magnetic field sensor based on double-sided polished fibre-Bragg gratings

    International Nuclear Information System (INIS)

    Tien, Chuen-Lin; Hwang, Chang-Chou; Liu, Wen-Feng; Chen, Hong-Wei

    2009-01-01

    A new magnetic field sensor based on double-sided polished fibre-Bragg gratings (FBGs) coated with an iron thin film for measuring magnetic flux density was experimentally demonstrated with the sensitivity of 25.6 nm T −1 . The sensing mechanism is based on the Bragg wavelength shift as the magnetic field is measured by the proposed sensing head. Results of this study present the intensity of the reflected optical signal as a function of the applied strain on the FBG. This paper shows that an improved method for sensing the wavelength shift with changes in external magnetic field is developed by use of the double-sided polished FBGs

  5. Polish reference material: corn flour (INCT-CF-3)for inorganic trace analysis - preparation and certification

    International Nuclear Information System (INIS)

    Polkowska-Motrenko, H.; Dybczynski, R.; Chajduk, E.; Danko, B.; Kulisa, K.; Samczynski, Z.; Sypula, M.; Szopa, Z.

    2006-01-01

    Preparation, examination and certification of the new matrix reference material of biological origin: Corn Flour (INCT-CF-3) is described. The material was prepared from corn grown in Poland according to Polish standard PN-A-74205:1997. The material was sieved through the 250 mm nylon sieves and stored in a polyethylene (PE) bag. Approximately 50 kg of sieved corn flour was collected. Examination by optical microscopy revealed that Martin's diameter of over 98% of particles was below 25 mm. The whole lot of corn flour was then homogenized by mixing for 20 hours in a 110 dm 3 PE drum rotated in three directions. Preliminary homogeneity testing by X-ray fluorescence (XRF) method and final checking of homogeneity by neutron activation analysis (NAA) after distribution of the material into containers revealed, that it is sufficiently homogeneous at least for a sample size ≥ 100 mg. In order to assure the long-term stability, all containers with INCT-CF-3 were sterilized by electron beam radiation. Long-term stability was checked by analyzing concentrations of selected elements in the material stored in the air-conditioned room at 20 o C. Short-term stability was examined by the determination of concentrations of the selected elements in the bottle stored in the CO 2 incubator at 37 o C. The material was certified on the basis of a worldwide interlaboratory comparison, in which 92 laboratories from 19 countries participated providing 962 laboratory averages (4228 individual determinations) for 57 elements. A method of data evaluation leading to assignment of certified values was the same as that used previously in the Laboratory of the Department of Analytical Chemistry, Institute of Nuclear Chemistry and Technology. The result for Mo was obtained by definitive methods developed in the Laboratory and used to support the certification process. Analytical uncertainties and stability uncertainties were quantified to arrive at combined uncertainties of the certified

  6. APS 3D: a new benchmark in aspherical polishing

    Science.gov (United States)

    Gauch, Daniel; Mikulic, Dalibor; Veit, Christian

    2017-10-01

    The APS 3D system performs polishing and form correction in one step in order to reduce overall process time, reduce the number of polishing steps required and eliminate the need for highly skilled operators while providing a repeatable polishing process. This new 3D Polishing system yields better surface quality, and a better slope error, automatically determining the optimum speeds, feed rates and polish pressures to achieve a deterministic process based on the required quality parameters input by the operator. The process flow is always the same to ensure consistent quality and target quality values are defined before polishing begins.

  7. Cleaning, abrasion, and polishing effect of novel perlite toothpaste abrasive.

    Science.gov (United States)

    Wang, Bo

    2013-01-01

    This study was intended to optimize perlite particle size and morphology for better tooth cleaning and lower tooth abrasion, and to evaluate the performance of a whitening toothpaste containing the optimized perlite abrasive for tooth cleaning, abrasion, and polishing. Perlite toothpaste abrasive samples were prepared by air classifying a commercial expanded perlite product. The tooth cleaning and abrasion properties for these classified perlite samples were reported via the pellicle cleaning ratio (PCR) and relative dentin abrasion (RDA). Performance of the whitening toothpaste containing the optimized perlite abrasive in tooth cleaning, polishing, and abrasion was evaluated against a widely used synthetic high-cleaning silica. Air classification removes large perlite particles and also physically changes perlite particle morphology from mostly three dimensional and angular particles to mainly two dimensional and platy particles. All the classified samples show good tooth cleaning effect, but tooth abrasion decreases significantly with decreasing particle size. Compared to high-cleaning silica whitening toothpaste, the whitening toothpaste containing the optimized perlite abrasive (PerlClean) is slightly better at tooth cleaning, lower in tooth abrasion, and significantly better at tooth polishing. Fine platy perlite particles are effective in tooth cleaning with low tooth abrasion. The enhanced performance of optimized perlite toothpaste abrasive compared to high-cleaning silica in a whitening toothpaste is attributed to the optimized particle size distribution and the unique platy particle geometry.

  8. Polish Americans. Second, Revised Edition.

    Science.gov (United States)

    Lopata, Helen Znaniecka

    This book examines Polonia, the Polish ethnic community in America created by three giant waves of immigration between 1880 and 1990. The complicated history of this ethnic group is reflected in the lives of increasing numbers of Polish Americans, including recent immigrants brought by political and economic changes, as they achieve middle class…

  9. Experimental Polish-Lithuanian Corpus with the Semantic Annotation Elements

    Directory of Open Access Journals (Sweden)

    Danuta Roszko

    2015-06-01

    Full Text Available Experimental Polish-Lithuanian Corpus with the Semantic Annotation Elements In the article the authors present the experimental Polish-Lithuanian corpus (ECorpPL-LT formed for the idea of Polish-Lithuanian theoretical contrastive studies, a Polish-Lithuanian electronic dictionary, and as help for a sworn translator. The semantic annotation being brought into ECorpPL-LT is extremely useful in Polish-Lithuanian contrastive studies, and also proves helpful in translation work.

  10. Manipulating mammalian cell morphologies using chemical-mechanical polished integrated circuit chips

    Science.gov (United States)

    Moussa, Hassan I.; Logan, Megan; Siow, Geoffrey C.; Phann, Darron L.; Rao, Zheng; Aucoin, Marc G.; Tsui, Ting Y.

    2017-12-01

    Tungsten chemical-mechanical polished integrated circuits were used to study the alignment and immobilization of mammalian (Vero) cells. These devices consist of blanket silicon oxide thin films embedded with micro- and nano-meter scale tungsten metal line structures on the surface. The final surfaces are extremely flat and smooth across the entire substrate, with a roughness in the order of nanometers. Vero cells were deposited on the surface and allowed to adhere. Microscopy examinations revealed that cells have a strong preference to adhere to tungsten over silicon oxide surfaces with up to 99% of cells adhering to the tungsten portion of the surface. Cells self-aligned and elongated into long threads to maximize contact with isolated tungsten lines as thin as 180 nm. The orientation of the Vero cells showed sensitivity to the tungsten line geometric parameters, such as line width and spacing. Up to 93% of cells on 10 μm wide comb structures were aligned within ± 20° of the metal line axis. In contrast, only 22% of cells incubated on 0.18 μm comb patterned tungsten lines were oriented within the same angular interval. This phenomenon is explained using a simple model describing cellular geometry as a function of pattern width and spacing, which showed that cells will rearrange their morphology to maximize their contact to the embedded tungsten. Finally, it was discovered that the materials could be reused after cleaning the surfaces, while maintaining cell alignment capability.

  11. Analysis the complex interaction among flexible nanoparticles and materials surface in the mechanical polishing process

    Energy Technology Data Exchange (ETDEWEB)

    Han Xuesong, E-mail: hanxuesongphd@yahoo.com.cn [School of Mechanical Engineering, Tianjin University, 300072 (China); Gan, Yong X. [Department of Mechanical, Industrial and Manufacturing Engineering, University of Toledo, OH 43606 (United States)

    2011-02-01

    Mechanical polishing (MP), being the important technique of realizing the surface planarization, has already been widely applied in the area of microelectronic manufacturing and computer manufacturing technology. The surface planarization in the MP is mainly realized by mechanical process which depended on the microdynamic behavior of nanoparticle. The complex multibody interaction among nanoparticles and materials surface is different from interaction in the macroscopic multibody system which makes the traditional classical materials machining theory cannot accurately uncover the mystery of the surface generation in the MP. Large-scale classical molecular dynamic (MD) simulation of interaction among nanoparticles and solid surface has been carried out to investigate the physical essence of surface planarization. The particles with small impact angle can generate more uniform global planarization surface but the materials removal rate is lower. The shear interaction between particle and substrate may induce large friction torque and lead to the rotation of particle. The translation plus rotation makes the nanoparticle behaved like micro-milling tool. The results show that the nanoparticles may aggregrate together and form larger cluster thus deteriorate surface the quality. This MD simulation results illuminate that the f inal planarized surface can only be acquired by synergic behavior of all particles using various means such as cutting, impacting, scratching, indentation and so on.

  12. Stress 'deafness' in a language with fixed word stress: an ERP study on Polish

    Directory of Open Access Journals (Sweden)

    Ulrike eDomahs

    2012-11-01

    Full Text Available The aim of the present contribution was to examine the factors influencing the prosodic processing in a language with predictable word stress. For Polish, a language with fixed penultimate stress but several well-defined exceptions, difficulties in the processing and representation of prosodic information have been reported (e.g., Peperkamp & Dupoux, 2002. The present study utilized event-related potentials (ERPs to investigate the factors influencing prosodic processing in Polish. These factors are i the predictability of stress and ii the prosodic structure in terms of metrical feet. Polish native speakers were presented with correctly and incorrectly stressed Polish words and instructed to judge the correctness of the perceived stress patterns. For each stress violation an early negativity was found which was interpreted as reflection of an error-detection mechanism, and in addition exceptional stress patterns (= antepenultimate stress and post-lexical (= initial stress evoked a task-related positivity effect (P300 whose amplitude and latency is correlated with the degree of anomaly and deviation from an expectation. Violations involving the default (= penultimate stress in contrast did not produce such an effect. This asymmetrical result is interpreted to reflect that Polish native speakers are less sensitive to the default pattern than to the exceptional or post-lexical patterns. Behavioral results are orthogonal to the electrophysiological results showing that Polish speakers had difficulties to reject any kind of stress violation. Thus, on a meta-linguistic level Polish speakers appeared to be stress-‘deaf’ for any kind of stress manipulation, whereas the neural reactions differentiate between the default and lexicalized patterns.

  13. Assessing operability of a novel polisher arrangement using MMS

    International Nuclear Information System (INIS)

    Shor, S.W.W.

    1987-01-01

    A condensate polisher is intended to remove both particulate matter and ionic material from the condensate. Condensate polishers have normally been placed directly in the condensate system downstream of the condensate pumps. This inline location has certain disadvantages. These disadvantages are discussed. Placing the polisher in a sidestream location, where water is removed from the condensate system, pumped through the polisher, and then returned to the condensate system provides a solution to these disadvantages. Several possible types of sidestream installations is described. This has a polisher taking unpolished condensate from one compartment from one compartment of a divided hotwell in a specially modified condenser and returning polished condensate to the other compartment. The polisher is supplied by its own dedicated pumps, which have a head requirement sufficient only to overcome the pressure drop through the polisher circuit at a flow rate of 110% of maximum condensate flow. This concept is very attractive but has not yet been tested even though it is being installed in several new units. A simulation was, therefore, performed using MMS to provide confidence that this particular sidestream polisher arrangement was operationally viable

  14. Measurement of surface roughness changes of unpolished and polished enamel following erosion.

    Directory of Open Access Journals (Sweden)

    Francesca Mullan

    Full Text Available To determine if Sa roughness data from measuring one central location of unpolished and polished enamel were representative of the overall surfaces before and after erosion.Twenty human enamel sections (4x4 mm were embedded in bis-acryl composite and randomised to either a native or polishing enamel preparation protocol. Enamel samples were subjected to an acid challenge (15 minutes 100 mL orange juice, pH 3.2, titratable acidity 41.3mmol OH/L, 62.5 rpm agitation, repeated for three cycles. Median (IQR surface roughness [Sa] was measured at baseline and after erosion from both a centralised cluster and four peripheral clusters. Within each cluster, five smaller areas (0.04 mm2 provided the Sa roughness data.For both unpolished and polished enamel samples there were no significant differences between measuring one central cluster or four peripheral clusters, before and after erosion. For unpolished enamel the single central cluster had a median (IQR Sa roughness of 1.45 (2.58 μm and the four peripheral clusters had a median (IQR of 1.32 (4.86 μm before erosion; after erosion there were statistically significant reductions to 0.38 (0.35 μm and 0.34 (0.49 μm respectively (p<0.0001. Polished enamel had a median (IQR Sa roughness 0.04 (0.17 μm for the single central cluster and 0.05 (0.15 μm for the four peripheral clusters which statistically significantly increased after erosion to 0.27 (0.08 μm for both (p<0.0001.Measuring one central cluster of unpolished and polished enamel was representative of the overall enamel surface roughness, before and after erosion.

  15. Laser polishing of additive manufactured Ti alloys

    Science.gov (United States)

    Ma, C. P.; Guan, Y. C.; Zhou, W.

    2017-06-01

    Laser-based additive manufacturing has attracted much attention as a promising 3D printing method for metallic components in recent years. However, surface roughness of additive manufactured components has been considered as a challenge to achieve high performance. In this work, we demonstrate the capability of fiber laser in polishing rough surface of additive manufactured Ti-based alloys as Ti-6Al-4V and TC11. Both as-received surface and laser-polished surfaces as well as cross-section subsurfaces were analyzed carefully by White-Light Interference, Confocal Microscope, Focus Ion Beam, Scanning Electron Microscopy, Energy Dispersive Spectrometer, and X-ray Diffraction. Results revealed that as-received Ti-based alloys with surface roughness more than 5 μm could be reduce to less than 1 μm through laser polishing process. Moreover, microstructure, microhardness and wear resistance of laser-polished zone was investigated in order to examine the thermal effect of laser polishing processing on the substrate of additive manufactured Ti alloys. This proof-of-concept process has the potential to effectively improve the surface roughness of additive manufactured metallic alloy by local polishing method without damage to the substrate.

  16. Surface roughness and hardness of a composite resin: influence of finishing and polishing and immersion methods

    Directory of Open Access Journals (Sweden)

    Ana Luísa Botta Martins de Oliveira

    2010-09-01

    Full Text Available This study evaluated the finishing and polishing effect on the surface roughness and hardness of the Filtek Supreme XT, in fluoride solutions. Specimens were prepared (n = 140 with half of the samples finished and polished with Super-Snap® disks. The experimental groups were divided according to the presence or absence of finishing and polishing and immersion solutions (artificial saliva, sodium fluoride solution at 0.05% - manipulated, Fluordent Reach, Oral B, Fluorgard. The specimens remained immersed in artificial saliva for 24 hours and were then subjected to initial analysis (baseline of surface roughness and Vickers microhardness. Next, they were immersed in different fluoride solutions for 1 min/day, for 60 days. Afterwards, a new surface roughness and microhardness reading was conducted. The data were submitted to a two-way ANOVA and Tukey's test (5% significance level. For the comparison of mean roughness and hardness at baseline and after 60 days, the paired Student t test was used. The results showed that the surface roughness and microhardness of the Filtek Supreme XT were influenced by the finishing and polishing procedure, independently of the immersion methods.

  17. Mécanisme de l'usure par polissage des cylindres de moteurs diesel Bore Polishing Wear Mechanism in Diesel Engine Cylinders

    Directory of Open Access Journals (Sweden)

    Fayard J. C.

    2006-11-01

    particulier, l'usure des segments ainsi que les débits de gaz de soufflage, sont plus faibles qu'avec une chemise normale. Enfin, le mécanisme de l'usure par polissage par abrasion douce à deux et à trois corps est parfaitement confirmé par une exploration micrographique et une microanalyse des surfaces polies. A fast and economical method for evaluating lubricants and fuels in relation to the bore polishing wear of super charged diesel-engine cylinders has been developed using a single-cylinder laboratory engine within the framework of an Société Nationale Elf Aquitaine - Institut Français du Pétrole (SNEA-lFP research agreement. This method bears the reference IFP-UP-4/80.It also serves to evaluate the deposit-forming and sticking tendency, ring wear and the oil-consumption tendency of lubricants. It is in good correlation with the CEC-Ford Tornado test and makes an excellent discrimination between the Coordinating European Council (CEC reference cils RL 47 and RL 48. The method is used for investigating the bore polishingwear mechanism by searching for the influence exerted by the principal parameters: (a Composition of the lubricant: the phenomenon is influenced by the base oil and its viscosity, by the amount of polymers improving the viscosity index and especially by the choice of detergent additives. As a first approximation and for homogeneous oil familles, polishing wear increases when the thermal stability of the oil decreases. (b Engine running: polishing increases very fart with the engine load after a certain threshold, and its evolution in time as observed by endoscopic rating shows a characteristic S shape as polishing begins on the thrust side and at the top of the cylinder. (c Composition of the fuel: the increase in the sulfur content of diesel fuel considerably decreases polishing wear caused by an oil reputed to be poorfrom this standpoint but has no effect on a goodoil. (d Surface finish of the cylinder: prepolishing the liner by extremely fine

  18. Fabrication of ruthenium thin film and characterization of its chemical mechanical polishing process

    International Nuclear Information System (INIS)

    Chou, Yi-Sin; Yen, Shi-Chern; Jeng, King-Tsai

    2015-01-01

    The fabrication of Ru thin film is conducted on titanium (Ti)-based rotating disk electrodes (RDE) by electrodeposition and characteristics of its chemical mechanical polishing (CMP) are investigated to be employed for copper diffusion layer applications in various semiconductor-device interconnects. The electrodeposits obtained under different electrodeposition conditions are characterized using atomic force microscope (AFM) and field emission scanning electron microscope (FESEM). Experimental results indicate that the Ru electrodeposition exhibits a Tafel behavior with a 2e metal ion reduction process. Both exchange current density and cathodic transfer coefficient are determined. A quasi Koutecky–Levich analysis is proposed to analyze the electrodeposition processes under different applied current density conditions and the activation overpotentials together with electrodeposition rate constants are obtained. For Ru CMP operations, slurries containing metal-free 2wt% ammonium persulfate and 2wt% silica abrasive at various pH values are employed. Potentiodynamic polarization studies indicate that the corrosion current density varies in the presence of ammonia while the static etch rate remains low. Both chemical and mechanical effects are investigated and analyzed, and the CMP efficacy factors are obtained. - Highlights: • Ru electrodeposition is a 2e metal ion reduction process with Tafel behavior. • Ru electrodeposition on Ti RDE fits a quasi Koutecky–Levich equation. • Metal-free slurry is employed for CMP operation to avoid contamination. • The Ru CMP process is affected by the surface condition and the pH of slurry. • The CMP efficacy factor should be high in order to obtain a smooth surface

  19. Fabrication of ruthenium thin film and characterization of its chemical mechanical polishing process

    Energy Technology Data Exchange (ETDEWEB)

    Chou, Yi-Sin [Department of Chemical Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Yen, Shi-Chern, E-mail: scyen@ntu.edu.tw [Department of Chemical Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Jeng, King-Tsai [Research Division I, TIER, 7F, No. 16-8, Dehuei St., Taipei 10461, Taiwan (China)

    2015-07-15

    The fabrication of Ru thin film is conducted on titanium (Ti)-based rotating disk electrodes (RDE) by electrodeposition and characteristics of its chemical mechanical polishing (CMP) are investigated to be employed for copper diffusion layer applications in various semiconductor-device interconnects. The electrodeposits obtained under different electrodeposition conditions are characterized using atomic force microscope (AFM) and field emission scanning electron microscope (FESEM). Experimental results indicate that the Ru electrodeposition exhibits a Tafel behavior with a 2e metal ion reduction process. Both exchange current density and cathodic transfer coefficient are determined. A quasi Koutecky–Levich analysis is proposed to analyze the electrodeposition processes under different applied current density conditions and the activation overpotentials together with electrodeposition rate constants are obtained. For Ru CMP operations, slurries containing metal-free 2wt% ammonium persulfate and 2wt% silica abrasive at various pH values are employed. Potentiodynamic polarization studies indicate that the corrosion current density varies in the presence of ammonia while the static etch rate remains low. Both chemical and mechanical effects are investigated and analyzed, and the CMP efficacy factors are obtained. - Highlights: • Ru electrodeposition is a 2e metal ion reduction process with Tafel behavior. • Ru electrodeposition on Ti RDE fits a quasi Koutecky–Levich equation. • Metal-free slurry is employed for CMP operation to avoid contamination. • The Ru CMP process is affected by the surface condition and the pH of slurry. • The CMP efficacy factor should be high in order to obtain a smooth surface.

  20. Preparation and utilization of metal oxide fine powder

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Joon Soo; Jang, Hee Dong; Lim, Young Woong; Kim, Sung Don; Lee, Hi Sun; Lee, Hoo In; Kim, Chul Joo; Shim, Gun Joo; Jang, Dae Kyu [Korea Inst. of Geology Mining and Materials, Taejon (Korea, Republic of)

    1995-12-01

    Metal oxide fine powders finds many applications in industry as new materials. It is very much necessary for the development of such powders to improve the domestic industry. The purpose of present research is to develop a process for the preparation and utilization of metal oxide fine powder. This project is consisted of two main subjects. (1) Production of ultrafine metal oxide powder: Ultrafine metal oxide powder is defined as a metal oxide powder of less than 100 nanometer in particle size. Experiments for the control of particle size and distributions in the various reaction system and compared with results of (2 nd year research). Various reaction systems were adopted for the development of feasible process. Ultrafine particles could be prepared even higher concentration of TiCl{sub 4} and lower gas flowrate compared to TiCl{sub 4}-O{sub 2} system in the TiCl{sub 4}-Air-H{sub 2}O system. Ultrafine Al{sub 2}O{sub 3} powders also prepared with the change of concentration and gas flowrate. Experiments on the treatment of surface characteristics of ultrafine TiO{sub 2} powders were investigated using esterification and surface treating agents. A mathematical model that can predict the particle size and distribution was also developed. (2) Preparation of cerium oxide for high-grade polishing powder: Used cerium polishing powder was recycled for preparation of high grade cerium oxide polishing powder. Also, cerium hydroxide which was generated as by-product in processing of monazite ore was used as another material. These two materials were leached respectively by using acid, and the precipitate was gained in each leached solution by adjusting pH of the solution, and by selective crystallization. These precipitates were calcined to make high grade cerium oxide polishing powder. The effect of several experimental variables were investigated, and the optimum conditions were obtained through the experiments. (author). 81 refs., 49 figs., 27 tabs.

  1. Evaluation of the surface roughness of three heat-cured acrylic denture base resins with different conventional lathe polishing techniques: A comparative study.

    Science.gov (United States)

    Rao, Duggineni Chalapathi; Kalavathy, N; Mohammad, H S; Hariprasad, A; Kumar, C Ravi

    2015-01-01

    Surface roughness promotes adhesion and colonization of denture plaque. Therefore, it is important to know the effects of polishing and finishing on the surface roughness of various acrylic resin materials. To evaluate and compare the effects of different conventional lathe polishing techniques on heat cured acrylic resins in producing surface roughness. Three different commercially available heat-cured acrylic resin materials namely DPI, Meliodent and Trevalon Hi were selected. 30 Specimens of each acrylic material (30 x 3 = 90, 10 x 60 x 2mm) were prepared and divided into 5 groups, each group consisted of 6 Nos. of specimens per material(6x3=18) and were grouped as Group A(unfinished), Group B (finished), Group C (Polishing Paste), Group D (Polishing Cake) and Group E (Pumice and Gold rouge). The resulted surface roughness (μm) was measured using Perthometer and observed under Scanning Electron Microscope. The values obtained were subjected statistical analyses. Among the materials tested, better results were obtained with Trevalon Hi followed by Meliodent and DPI. Among the polishing methods used, superior results were obtained with universal polishing paste followed by polishing cake; Pumice and Gold rouge. Although Pumice and Gold rouge values produced greater roughness value, they were well within the threshold value of 0.2 mm.

  2. METALLOGRAPHIC SAMPLE PREPARATION STATION-CONSTRUCTIVE CONCEPT

    Directory of Open Access Journals (Sweden)

    AVRAM Florin Timotei

    2016-11-01

    Full Text Available In this paper we propose to present the issues involved in the case of the constructive conception of a station for metallographic sample preparation. This station is destined for laboratory work. The metallographic station is composed of a robot ABB IRB1600, a metallographic microscope, a gripping device, a manipulator, a laboratory grinding and polishing machine. The robot will be used for manipulation of the sample preparation and the manipulator take the sample preparation for processing.

  3. Food additives used in meat processing according to the Polish and European Union legislation.

    Science.gov (United States)

    Uradziński, J; Weiner, M

    2003-01-01

    This paper presents the legal regulations related to the use of food additives in meat production in Poland and the European Union. The Polish legal definition of food additives is given as well as the classification of permitted food additives added to food and stimulants by their technological function. In addition, a definition of processing aids in the food industry is included. It shows that Polish legislation includes food additives used to ensure or improve food nutritional value, whereas in the EU legislation, these substances are not included in the list of food additives. Moreover, the Council Directives include food additive specific purity criteria, whereas the Polish regulations do not mention the legal regulations of this issue in practice. The European Union use mechanisms and procedures for the introduction of new food additives into internal markets as well as controlling the circulation of additives. The Polish legislation in practice, however, does not determine approval or methods for the introduction of new food additives to the market. Legal regulations on the monitoring of food additives no exist.

  4. Technological Advances of Robot Assisted Polishing

    DEFF Research Database (Denmark)

    Lazarev, Ruslan; Top, Søren; Grønbæk, Jens

    The efficient polishing of surfaces is very important in mould and die industry. Fine abrasive processes are widely used in industry for the first steps for the production of tools of high quality in terms of finishing accuracy, form and surface integrity. While manufacturing of most components....... In this study, the influence of polishing parameters and type of polishing media on fine abrasive surface finishing is investigated. Experimental study is covering 2D rotational surfaces that is widespread used in mould and dies industry. Application of it is essential for process intelligent control, condition...... monitoring and quality inspection....

  5. Influence of semiconductor surface preparation on photoelectric properties of Al-Zn{sub 3}P{sub 2} contacts

    Energy Technology Data Exchange (ETDEWEB)

    Mirowska, Nella [Institute of Physics, Wroclaw University of Technology, Wybrzeze Wyspianskiego 27, 50-370 Wroclaw (Poland)]. E-mail: nella.mirowska@pwr.wroc.pl; Misiewicz, Jan [Institute of Physics, Wroclaw University of Technology, Wybrzeze Wyspianskiego 27, 50-370 Wroclaw (Poland)

    2006-06-15

    The Schottky barriers formed by Al on Zn{sub 3}P{sub 2} p-type crystals have been studied. Three types of crystals (monocrystal, large-grain crystal and polycrystal) were used for device fabrication. The samples were separated in two groups according to the type of structure and the methods of surface preparation. The samples from the first group were different in structure (monocrystal, large-grain crystal and polycrystals) but prepared in the same way. Three polycrystals with differently prepared surfaces were collected in the second group. Two samples from this group were also annealed in open air at 523 K for 24 h. Measurements of photovoltaic effect at room temperature were carried out to test the impact of surface preparation on photoelectric properties of Al-Zn{sub 3}P{sub 2} contacts. Substantial differences in shape and intensity of PV signal were observed depending on whether the surface of semiconductor was mechanically polished, chemically etched or/and heat treated. The height of potential barrier, {phi} {sub B}, and optical transitions in semiconductor were determined. The value of {phi} {sub B} changed from 0.747 to 0.767 eV for unheated samples and from 0.724 to 0.755 eV for the heated ones. The quality of semiconductor surface seems to have an essential influence on spectral characteristics of Al-Zn{sub 3}P{sub 2} junctions, especially in the case of polycrystals. It appeared that thorough preliminary mechanical polishing of crystals surface provides quite good photoelectric properties of Al-Zn{sub 3}P{sub 2} junctions.

  6. Mechanical bowel preparation for elective colorectal surgery

    DEFF Research Database (Denmark)

    Güenaga, Katia F; Matos, Delcio; Wille-Jørgensen, Peer

    2011-01-01

    The presence of bowel contents during colorectal surgery has been related to anastomotic leakage, but the belief that mechanical bowel preparation (MBP) is an efficient agent against leakage and infectious complications is based on observational data and expert opinions only.An enema before...... the rectal surgery to clean the rectum and facilitate the manipulation for the mechanical anastomosis is used for many surgeons. This is analysed separately...

  7. Chemical mechanical polisher technology for 300mm/0.18-0.13{mu}m semiconductor devices; 300mm/0.18-0.-0.13{mu}m sedai no CMP gijutsu

    Energy Technology Data Exchange (ETDEWEB)

    Tsujimura, M.; Kobayashi, F. [Ebara Corp., Tokyo (Japan)

    1998-10-20

    Described herein are problems involved in, and development points and measures for chemical mechanical polisher (CMP) technology for the generation of 300mm/0.18 to 0.13{mu}m semiconductor devices. Ebara has developed a CMP system for 300mm devices for I300I and Selete (semiconductor high-technologies). The polishing process conditions are set for the time being based on those for the 200mm devices, and the driver and machine structures are set at 2.25 times larger than those for the 200mm devices. Its space requirement is compacter at 1.3 times increase. The company has adopted a concept of `dry-in and dry-out,` which is not common for a CMP. This needs integration of the washer with the polisher, and aerodynamic designs for dust-free conditions. These are already developed for the 200mm devices, and applicable to the 300mm devices without causing any problem. The special chamber for the conventional CMP can be dispensed with, reducing cost. Expendables, such as slurry pad, are being developed to double their service lives and halve their consumption. 8 figs.

  8. Stress-induced light scattering method for the detection of latent flaws on fine polished glass substrates.

    Science.gov (United States)

    Sakata, Y; Sakai, K; Nonaka, K

    2014-08-01

    Fine polishing techniques, such as the chemical mechanical polishing treatment, are one of the most important technique to glass substrate manufacturing. Mechanical interaction in the form of friction occurs between the abrasive and the substrate surface during polishing, which may cause formation of latent flaws on the glass substrate surface. Fine polishing-induced latent flaws may become obvious during a subsequent cleaning process if glass surfaces are corroded away by chemical interaction with the cleaning liquid. Latent flaws thus reduce product yield. In general, non-destructive inspection techniques, such as the light-scattering methods, used to detect foreign matters on the glass substrate surface. However, it is difficult to detect latent flaws by these methods because the flaws remain closed. Authors propose a novel inspection technique for fine polishing-induced latent flaws by combining the light scattering method with stress effects, referred to as the stress-induced light scattering method (SILSM). SILSM is able to distinguish between latent flaws and particles on the surface. In this method, samples are deformed by an actuator and stress effects are induced around the tips of latent flaws. Due to the photoelastic effect, the refractive index of the material around the tip of a latent flaw is changed. This changed refractive index is in turn detected by a cooled charge-coupled device camera as variations in light scattering intensity. In this report, surface latent flaws are detected non-destructively by applying SILSM to glass substrates, and the utility of SILSM evaluated as a novel inspection technique.

  9. The Polish Mother on the defensive? The transformation of the myth and its impact on the motherhood of Polish women

    Directory of Open Access Journals (Sweden)

    Agnieszka Imbierowicz

    2012-06-01

    Full Text Available The subject of this presentation is the attempt to define and to present the origins, socio-cultural content and the evolution of The Polish Mother myth, present in the polish national consciousness. The author tries to show how this myth was born, what functions it fulfilled and what forms it took in the changing historical and social reality, from the moment of loss of independence, through a period of real socialism, until the present day. The impact of this myth in the lives of real women and their motherhood is taken into consideration. Then, the author comparing the results of the latest polish sociological researches on the family and its transformation, and transformation of value systems together with theories about the specifics of life in the period of postmodernity, wonders whether it’s time to deconstruct the myth of The Polish Mother, because it does not fit the conditions of today’s world, which is characterized, above all, by the apotheosis of individuality, self-realization and freedom, or perhaps in polish society there is still strong traditionalism in thinking about motherhood, and the myth of The Polish Mother is still alive?

  10. Effect of polishing instruments and polishing regimens on surface topography and phase transformation of monolithic zirconia: An evaluation with XPS and XRD analysis.

    Science.gov (United States)

    Al-Haj Husain, Nadin; Camilleri, Josette; Özcan, Mutlu

    2016-12-01

    Polishing procedures might alter monolithic zirconia (MZ) surface resulting in phase changes that can be deleterious for clinical performance and antagonist tooth wear. This study investigated the topographical features and phase transformation in MZ after polishing with different regimens simulating the clinical workflow. ​ MZ specimens (Katana Zirconia HT, Kuraray-Noritake) (12×12×1.8 mm(3)) were grinded and polished using one of the five systems assessed: BG: Silicone carbide polishers (Brownie, Greenie, Super Greenie); CG: Diamond impregnated ceramic polisher kit (Ceragloss); EV: Synthetically bonded grinder interspersed with diamond (EVE Kit); SL: Urethane coated paper with aluminium oxide grits (Soflex Finishing and Polishing System Kit) and DB: Diamond bur (8 µm). Polished specimens were initially roughened with 220 µm diamond burs (Grinding Bur-GB) (10 s, 160.000160,000 rpm) and considered for baseline measurements. Polishing regimens were performed for 10 s using a slow-speed hand piece under water-cooling except for SL, in a custom made device (750 g; 5000 and 75,000 rpm). Surface roughnesses, phase changes (XRD) were assessed, surface characterization was performed (SEM, EDS). The highest roughness was obtained with the EV system (1.11 µm) compared to those of other systems (0.13-0.4 µm) (pθ and minor peak at 34.94°2θ. While GB, CG, EV, SL and DB exhibited a peak shift to the left, BG demonstrated a right peak shift on the 2θ scale. Monoclinic phase change was not noted in any of the groups. All polishing methods, except BG, exhibited a peak shift towards the lower angles of the 2-theta scale. Since the peak shifts were in the order of fractions of an angle they are attributed to stress formation rather than a phase change in the material. Thus, all polishing systems tested may not be detrimental for the phase transformation of MZ. EV system resulted in the highest roughness and none of the polishing regimens restored the polishability to the

  11. Mechanical site preparation for forest restoration

    Science.gov (United States)

    Magnus Lof; Daniel C. Dey; Rafael M. Navarro; Douglass F. Jacobs

    2012-01-01

    Forest restoration projects have become increasingly common around the world and planting trees is almost always a key component. Low seedling survival and growth may result in restoration failures and various mechanical site preparation techniques for treatment of soils and vegetation are important tools used to help counteract this. In this article, we synthesize the...

  12. Influence of Specimen Preparation and Test Methods on the Flexural Strength Results of Monolithic Zirconia Materials.

    Science.gov (United States)

    Schatz, Christine; Strickstrock, Monika; Roos, Malgorzata; Edelhoff, Daniel; Eichberger, Marlis; Zylla, Isabella-Maria; Stawarczyk, Bogna

    2016-03-09

    The aim of this work was to evaluate the influence of specimen preparation and test method on the flexural strength results of monolithic zirconia. Different monolithic zirconia materials (Ceramill Zolid (Amann Girrbach, Koblach, Austria), Zenostar ZrTranslucent (Wieland Dental, Pforzheim, Germany), and DD Bio zx² (Dental Direkt, Spenge, Germany)) were tested with three different methods: 3-point, 4-point, and biaxial flexural strength. Additionally, different specimen preparation methods were applied: either dry polishing before sintering or wet polishing after sintering. Each subgroup included 40 specimens. The surface roughness was assessed using scanning electron microscopy (SEM) and a profilometer whereas monoclinic phase transformation was investigated with X-ray diffraction. The data were analyzed using a three-way Analysis of Variance (ANOVA) with respect to the three factors: zirconia, specimen preparation, and test method. One-way ANOVA was conducted for the test method and zirconia factors within the combination of two other factors. A 2-parameter Weibull distribution assumption was applied to analyze the reliability under different testing conditions. In general, values measured using the 4-point test method presented the lowest flexural strength values. The flexural strength findings can be grouped in the following order: 4-point strength values than prepared before sintering. The Weibull moduli ranged from 5.1 to 16.5. Specimens polished before sintering showed higher surface roughness values than specimens polished after sintering. In contrast, no strong impact of the polishing procedures on the monoclinic surface layer was observed. No impact of zirconia material on flexural strength was found. The test method and the preparation method significantly influenced the flexural strength values.

  13. Benefits and costs of IFRS implementation in the opinion of Polish certified auditors

    Directory of Open Access Journals (Sweden)

    Anna Karmańska

    2017-12-01

    Full Text Available The article presents the results of a survey conducted among a carefully selected group of Polish certified auditors. The purpose of the study was to determine whether auditors, during the audit of financial state- ments, perceive certain costs and benefits resulting from the fact that the audited statements were pre- pared in accordance with IFRS. This survey was undertaken to demonstrate that the cost-benefit analysis of IFRS, after more than a decade of their use in Polish practice, could provide important observations for future legislative changes in this area. The study shows that, thanks to the implementation of IFRSs, auditors gain the benefit of lower audit workloads. At the same time, however, they identify six reasons why the audit process is prolonged, requiring special organization, prior special preparation and, as a result, higher auditing costs

  14. [Lysenkoism in Polish botany].

    Science.gov (United States)

    Köhler, Piotr

    2008-01-01

    Lysenkoism in Poland was never an autonomous phenomenon. The whole array of reasons for which it appeared in Polish science would require a separate study--here it only needs to be pointed out that the major reasons included terror on the part of the security service, lawlessness, the ubiquitous atmosphere of intimidation and terror, censorship, the diminishing sphere of civil liberties, political show trials, propaganda and denunciations. An important role in facilitating the introduction of Lysenkoism was played also by the reorganization of science after World War Two, the isolation of Polish science from science in the West, as well as the damage it had suffered during the war. At first, Lysenkoism was promoted in Poland by a small group of enthusiastic and uncritical proponents. A overview of the events connected with the ten years of Lysenkoism in Poland (end of 1948--beginning of 1958) shows a two-tier picture of how the 'idea' was propagated. The first tier consisted in the activities of the Association of Marxist Naturalists [Koło Przyrodników-Marksistów], which it engaged in since the end of 1948. The Association was later transformed into a Union of Marxist Naturalists, and this in turn merged, in 1952, with the Copernican Society of Polish Naturalists [Polskie Towarzystwo Przyrodników im. Kopernika]. It was that society which promoted Lysenkoism longest, until the end of 1956. The propaganda and training activities of the circle and the society prepared ground for analogous activities of the newly formed Polish Academy of Science (PAN), which--since its very establishment in 1952--engaged in promoting Lysenkoism through its Second Division. These activities were aimed at naturalists, initially at those who were prominent scientists (eg. the conference at Kuźnice, 1950/1951), and then at those who were only starting their academic career (including national courses in new biology at Dziwnów, 1952, or Kortowo, 1953 and 1955). The end to promoting

  15. Polish Qualitative Sociology: The General Features and Development

    OpenAIRE

    Konecki, Krzysztof Tomasz

    2005-01-01

    The article explores the development of Polish qualitative sociology in Poland by presenting its main intellectual routes and some of the general features of Polish sociology. Romanticism and inductionmethod are crucial elements for the development of this discipline in Poland and contribute to its. unigueness. The role of Florian Znaniecki in creating the Polish qualitative sociology is also underlined. Krzysztof Konecki

  16. Sensing roughness and polish direction

    DEFF Research Database (Denmark)

    Jakobsen, Michael Linde; Olesen, Anders Sig; Larsen, Henning Engelbrecht

    2016-01-01

    As a part of the work carried out in a project supported by the Danish Council for Technology and Innovation, we have investigated the option of smoothing standard CNC-machined surfaces. In the process of constructing optical prototypes, involving custom-designed optics, the development cost...... and time consumption can become prohibitive in a research budget. Machining the optical surfaces directly is expensive and time consuming. Alternatively, a more standardized and cheaper machining method can be used, calling for the object to be manually polished. During the polishing process, the operator...... needs information about the RMS-value of the surface roughness and the current direction of the scratches introduced by the polishing process. The RMS-value indicates to the operator how far he is from the final finish, and the scratch orientation is often specified by the customer in order to avoid...

  17. Dissolution of high-purity lead and subsequent crystal growth during the preparation of corrosion coupons

    Energy Technology Data Exchange (ETDEWEB)

    McGarvey, G.B. [Atomic Energy of Canada Limited, Chalk River, Ontario (Canada); McDougall, T.E.; Owen, D.G. [Atomic Energy of Canada Limited, Pinawa, Manitoba (Canada)

    1997-07-01

    High-purity lead discs were prepared using several combinations of polishing, rinsing and ultrasonic treatment. Physical degradation of the lead surface and the premature generation and deposition of oxides on the surface were observed for certain combinations of preparation steps. Ultrasonic treatment of the discs was found to be particularly detrimental as it induced significant crystal growth and, in several instances, deterioration of the polished surface. Simple air drying of freshly rinsed discs also led to oxide formation on the surface after as short a time as 1 min. An effective method for preparing discs from high-purity lead is described. (author)

  18. Dissolution of high-purity lead and subsequent crystal growth during the preparation of corrosion coupons

    International Nuclear Information System (INIS)

    McGarvey, G.B.; McDougall, T.E.; Owen, D.G.

    1997-01-01

    High-purity lead discs were prepared using several combinations of polishing, rinsing and ultrasonic treatment. Physical degradation of the lead surface and the premature generation and deposition of oxides on the surface were observed for certain combinations of preparation steps. Ultrasonic treatment of the discs was found to be particularly detrimental as it induced significant crystal growth and, in several instances, deterioration of the polished surface. Simple air drying of freshly rinsed discs also led to oxide formation on the surface after as short a time as 1 min. An effective method for preparing discs from high-purity lead is described. (author)

  19. States and state-preparing procedures in quantum mechanics

    International Nuclear Information System (INIS)

    Benioff, P.A.; Ekstein, Hans

    D'Espagnat and others have shown that different preparation procedures that mix systems prepared in unequivalent states and objectively different, are nevertheless assigned the same state. This unpalatable result follows from the usual interpretative rules of quantum mechanics. It is shown here that this result is incompatible with the strengthened interpretative rules (requiring randomness of the measurement outcome sequence) recently proposed. Thus, the randomness requirement restores reasonableness

  20. [Fifty years of the Polish School of Medicine at the University of Edinburgh (1941-1991)].

    Science.gov (United States)

    Tomaszewski, W

    1994-01-01

    which was celebrated by the University, influenced the participants to organise regular quinquennial world reunions in Edinburgh. A later, particularly memorable event, was the world reunion in 1976 on the occasion of the 250th anniversary of the Medical Faculty of the University; it was celebrated jointly, as it coincided with the 35th anniversary of the Polish School. The 45th anniversary of the School in 1986 was marked by the opening of the "Polish School of Medicine Historical Collection" in the Polish Room of the Erskine Medical Library of the University of Edinburgh. Also a Polish School of Medicine Memorial Fund, founded on contributions from the graduates, was inaugurated at the University of Edinburgh to provide scholarships for research workers from Poland. Another venture was the "Professor Antoni Jurasz Lectureship" for professors of the Edinburgh Medical Faculty to promote contact with Medical Schools in Poland by delivering lectures. An ardent desire was expressed by the original organisers of the School to continue into the future the academic links in medicine between the University and Poland. Political and ideological factors which devided the post-war Europe did not favor such a fulfillment of this plan. The members of the School who remained in the West, maintained links with the University and prepared funds to put the plans of co-operation into action. With the end of the political division of Europe the future of a productive Scottish-Polish collaboration can be viewed with hope and confidence.

  1. Indigenous development of automated metallographic sample preparation system

    International Nuclear Information System (INIS)

    Kulkarni, A.P.; Pandit, K.M.; Deshmukh, A.G.; Sahoo, K.C.

    2005-01-01

    Surface preparation of specimens for Metallographic studies on irradiated material involves a lot of remote handling of radioactive material by skilled manpower. These are laborious and man-rem intensive activities and put limitations on number of samples that can be prepared for the metallographic studies. To overcome these limitations, automated systems have been developed for surface preparation of specimens in PIE division. The system includes (i) Grinding and polishing stations (ii) Water jet cleaning station (iii) Ultrasonic cleaning stations (iv) Drying station (v) Sample loading and unloading station (vi) Dispenser for slurries and diluents and (vii) Automated head for movement of the sample holder disc from one station to other. System facilities the operator for programming/changing sequence of the sample preparations including remote changing of grinding/polishing discs from the stations. Two such systems have been installed and commissioned in Hot Cell for PIE Division. These are being used for preparation of irradiated samples from nuclear fuels and structural components. This development has increased the throughput of metallography work and savings in terms of (man-severts) radiation exposure to operators. This presentation will provide details of the challenges in undertaking this developmental work. (author)

  2. Self-reported preparation of Polish midwives for independent performance of prophylactic activities within the scope of women’s diseases and obstetric pathologies

    Directory of Open Access Journals (Sweden)

    Grażyna J. Iwanowicz-Palus

    2014-06-01

    Full Text Available objective. The objective of the study is an attempt to recognize self-reported preparation of midwives for an independent performance of prophylactic activities within the scope of women’s diseases and obstetric pathologies. material and methods. The study was conducted in a representative all-Polish population sample of 3,569 midwives, by the method of a diagnostic survey using a questionnaire technique. The research instrument was a questionnaire form designed by the author containing items concerning the characteristics of respondents and the object of the study, constructed based on the 5-point Liker scale. The relationships between the variables were verified using chi-square test (χ [sup]2[/sup] of independence. The p values p<0.05 were considered statistically significant. results. Analysis of results allows the presumption that in the opinions of midwives the majority of them are prepared for the independent performance of prophylactic activities in the area of women’s diseases (84.28% and obstetric pathologies (77.95%. However, nearly every tenth midwife, irrespective of the region of Poland where she lives, age, and participation in post-graduate training, is not prepared for an independent performance of the prevention of women’s diseases. In turn, the lack of preparation for carrying out prevention of women’s diseases was admitted mainly by midwives from the northern and central regions of Poland, aged 31–40, possessing post-secondary school education, who did not participate in any form of post-graduate training. conclusions. The results of studies and analysis of the relevant literature indicate that it is necessary for midwives to improve their qualifications in the area of prophylaxis of women’s diseases and obstetric pathologies through participation in various forms of post-graduate education

  3. Polish Semantic Parser

    Directory of Open Access Journals (Sweden)

    Agnieszka Grudzinska

    2000-01-01

    Full Text Available Amount of information transferred by computers grows very rapidly thus outgrowing the average man's capability of reception. It implies computer programs increase in the demand for which would be able to perform an introductory classitication or even selection of information directed to a particular receiver. Due to the complexity of the problem, we restricted it to understanding short newspaper notes. Among many conceptions formulated so far, the conceptual dependency worked out by Roger Schank has been chosen. It is a formal language of description of the semantics of pronouncement integrated with a text understanding algorithm. Substantial part of each text transformation system is a semantic parser of the Polish language. It is a module, which as the first and the only one has an access to the text in the Polish language. lt plays the role of an element, which finds relations between words of the Polish language and the formal registration. It translates sentences written in the language used by people into the language theory. The presented structure of knowledge units and the shape of understanding process algorithms are universal by virtue of the theory. On the other hand the defined knowledge units and the rules used in the algorithms ure only examples because they are constructed in order to understand short newspaper notes.

  4. Microbiological flora and nail polish: a brief report.

    Science.gov (United States)

    Rayan, G M; Flournoy, D J; Schlageter, P

    1994-11-01

    Removing nail polish and prosthetic nails from operating room (OR) personnel prior to scrubbing and from patients prior to hand surgery is recommended but not practiced in many hospitals. There is concern that nail polish can act as a vehicle for the transfer of infectious agents. This study was designed to determine the incidence of microbiological flora of nail polish in a clinical setting.

  5. Smoking characteristics of Polish immigrants in Dublin.

    LENUS (Irish Health Repository)

    Kabir, Zubair

    2008-01-01

    BACKGROUND: This study examined two main hypotheses: a) Polish immigrants\\' smoking estimates are greater than their Irish counterparts (b) Polish immigrants purchasing cigarettes from Poland smoke "heavier" (>\\/= 20 cigarettes a day) when compared to those purchasing cigarettes from Ireland. The study also set out to identify significant predictors of \\'current\\' smoking (some days and everyday) among the Polish immigrants. METHODS: Dublin residents of Polish origin (n = 1,545) completed a previously validated Polish questionnaire in response to an advertisement in a local Polish lifestyle magazine over 5 weekends (July-August, 2007). The Office of Tobacco Control telephone-based monthly survey data were analyzed for the Irish population in Dublin for the same period (n = 484). RESULTS: Age-sex adjusted smoking estimates were: 47.6% (95% Confidence Interval [CI]: 47.3%; 48.0%) among the Poles and 27.8% (95% CI: 27.2%; 28.4%) among the general Irish population (p < 0.001). Of the 57% of smokers (n = 345\\/606) who purchased cigarettes solely from Poland and the 33% (n = 198\\/606) who purchased only from Ireland, 42.6% (n = 147\\/345) and 41.4% (n = 82\\/198) were "heavy" smokers, respectively (p = 0.79). Employment (Odds Ratio [OR]: 2.89; 95% CI: 1.25-6.69), lower education (OR: 3.76; 95%CI: 2.46-5.74), and a longer stay in Ireland (>24 months) were significant predictors of current smoking among the Poles. An objective validation of the self-reported smoking history of a randomly selected sub-sample immigrant group, using expired carbon monoxide (CO) measurements, showed a highly significant correlation coefficient (r = 0.64) of expired CO levels with the reported number of cigarettes consumed (p < 0.0001). CONCLUSION: Polish immigrants\\' smoking estimates are higher than their Irish counterparts, and particularly if employed, with only primary-level education, and are overseas >2 years.

  6. Preparation of naphtalene monocrystals for scintillometers

    International Nuclear Information System (INIS)

    Gaeta, R.

    1959-01-01

    A method to prepare naphthalene crystals for scintillometers is described. The different methods of preparation and the reasons to choose the Bridgman method are analysed. The thermal curves of the growing furnaces, blister shape, use of curved capillaries and the most convenient growing velocities are studied in detail. Methods of preparation of high purity naphthalene by means of chromatography and zone melting are described. Finally the operations of cutting and polishing of crystal and their most significant physics properties are studied. (Author) 28 refs

  7. Mechanical bowel preparation for elective colorectal surgery

    DEFF Research Database (Denmark)

    Güenaga, Katia F; Matos, Delcio; Wille-Jørgensen, Peer

    2011-01-01

    The presence of bowel contents during colorectal surgery has been related to anastomotic leakage, but the belief that mechanical bowel preparation (MBP) is an efficient agent against leakage and infectious complications is based on observational data and expert opinions only.An enema before...

  8. Financial Performance in the Light of Corporate Governance in Polish Family Businesses

    Directory of Open Access Journals (Sweden)

    Socha Błażej

    2017-12-01

    Full Text Available The article presents a view (on the basis of theoretical and empirical analysis of corporate governance models used in Polish family businesses through financial performance. The empirical analysis covered a sample of 24,000 Polish family businesses in the period of 2008–2013. The use of linear regression has allowed the authors to verify the hypothesis concerning the occurrence of differences in profitability ratios in groups of family businesses using variant management models and allowed verifying the relationship between the degree of control and involvement of the owners in management and financial performance. The received results, though inconclusive, indicate that the involvement of the owner in the governance process can affect the financial aspect of a business. The prepared empirical analysis and conclusions of the article contribute to a better understanding of the measures taken on management and control decisions; what is more, they can provide guidance to the owners of family businesses in shaping the corporate governance model.

  9. Standard guide for preparation of metallographic specimens

    CERN Document Server

    American Society for Testing and Materials. Philadelphia

    2011-01-01

    1.1 The primary objective of metallographic examinations is to reveal the constituents and structure of metals and their alloys by means of a light optical or scanning electron microscope. In special cases, the objective of the examination may require the development of less detail than in other cases but, under nearly all conditions, the proper selection and preparation of the specimen is of major importance. Because of the diversity in available equipment and the wide variety of problems encountered, the following text presents for the guidance of the metallographer only those practices which experience has shown are generally satisfactory; it cannot and does not describe the variations in technique required to solve individual specimen preparation problems. Note 1—For a more extensive description of various metallographic techniques, refer to Samuels, L. E., Metallographic Polishing by Mechanical Methods, American Society for Metals (ASM) Metals Park, OH, 3rd Ed., 1982; Petzow, G., Metallographic Etchin...

  10. New technology and tool prepared for communication against storm surges.

    Science.gov (United States)

    Letkiewicz, Beata

    2010-05-01

    The aim of the presentation is description of the new technology and tool prepared for communication, information and issue of warnings against storm surges. The Maritime Branch of the Institute of Meteorology and Water Management is responsible for preparing the forecast as warning, where the end users are Government Officials and Public. The Maritime Branch carry out the project "Strengthening the administrative capacity in order to improve the management of Polish coastal zone environment" (supported by a grant from Norway through the Norwegian Financial Mechanism). The expected final result of the project is web site www.baltyk.pogodynka.pl. One of the activities of the project is - set up of information website www.baltyk.pogodynka.pl, giving public access to the complied data. Information on web site: - meta data - marine data (on-line measurement: sea level, water temperature, salinity, oxygen concentration); - data bases of mathematical model outputs - forecast data (sea level, currents); - ice conditions of the Baltic Sea, - instructions, information materials with information of polish coastal zone. The aim of set up of the portal is development of communication between users of the system, exchange of the knowledge of marine environment and natural hazards such as storm surges, improving the ability of the region in the scope of the data management about the sea environment and the coastal zone.

  11. Polish Qualitative Sociology: The General Features and Development

    OpenAIRE

    Konecki, Krzysztof Tomasz; Kacperczyk, Anna; Marciniak, Łukasz

    2005-01-01

    Forum Qualitative Sozialforschung / Forum: Qualitative Social Research,2005, 6(3) The article explores the development of Polish qualitative sociology in Poland by presenting its main intellectual routes and some of the general features of Polish sociology. Romanticism and inductionmethod are crucial elements for the development of this discipline in Poland and contribute to its. unigueness. The role of Florian Znaniecki in creating the Polish qualitative sociology is also underlined.

  12. Performance of TESLA Cavities After Fabrication and Preparation in Industry

    CERN Document Server

    Pekeler, Michael; Bauer, Stefan; Knobloch, Jens; Vom Stein, Peter

    2005-01-01

    In order to demonstrate cw operation of TESLA cavities in linear accelerators driving FEL applications, two TESLA cavities were manufactured and prepared by ACCEL for BESSY. After production, both cavities were prepared for vertical test at ACCEL's premises using state of the art chemical polishing and high pressure water rinsing techniques. The cavities were tested in DESY's vertical RF test installation. Accelerating gradients close to 25 MV/m were reached. One cavity was completed with a helium vessel modified for cw operation and prepared with chemical polishing, high pressure water rinsing, and assembled with the required High Power Coupler at ACCEL. The fully dressed cavity was then shipped under vacuum to BESSY and tested in the horizontal cryostat HoBiCaT. Horizontal RF test results will be presented and compared with the vertical test results.

  13. Effect of finishing and polishing on color stability of a nanofilled resin immersed in different media

    Directory of Open Access Journals (Sweden)

    Ana Luísa Botta Martins de OLIVEIRA

    Full Text Available OBJECTIVE: The purpose of this study was to evaluate the effect of finishing and polishing on color stability of a nanofilled composite resin (Filtek Z350 XT according to different immersion media.MATERIAL AND METHOD: Composite disks (10 mm diameter, 2 mm thickness were prepared for each group (n = 6 using a stainless steel mold. The groups were divided according to the presence or absence of finishing and polishing procedure and immersion media (artificial saliva, artificial juice- KAPO(r Coca-Cola(rflavors: pineapple, orange, strawberry and grape. The finishing and polishing procedures were performed using Super -Snap(r disks. The specimens were stored in artificial saliva for 24 hours (baseline and were analyzed using a color spectrophotometer by CIELab system. Then, they were immersed in different media for 5 minutes, 3 times a day, every 4 hours during 60 days. They were stored in artificial saliva at 37 ± 1°C during the immersion intervals. After this time, new measure of color was performed. The data were analyzed using Kruskall-Wallis test and Mann- Whitney test. The significance level was 5%.RESULT: The results showed that the finishing/polishing not significantly influence the color stability of resin composite (p > 0.05. There was no statistically significant difference in the color stability of the studied resin after immersion in different media (p > 0.05.CONCLUSION: The finishing and polishing procedures and the immersion media did not have influence on color stability of nanofilled resin Filtek Z350 XT.

  14. 21 CFR 872.6030 - Oral cavity abrasive polishing agent.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Oral cavity abrasive polishing agent. 872.6030... (CONTINUED) MEDICAL DEVICES DENTAL DEVICES Miscellaneous Devices § 872.6030 Oral cavity abrasive polishing agent. (a) Identification. An oral cavity abrasive polishing agent is a device in paste or powder form...

  15. 19th Polish Control Conference

    CERN Document Server

    Kacprzyk, Janusz; Oprzędkiewicz, Krzysztof; Skruch, Paweł

    2017-01-01

    This volume contains the proceedings of the KKA 2017 – the 19th Polish Control Conference, organized by the Department of Automatics and Biomedical Engineering, AGH University of Science and Technology in Kraków, Poland on June 18–21, 2017, under the auspices of the Committee on Automatic Control and Robotics of the Polish Academy of Sciences, and the Commission for Engineering Sciences of the Polish Academy of Arts and Sciences. Part 1 deals with general issues of modeling and control, notably flow modeling and control, sliding mode, predictive, dual, etc. control. In turn, Part 2 focuses on optimization, estimation and prediction for control. Part 3 is concerned with autonomous vehicles, while Part 4 addresses applications. Part 5 discusses computer methods in control, and Part 6 examines fractional order calculus in the modeling and control of dynamic systems. Part 7 focuses on modern robotics. Part 8 deals with modeling and identification, while Part 9 deals with problems related to security, fault ...

  16. Experiences from Refurbishment of Metallography Hot Cells and Application of a New Preparation Concept for Materialography Samples

    International Nuclear Information System (INIS)

    Oberlander, B. C.; Espeland, M.; Solum, N. O.

    2001-01-01

    After more than 30 years of operation the lead shielded metallography hot cells needed a basic renewal and modernisation not least of the specimen preparation equipment. Preparation in hot cells of radioactive samples for metallography and ceramography is challenging and time consuming. It demands a special design and quality of all in-cell equipment and skill and patience from the operator. Essentials in the preparation process are: simplicity and reliability of the machines, and a good quality, reproducibility and efficiency in performance. Desirable is process automation, flexibility and an alara amounto of radioactive waste produced per sample prepared. State of the art preparation equipment for materialography seems to meet most of the demands, however, it cannot be used in hot cells without modifications. Therefore. IFE and Struers in Copenhagen modified a standard model of a Strues precision cutting machine and a microprocessor controlled grinding and polishing machine for Hot Cell application. Hot cell utilisation of the microcomputer controlled grinding and polishing machine and the existing automatic dosing equipment made the task of preparing radioactive samples more attractive. The new grinding and polishing system for hot cells provides good sample preparation quality and reproductibility at reduced preparation time and reduced amount of contaminated waste produced per sample prepared. the sample materials examined were irradiated cladding materials and fuels

  17. Preparation of Superhydrophobic Film on Ti Substrate and Its Anticorrosion Property.

    Science.gov (United States)

    Zhu, Min; Tang, Wenchuan; Huang, Luyao; Zhang, Dawei; Du, Cuiwei; Yu, Gaohong; Chen, Ming; Chowwanonthapunya, Thee

    2017-06-08

    Superhydrophobic films were fabricated on a titanium substrate with or without anodizing by using a self-assembling method. Firstly, the pretreatments of mechanical polishing/anodizing or mechanical polishing only were conducted, respectively. Subsequently, the preparation of polydopamine film layer, deposition of nano-silver particles, and post modification of 1H,1H,2H,2H-perfluorodecanethiol were performed on the surface of the pretreated substrate. The surface morphologies, compositions, wettability, and corrosion resistance of the films were investigated with scanning electron microscopy (SEM), energy-dispersive spectrometry (EDS), water contact angle measurements, and electrochemical tests, respectively. Meanwhile, the effect of the deposition time in the silver nitrate solution on the hydrophobicity of the specimen surface was investigated. The result showed that with the increase of deposition time, the hydrophobic property enhanced gradually. The surface deposited for 7 h exhibited an optimum hydrophobic effect, which was characterized with a large water contact angle (WCA) of 154°, and the surface was rather rough and covered by a relatively uniform layer of micro-nano silver particles. The excellent hydrophobicity was attributed to a rough stratified microstructure along with the low surface energy. The electrochemical measurements showed that the existence of the superhydrophobic film can effectively enhance the corrosion resistance of Ti samples.

  18. Preparation of Superhydrophobic Film on Ti Substrate and Its Anticorrosion Property

    Directory of Open Access Journals (Sweden)

    Min Zhu

    2017-06-01

    Full Text Available Superhydrophobic films were fabricated on a titanium substrate with or without anodizing by using a self-assembling method. Firstly, the pretreatments of mechanical polishing/anodizing or mechanical polishing only were conducted, respectively. Subsequently, the preparation of polydopamine film layer, deposition of nano-silver particles, and post modification of 1H,1H,2H,2H-perfluorodecanethiol were performed on the surface of the pretreated substrate. The surface morphologies, compositions, wettability, and corrosion resistance of the films were investigated with scanning electron microscopy (SEM, energy-dispersive spectrometry (EDS, water contact angle measurements, and electrochemical tests, respectively. Meanwhile, the effect of the deposition time in the silver nitrate solution on the hydrophobicity of the specimen surface was investigated. The result showed that with the increase of deposition time, the hydrophobic property enhanced gradually. The surface deposited for 7 h exhibited an optimum hydrophobic effect, which was characterized with a large water contact angle (WCA of 154°, and the surface was rather rough and covered by a relatively uniform layer of micro-nano silver particles. The excellent hydrophobicity was attributed to a rough stratified microstructure along with the low surface energy. The electrochemical measurements showed that the existence of the superhydrophobic film can effectively enhance the corrosion resistance of Ti samples.

  19. Preparation of Superhydrophobic Film on Ti Substrate and Its Anticorrosion Property

    Science.gov (United States)

    Zhu, Min; Tang, Wenchuan; Huang, Luyao; Zhang, Dawei; Du, Cuiwei; Yu, Gaohong; Chen, Ming; Chowwanonthapunya, Thee

    2017-01-01

    Superhydrophobic films were fabricated on a titanium substrate with or without anodizing by using a self-assembling method. Firstly, the pretreatments of mechanical polishing/anodizing or mechanical polishing only were conducted, respectively. Subsequently, the preparation of polydopamine film layer, deposition of nano-silver particles, and post modification of 1H,1H,2H,2H-perfluorodecanethiol were performed on the surface of the pretreated substrate. The surface morphologies, compositions, wettability, and corrosion resistance of the films were investigated with scanning electron microscopy (SEM), energy-dispersive spectrometry (EDS), water contact angle measurements, and electrochemical tests, respectively. Meanwhile, the effect of the deposition time in the silver nitrate solution on the hydrophobicity of the specimen surface was investigated. The result showed that with the increase of deposition time, the hydrophobic property enhanced gradually. The surface deposited for 7 h exhibited an optimum hydrophobic effect, which was characterized with a large water contact angle (WCA) of 154°, and the surface was rather rough and covered by a relatively uniform layer of micro-nano silver particles. The excellent hydrophobicity was attributed to a rough stratified microstructure along with the low surface energy. The electrochemical measurements showed that the existence of the superhydrophobic film can effectively enhance the corrosion resistance of Ti samples. PMID:28772987

  20. An Unbiased View of the History of Polish Medical Physics by a Senior Polish Medical Physicist

    International Nuclear Information System (INIS)

    Chomicki, O. A.

    2008-01-01

    Here is a story told by Maria Sklodowska-Curie at the meeting of the International Committee of Intellectual Cooperation in 1921: 'In a free literary competition on the role and importance of elephants the Englishman's story was 'My adventures while shooting elephants in South Africa', the Frenchman was more concerned with 'The sexual and erotic life of elephants', while the Polish approach was invariably 'The elephant versus Poland's national independence', which seemed quite understandable in the light of over 120 years when Poland was partitioned and lost its independence. Since then this saying has become proverbial and came to express the unmistakably Polish tendency to see everything in terms of Polish interests. In my remarks and reminiscences on the history of the Polish Society of Medical Physics you will quickly recognize the same tendency. First, I will, among other things, try to open some old cupboards to 'produce good [things] from the store of good' (Matthew 12:35), especially concerning the first few years of the activity in medical physics in Poland, and second, I will draw some conclusions and/or offer suggestions based on what a senior medical physicist has seen for more than 50 years of his activity in this field. (author)

  1. Cannabinoids cases in polish athletes

    OpenAIRE

    A Pokrywka; Z Obmiński; D Kwiatkowska; R Grucza

    2009-01-01

    The aim of this study was to investigate the number of cases and the profiles of Polish athletes who had occasionally been using marijuana or hashish throughout the period of 1998-2004, with respect to: sex, age, and discipline of sport as well as the period of testing (in- and out-of-competition). Results of the study were compared with some data reported by other WADA accredited anti-doping laboratories. Totally, 13 631 urine samples taken from Polish athletes of both sexes, aged 10-67 year...

  2. The social shaping of innovation in polish companies

    DEFF Research Database (Denmark)

    Lorentzen, Anne

    2003-01-01

    The paper deals with strategies of innovation in Polish manufacturing companies. The point of departure is a theoretical framework of enterprise level innovation, and of the factors forming strategies of innovation on enterprise level. The paper analyses evidence from 23 Polish companies and pres......The paper deals with strategies of innovation in Polish manufacturing companies. The point of departure is a theoretical framework of enterprise level innovation, and of the factors forming strategies of innovation on enterprise level. The paper analyses evidence from 23 Polish companies...... and presents two cases more in detail. The analysis shows that the Polish companies have all been quite innovative, mostly in relation to product innovation. They choose innovation strategies, which are incremental more than radical, and they tend to differentiate their product range rather than to specialise....... They consider quality development a must in the fight for market shares and they adapt equipment and organisation to this goal. The factors forming and determining the strategies of the companies count the technological knowledge and expertise of the owner/founder, the structural changes of the market...

  3. Semi-strong informational efficiency in the Polish foreign exchange market

    OpenAIRE

    Luksz Goczek

    2015-01-01

    During the financial crisis a notion that the Polish exchange rate is not determined effectively was very dominant, because of a contagion effect of the global financial crisis on the Polish economy. In addition, many foreign exchange market analysts explained developments in the Polish exchange market trough a hypothesis that the Polish zloty exchange rate follows other exchange rates. This contradicts market efficiency as this would lead to profitable arbitrage possibility based on past inf...

  4. Symmetry or asymmetry? Cross-border openness of service providers in Polish-Czech and Polish-German border towns

    Directory of Open Access Journals (Sweden)

    Dołzbłasz Sylwia

    2015-03-01

    Full Text Available The symmetry and/or asymmetry in terms of cross-border openness of service providers is examined in this article, for the cases of two border twin towns: Cieszyn/Český Těšín at the Polish-Czech border, and Gubin/Guben at the Polish-German border. To assess the level of openness of firms towards clients from the other side of the border, four trans-border categories were examined: neighbour’s language visible at store location; business offers in the language of the neighbour; the possibilities of payment in the neighbour’s currency; and the staff’s knowledge of the language. This enabled a comparison of both parts of the particular twin towns in relation to the character of cross-border openness, as well as an assessment of their symmetry/asymmetry. Comparisons of Gubin/Guben and Cieszyn/Český Těšín with respect to the analysed features were also carried out. The analysis shows significant variation in the level of cross-border openness towards clients from neighbouring countries. Whereas in the Polish-Czech town a relative symmetry was observed, in the Polish-German case, significant asymmetry was noted.

  5. Transformation of the Polish Banking Sector

    Directory of Open Access Journals (Sweden)

    Marek Stefański

    2009-07-01

    Full Text Available In the post-war period the banking system in Poland underwent two important system transitions: after 1946 and after 1989. The third transformation began after May 1, 2004, but it did not have a systemic character. The Polish banking sector started to operate on the Single European Market. The first part of the paper is devoted to the problems of the banks transformations after 1989 with a special focus on the quantitative development of banks in 19892008, and on subsequent privatisation and consolidation processes. The former intensified in 19891999, and the latter in 19992002. The consolidation process was very noticeable in the sector of cooperative banks after 1994. The second part of the paper includes an economic and financial analysis of the banks. A lot of attention was paid to the liquidity of the banking sector. It was assessed as good, which was confirmed by a short-term rating of Moodys and by the Financial Stability Report 2009, published by the National Bank of Poland in June 2009. The comparison of the net profit of the banking sector in 19972008 shows its dependence on the economic situation and policy. The number of banks with capital adequacy ratio well above the minimum required by the banking supervision is rising. The financial power ratings are not favorable for the domestic banks. The third part of the paper focuses on the development directions of the Polish banking sector. It may be concluded on the basis of the analysis that privatisation and consolidation processes will be continued. They will concentrate on the capital of foreign banks already operating in Poland. As compared with individual foreign banks, the potential of the Polish banking sector is week. The fourth part of the paper focuses on the presentation Polish banking sector in the context of European Union banking sector. The paper finishes with conclusions. Generally, Polish banks have to implement a strategy to enable them to compete on the Single

  6. Effect of Polishing Systems on Surface Roughness and Topography of Monolithic Zirconia.

    Science.gov (United States)

    Goo, C L; Yap, Auj; Tan, Kbc; Fawzy, A S

    2016-01-01

    This study evaluated the effect of different chairside polishing systems on the surface roughness and topography of monolithic zirconia. Thirty-five monolithic zirconia specimens (Lava PLUS, 3M ESPE) were fabricated and divided into five groups of seven and polished with the following: Group 1 (WZ)-Dura white stone followed by Shofu zirconia polishing kit; Group 2 (SZ)-Shofu zirconia polishing kit; Group 3 (CE)-Ceramiste porcelain polishers; Group 4 (CM)-Ceramaster porcelain polishers; and Group 5 (KZ)-Komet ZR zirconia polishers. All specimens were ground with a fine-grit diamond bur prior to polishing procedures to simulate clinical finishing. Baseline and post-polishing profilometric readings were recorded and delta Ra values (difference in mean surface roughness before and after polishing) were computed and analyzed using one-way analysis of variance and Scheffe post hoc test (pSEM) images of the ground but unpolished and polished specimens were acquired. Delta Ra values ranged from 0.146 for CE to 0.400 for KZ. Delta Ra values for KZ, WZ, and SZ were significantly greater than for CE. Significant differences in delta Ra values were also observed between KZ and CM. The SEM images obtained were consistent with the profilometric findings. Diamond-impregnated polishing systems were more effective than silica carbide-impregnated ones in reducing the surface roughness of ground monolithic zirconia.

  7. Simulation and analysis of an alternative kinematics for improving the polishing uniformity over the surface of polished tiles

    Directory of Open Access Journals (Sweden)

    Weingaertner, W. L.

    2010-10-01

    Full Text Available The present work investigates the possibility of adopting a new kinematics at the industrial polishing lines of porcelain stoneware tile. An alternative motion of the transverse oscillation of the polishing heads is proposed, in which no radical changes in the industries facilities are required. The basic idea is to replace the purely sinus motion of the polishing heads by a rather trapezoid wavelike motion. In theory this could be achieved simply by adopting regular delays at the transverse oscillation motion. Consequences of this alternative kinematics were quantitatively analyzed considering the spatial homogeneity of polishing expected for tiles. Such homogeneity was represented by the coefficient of variation of the distribution of polishing time over the surface, which was in turn determined by means of computational simulations, taking into account the effect of multiple polishing heads.

    El presente trabajo investiga la posibilidad de adoptar una nueva cinemática en las líneas de pulido industrial de baldosas de gres porcelánico. Se propone una propuesta alternativa de la oscilación transversal de los cabezales pulidores, en el que no hay cambios radicales en las instalaciones de las industrias son necesarias. La idea básica consiste en sustituir el movimiento totalmente del seno de los cabezales pulidores por un movimiento ondulatorio trapezoide. En teoría, esto podría lograrse sólo mediante la adopción de los habituales retrasos en el movimiento de oscilación transversal. las consecuencias de esta cinemática fueron analizados cuantitativamente, teniendo en cuenta la homogeneidad espacial de pulido. Tal homogeneidad fora representada por el coeficiente de variación de la distribución de lo tiempo de pulido en la superficie, determinada por medio de simulaciones computacionales, teniendo también en cuenta el efecto de pulido de las cabezas múltiples.

  8. Cannabinoids cases in polish athletes

    Directory of Open Access Journals (Sweden)

    A Pokrywka

    2009-07-01

    Full Text Available The aim of this study was to investigate the number of cases and the profiles of Polish athletes who had occasionally been using marijuana or hashish throughout the period of 1998-2004, with respect to: sex, age, and discipline of sport as well as the period of testing (in- and out-of-competition. Results of the study were compared with some data reported by other WADA accredited anti-doping laboratories. Totally, 13 631 urine samples taken from Polish athletes of both sexes, aged 10-67 years, performing 46 disciplines of sport were tested. Cannabinoids were detected in 267 samples. Among Polish athletes the relative number of positive THC (tetrahydrocannabinol samples was one of the highest in Europe. The group of young Polish athletes (aged 16-24 years was the most THC-positive. THC-positive cases were noted more frequently in male athletes tested during out of competitions. The so-called contact sports (rugby, ice hockey, skating, boxing, badminton, body building and acrobatic sports were those sports, where the higher risk of cannabis use was observed. The legal interpretation of some positive cannabinoids results would be difficult because of some accidental and unintentional use of the narcotics by sportsmen. It was concluded that national anti-doping organizations (NADO’s, which are competent to judge whether the anti-doping rules were violated, should take into account the possibility of non-intentional doping use of cannabinoids via passive smoking of marijuana.

  9. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation

    Energy Technology Data Exchange (ETDEWEB)

    Chou, Wei-Lung, E-mail: wlchou@sunrise.hk.edu.tw [Department of Safety, Health and Environmental Engineering, Hungkuang University, No. 34, Chung-Chie Road, Sha-Lu, Taichung 433, Taiwan (China); Wang, Chih-Ta [Department of Safety Health and Environmental Engineering, Chung Hwa University of Medical Technology, Tainan Hsien 717, Taiwan (China); Chang, Wen-Chun; Chang, Shih-Yu [Department of Safety, Health and Environmental Engineering, Hungkuang University, No. 34, Chung-Chie Road, Sha-Lu, Taichung 433, Taiwan (China)

    2010-08-15

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L{sup -1}). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K.

  10. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation

    International Nuclear Information System (INIS)

    Chou, Wei-Lung; Wang, Chih-Ta; Chang, Wen-Chun; Chang, Shih-Yu

    2010-01-01

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L -1 ). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K.

  11. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation.

    Science.gov (United States)

    Chou, Wei-Lung; Wang, Chih-Ta; Chang, Wen-Chun; Chang, Shih-Yu

    2010-08-15

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L(-1)). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K. Copyright 2010 Elsevier B.V. All rights reserved.

  12. Monitoring of Robot Assisted Polishing through parameters of acoustic emission

    DEFF Research Database (Denmark)

    Lazarev, Ruslan; Top, Søren; Bilberg, Arne

    The polishing process is essential for the surface generation of machine tooling components in advanced manufacturing. While robot assisted polishing is faster and more consistent than manual polishing, it can still consume a significant part of ma- chining time and operator presence time...

  13. Trace element analysis of nail polishes

    International Nuclear Information System (INIS)

    Misra, G.; Mittal, V.K.; Sahota, H.S.

    1999-01-01

    Instrumental neutron activation analysis (INAA) technique was used to measure the concentrations of various trace elements in nail polishes of popular Indian and foreign brands. The aim of the present experiment was to see whether trace elements could distinguish nail polishes of different Indian and foreign brands from forensic point of view. It was found that cesium can act as a marker to differentiate foreign and Indian brands. (author)

  14. The way to zeros: The future of semiconductor device and chemical mechanical polishing technologies

    Science.gov (United States)

    Tsujimura, Manabu

    2016-06-01

    For the last 60 years, the development of cutting-edge semiconductor devices has strongly emphasized scaling; the effort to scale down current CMOS devices may well achieve the target of 5 nm nodes by 2020. Planarization by chemical mechanical polishing (CMP), is one technology essential for supporting scaling. This paper summarizes the history of CMP transitions in the planarization process as well as the changing degree of planarity required, and, finally, introduces innovative technologies to meet the requirements. The use of CMP was triggered by the replacement of local oxidation of silicon (LOCOS) as the element isolation technology by shallow trench isolation (STI) in the 1980s. Then, CMP’s use expanded to improving embedability of aluminum wiring, tungsten (W) contacts, Cu wiring, and, more recently, to its adoption in high-k metal gate (HKMG) and FinFET (FF) processes. Initially, the required degree of planarity was 50 nm, but now 0 nm is required. Further, zero defects on a post-CMP wafer is now the goal, and it is possible that zero psi CMP loading pressure will be required going forward. Soon, it seems, everything will have to be “zero” and perfect. Although the process is also chemical in nature, the CMP process is actually mechanical with a load added using slurry particles several tens of nm in diameter. Zero load in the loading process, zero nm planarity with no trace of processing, and zero residual foreign material, including the very slurry particles used in the process, are all required. This article will provide an overview of how to achieve these new requirements and what technologies should be employed.

  15. Dietary risk evaluation for 28 polycyclic aromatic hydrocarbons (PAHs) in tea preparations made of teas available on the Polish retail market.

    Science.gov (United States)

    Roszko, Marek; Kamińska, Marta; Szymczyk, Krystyna; Jędrzejczak, Renata

    2018-01-02

    The aim of this work was to assess dietary risk resulting from consumption of polycyclic aromatic hydrocarbons (PAHs) with tea infusions. To this end, levels of 28 PAHs in black, green, red and white teas available on the Polish retail market have been assessed. Profiles and correlation between concentrations of individual PAHs have been identified. A model study on transfer of PAHs from tea leaves into tea preparations has been conducted. Relatively high concentrations of 28 evaluated PAHs have been found in 58 tested samples of black, green, red and white teas sampled on the Polish retail market. Total concentration ∑28PAH ranged from 57 to 696 µg kg -1 with mean 258 µg kg -1 (dry tea leaves). The most mature tea leaves fermented to a small degree contained relatively the highest PAH levels among all four tested tea types. Relatively low PAH transfer rates into tea infusions and limited volumes of the consumed tea keep the risks associated with PAH dietary intake at a safely low level. The worst-case scenario dietary intake values were 7.62/0.82/0.097 ng kg -1 b.w. day -1 (estimated on the basis of the maximum found concentrations 696/113/23 µg kg -1 and maximum observed transfer rates 24/16/9%) for ∑28PAH/∑PAH4/B[a]P, respectively. MOE values calculated using the above worst case estimates exceeded 700,000 and 400,000 (BMDL 10 0.07 and 0.34 mg kg -1 b.w. day -1 ) for B[a]P and PAH4, respectively. Both B[a]P and PAH4 concentrations may be used as indicators of total PAH concentration in tea leaves; PAH4 slightly better fits low molecular weight PAHs. Several correlations between various PAHs/groups of PAHs have been identified, the strongest one (R 2 = 0.92) between PAH4 and EU PAH 15+1.

  16. Understanding the creation of & reducing surface microroughness during polishing & post-processing of glass optics

    Energy Technology Data Exchange (ETDEWEB)

    Suratwala, Tayyab [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2016-09-22

    In the follow study, we have developed a detailed understanding of the chemical and mechanical microscopic interactions that occur during polishing affecting the resulting surface microroughness of the workpiece. Through targeted experiments and modeling, the quantitative relationships of many important polishing parameters & characteristics affecting surface microroughness have been determined. These behaviors and phenomena have been described by a number of models including: (a) the Ensemble Hertzian Multi Gap (EHMG) model used to predict the removal rate and roughness at atomic force microscope (AFM) scale lengths as a function of various polishing parameters, (b) the Island Distribution Gap (IDG) model used to predict the roughness at larger scale lengths, (c) the Deraguin-Verwey-Landau-Overbeek (DLVO) 3-body electrostatic colloidal model used to predict the interaction of slurry particles at the interface and roughness behavior as a function of pH, and (d) a diffusion/chemical reaction rate model of the incorporation of impurities species into the polishing surface layer (called the Bielby layer). Based on this improved understanding, novel strategies to polish the workpiece have been developed simultaneously leading to both ultrasmooth surfaces and high material removal rates. Some of these strategies include: (a) use of narrow PSD slurries, (b) a novel diamond conditioning recipe of the lap to increase the active contact area between the workpiece and lap without destroying its surface figure, (c) proper control of pH for a given glass type to allow for a uniform distribution of slurry particles at the interface, and (d) increase in applied load just up to the transition between molecular to plastic removal regime for a single slurry particle. These techniques have been incorporated into a previously developed finishing process called Convergent Polishing leading to not just economical finishing process with improved surface figure control, but also

  17. Quantitative morphological and compositional evaluation of laboratory prepared aluminoborosilicate glass surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Gong, Yuxuan, E-mail: yg4@alfred.edu; Wren, Anthony W.; Mellott, Nathan P.

    2015-01-01

    Graphical abstract: - Highlights: • Aluminoborosilicate glass surfaces were prepared through both melting and polishing/etching and the surface composition and morphology were quantified as a function of processing method. • Glass surface morphology was quantified using PSD analysis, followed by both fractal and ABC model fitting, resulting in a comprehensive description of the spatial distribution of roughness. • All melt surfaces showed a depletion in Na, Ca, and B with respect to the bulk composition. Polished/etched surfaces showed a depletion in Na, B, and Al with respect to the bulk composition. • It was found that increasing heat treatment temperature of melt surfaces lead to a decrease in equivalent roughness and an increased spatial homogeneity of roughness while etching of polished ISG glass surfaces decreases the roughness and spatial distribution homogeneity of roughness. - Abstract: Surface finishing techniques including polishing, etching and heat treatment can modify the topography and the surface chemical composition of glasses. It is widely acknowledged that atomic force microscopy (AFM) can be used to quantify the morphology of surfaces, providing various parameters including average, peak-to-valley, and apparent root-mean-square roughness. Furthermore advanced power spectral density (PSD) analysis of AFM-derived surface profiles offers quantification of the spatial homogeneity of roughness values along different wavelengths, resulting in parameters including equivalent RMS, Hurst exponent, and fractal dimension. Outermost surface (∼8 nm) chemical composition can be quantitatively measured by X-ray photoelectron spectroscopy. In this paper, we first developed a series of surface finishing methods for an aluminoborosilicate glass system by polishing, etching or heat treatment. The chemical composition and environment of prepared glass surfaces were quantified by XPS and topographical analysis was carried out by fractal and k

  18. Experimental and Numerical Study of the Influence of Substrate Surface Preparation on Adhesion Mechanisms of Aluminum Cold Spray Coatings on 300M Steel Substrates

    Science.gov (United States)

    Nastic, A.; Vijay, M.; Tieu, A.; Rahmati, S.; Jodoin, B.

    2017-10-01

    The effect of substrate surface topography on the creation of metallurgical bonds and mechanical anchoring points has been studied for the cold spray deposition of pure aluminum on 300M steel substrate material. The coatings adhesion strength showed a significant decrease from 31.0 ± 5.7 MPa on polished substrates to 6.9 ± 2.0 MPa for substrates with roughness of 2.2 ± 0.5 μm. Strengths in the vicinity of 45 MPa were reached for coatings deposited onto forced pulsed waterjet treated surfaces with roughnesses larger than 33.8 μm. Finite element analysis has confirmed the sole presence of mechanical anchoring in coating adhesion strength for all surface treatment except polished surfaces. Grit embedment has been shown to be non-detrimental to coating adhesion for the current deposited material combination. The particle deformation process during impacts has been studied through finite element analysis using the Preston-Tonks-Wallace (PTW) constitutive model. The obtained equivalent plastic strain (PEEQ), temperature, contact pressure and velocity vector were correlated to the particle ability to form metallurgical bonds. Favorable conditions for metallurgical bonding were found to be highest for particles deposited on polished substrates, as confirmed by fracture surface analysis.

  19. New perspectives in hydrodynamic radial polishing techniques for optical surfaces

    Science.gov (United States)

    Ruiz, Elfego; Sohn, Erika; Luna, Esteban; Salas, Luis; Cordero, Alberto; González, Jorge; Núñez, Manuel; Salinas, Javier; Cruz-González, Irene; Valdés, Jorge; Cabrera, Victor; Martínez, Benjamín

    2004-09-01

    In order to overcome classic polishing techniques, a novel hydrodynamic radial polishing tool (HyDRa) is presented; it is useful for the corrective lapping and fine polishing of diverse materials by means of a low-cost abrasive flux and a hydrostatic suspension system that avoids contact of the tool with the working surface. This tool enables the work on flat or curved surfaces of currently up to two and a half meters in diameter. It has the advantage of avoiding fallen edges during the polishing process as well as reducing tool wear out and deformation. The functioning principle is based on the generation of a high-velocity, high-pressure, abrasive emulsion flux with radial geometry. The polishing process is repeatable by means of the control of the tool operational parameters, achieving high degrees of precision and accuracy on optical and semiconductor surfaces, with removal rates of up to 9 mm3/hour and promising excellent surface polishing qualities. An additional advantage of this new tool is the possibility to perform interferometric measurements during the polishing process without the need of dismounting the working surface. A series of advantages of this method, numerical simulations and experimental results are described.

  20. Computer-Controlled Cylindrical Polishing Process for Large X-Ray Mirror Mandrels

    Science.gov (United States)

    Khan, Gufran S.; Gubarev, Mikhail; Speegle, Chet; Ramsey, Brian

    2010-01-01

    We are developing high-energy grazing incidence shell optics for hard-x-ray telescopes. The resolution of a mirror shells depends on the quality of cylindrical mandrel from which they are being replicated. Mid-spatial-frequency axial figure error is a dominant contributor in the error budget of the mandrel. This paper presents our efforts to develop a deterministic cylindrical polishing process in order to keep the mid-spatial-frequency axial figure errors to a minimum. Simulation software is developed to model the residual surface figure errors of a mandrel due to the polishing process parameters and the tools used, as well as to compute the optical performance of the optics. The study carried out using the developed software was focused on establishing a relationship between the polishing process parameters and the mid-spatial-frequency error generation. The process parameters modeled are the speeds of the lap and the mandrel, the tool s influence function, the contour path (dwell) of the tools, their shape and the distribution of the tools on the polishing lap. Using the inputs from the mathematical model, a mandrel having conical approximated Wolter-1 geometry, has been polished on a newly developed computer-controlled cylindrical polishing machine. The preliminary results of a series of polishing experiments demonstrate a qualitative agreement with the developed model. We report our first experimental results and discuss plans for further improvements in the polishing process. The ability to simulate the polishing process is critical to optimize the polishing process, improve the mandrel quality and significantly reduce the cost of mandrel production

  1. Sexual activity of Polish adults

    Directory of Open Access Journals (Sweden)

    Beata Pastwa-Wojciechowska

    2014-03-01

    Full Text Available Aim. The purpose of this research was to explore the subject of sexual activity in the Polish population, with special focus on age and gender differences, and sexual infidelity. Sexual activity is one of the basic factors in initiating and maintaining relationships. On the one hand, sexual activity enables us to meet natural needs and maintain an intimate relationship with another human being; on the other, it may allow us to overcome loneliness and social isolation by providing the opportunity to express feelings of closeness and unity. Material and method. The research was conducted on a representative group of 3,200 Poles aged between 15–49, with the support of a well-known Polish research company – TNS OBOP. Face-to-face and Pencil and Paper (PAPI interviews were carried out. Results. The results focus on two main issues: the age and motives of sexual initiation among teenagers (with a significant percentage starting their sexual activity at the age of 15, and the quality of the sexual lives of adults (average number of sexual partners, sexual infidelity and sexual satisfaction. Conclusion. There is dependence between the type of relationship and the performance or non-performance of sexual activity, as well as the quality of the relationship. Among both adolescents and adults, remaining in a stable relationship (partnership or marriage promotes loyalty. The performance of sexual goals turns out to be an important mechanism regulating the interpersonal aspects of a relationship, influencing their perception and evaluation.

  2. Strain-free polished channel-cut crystal monochromators: a new approach and results

    Science.gov (United States)

    Kasman, Elina; Montgomery, Jonathan; Huang, XianRong; Lerch, Jason; Assoufid, Lahsen

    2017-08-01

    The use of channel-cut crystal monochromators has been traditionally limited to applications that can tolerate the rough surface quality from wet etching without polishing. We have previously presented and discussed the motivation for producing channel cut crystals with strain-free polished surfaces [1]. Afterwards, we have undertaken an effort to design and implement an automated machine for polishing channel-cut crystals. The initial effort led to inefficient results. Since then, we conceptualized, designed, and implemented a new version of the channel-cut polishing machine, now called C-CHiRP (Channel-Cut High Resolution Polisher), also known as CCPM V2.0. The new machine design no longer utilizes Figure-8 motion that mimics manual polishing. Instead, the polishing is achieved by a combination of rotary and linear functions of two coordinated motion systems. Here we present the new design of C-CHiRP, its capabilities and features. Multiple channel-cut crystals polished using the C-CHiRP have been deployed into several beamlines at the Advanced Photon Source (APS). We present the measurements of surface finish, flatness, as well as topography results obtained at 1-BM of APS, as compared with results typically achieved when polishing flat-surface monochromator crystals using conventional polishing processes. Limitations of the current machine design, capabilities and considerations for strain-free polishing of highly complex crystals are also discussed, together with an outlook for future developments and improvements.

  3. Elastic emission polishing

    Energy Technology Data Exchange (ETDEWEB)

    Loewenthal, M.; Loseke, K.; Dow, T.A.; Scattergood, R.O.

    1988-12-01

    Elastic emission polishing, also called elastic emission machining (EEM), is a process where a stream of abrasive slurry is used to remove material from a substrate and produce damage free surfaces with controlled surface form. It is a noncontacting method utilizing a thick elasto-hydrodynamic film formed between a soft rotating ball and the workpiece to control the flow of the abrasive. An apparatus was built in the Center, which consists of a stationary spindle, a two-axis table for the workpiece, and a pump to circulate the working fluid. The process is controlled by a programmable computer numerical controller (CNC), which presently can operate the spindle speed and movement of the workpiece in one axis only. This apparatus has been used to determine material removal rates on different material samples as a function of time, utilizing zirconium oxide (ZrO{sub 2}) particles suspended in distilled water as the working fluid. By continuing a study of removal rates the process should become predictable, and thus create a new, effective, yet simple tool for ultra-precision mechanical machining of surfaces.

  4. Evaluation and analysis of polished fused silica subsurface quality by the nanoindenter technique

    International Nuclear Information System (INIS)

    Ma Bin; Shen Zhengxiang; He Pengfei; Sha Fei; Wang Chunliang; Wang Bin; Ji Yiqin; Liu Huasong; Li Weihao; Wang Zhanshan

    2011-01-01

    We evaluate the subsurface quality of polished fused silica samples using the nanoindenter technique. Two kinds of samples, consisting of hundreds of nanometers and micrometers of subsurface damage layers, are fabricated by controlling the grinding and polishing processes, and the subsurface quality has been verified by the chemical etching method. Then several nanoindentation experiments are performed using the Berkovich tip to investigate the subsurface quality. Some differences are found by relative measurements in terms of the relationship between the total penetration and the peak load on the surfaces, the modulus calculated over the defined depths and from unload, and the indented morphology at a constant load near the surface collapse threshold. Finally, the capabilities of such a mechanical method for detecting subsurface flaws are discussed and analyzed.

  5. Can Reduced-Step Polishers Be as Effective as Multiple-Step Polishers in Enhancing Surface Smoothness?

    Science.gov (United States)

    Kemaloglu, Hande; Karacolak, Gamze; Turkun, L Sebnem

    2017-02-01

    The aim of this study was to evaluate the effects of various finishing and polishing systems on the final surface roughness of a resin composite. Hypotheses tested were: (1) reduced-step polishing systems are as effective as multiple-step systems on reducing the surface roughness of a resin composite and (2) the number of application steps in an F/P system has no effect on reducing surface roughness. Ninety discs of a nano-hybrid resin composite were fabricated and divided into nine groups (n = 10). Except the control, all of the specimens were roughened prior to be polished by: Enamel Plus Shiny, Venus Supra, One-gloss, Sof-Lex Wheels, Super-Snap, Enhance/PoGo, Clearfil Twist Dia, and rubber cups. The surface roughness was measured and the surfaces were examined under scanning electron microscope. Results were analyzed with analysis of variance and Holm-Sidak's multiple comparisons test (p One-gloss, Enamel Plus Shiny, and Venus Supra groups. (1) The number of application steps has no effect on the performance of F/P systems. (2) Reduced-step polishers used after a finisher can be preferable to multiple-step systems when used on nanohybrid resin composites. (3) The effect of F/P systems on surface roughness seems to be material-dependent rather than instrument- or system-dependent. Reduced-step systems used after a prepolisher can be an acceptable alternative to multiple-step systems on enhancing the surface smoothness of a nanohybrid composite; however, their effectiveness depends on the materials' properties. (J Esthet Restor Dent 29:31-40, 2017). © 2016 Wiley Periodicals, Inc.

  6. New ion exchange resin designs and regeneration procedures yield improved performance for various condensate polishing applications

    International Nuclear Information System (INIS)

    Najmy, S.W.

    2002-01-01

    Condensate polishing is an application with many different design and operational aspects. The past decade has brought new challenges for improved water quality with respect to both soluble and insoluble contaminants. Nonetheless, the endeavors to understand the compositional complexities of the ion exchange resin bead and the convoluted dynamics of ion exchange chemistry and chemical engineering mechanisms occurring within the mixed bed condensate polisher have brought new ideas and expectations for ion exchange resin in deep-bed condensate polishers than ever before. The new products and procedures presented here are a collaboration of a great deal of effort on the part of researchers, consultants, system engineers, station chemists, lab technicians and others. The studies discussed in this paper unequivocally demonstrate the merits of: 1. A specially designed cation resin to achieve greater than 95% insoluble iron removal efficiency, 2. A less-separable mixed resin for improved control of reactor water sulfate in BWR primary cycles, 3. Applying increased levels of regeneration chemicals and retrofitting the service vessels with re-mixing capability to improve the operation of deep-bed condensate polishers in PWR secondary cycles. (authors)

  7. Development of hybrid fluid jet/float polishing process

    Science.gov (United States)

    Beaucamp, Anthony T. H.; Namba, Yoshiharu; Freeman, Richard R.

    2013-09-01

    On one hand, the "float polishing" process consists of a tin lap having many concentric grooves, cut from a flat by single point diamond turning. This lap is rotated above a hydrostatic bearing spindle of high rigidity, damping and rotational accuracy. The optical surface thus floats above a thin layer of abrasive particles. But whilst surface texture can be smoothed to ~0.1nm rms (as measured by atomic force microscopy), this process can only be used on flat surfaces. On the other hand, the CNC "fluid jet polishing" process consists of pumping a mixture of water and abrasive particles to a converging nozzle, thus generating a polishing spot that can be moved along a tool path with tight track spacing. But whilst tool path feed can be moderated to ultra-precisely correct form error on freeform optical surfaces, surface finish improvement is generally limited to ~1.5nm rms (with fine abrasives). This paper reports on the development of a novel finishing method, that combines the advantages of "fluid jet polishing" (i.e. freeform corrective capability) with "float polishing" (i.e. super-smooth surface finish of 0.1nm rms or less). To come up with this new "hybrid" method, computational fluid dynamic modeling of both processes in COMSOL is being used to characterize abrasion conditions and adapt the process parameters of experimental fluid jet polishing equipment, including: (1) geometrical shape of nozzle, (2) position relative to the surface, (3) control of inlet pressure. This new process is aimed at finishing of next generation X-Ray / Gamma Ray focusing optics.

  8. Surface texture of resin-modified glass ionomer cements: effects of finishing/polishing systems.

    Science.gov (United States)

    Yap, Adrian U J; Tan, W S; Yeo, J C; Yap, W Y; Ong, S B

    2002-01-01

    This study investigated the surface texture of two resin-modified glass ionomer cements (RMGICs) in the vertical and horizontal axis after treatment with different finishing/polishing systems. Class V preparations were made on the buccal and lingual/palatal surfaces of freshly extracted teeth. The cavities on each tooth were restored with Fuji II LC (GC) and Photac-Fil Quick (ESPE) according to manufacturers' instructions. Immediately after light-polymerization, gross finishing was done with 8-flute tungsten carbide burs. The teeth were then randomly divided into four groups and finished/polished with (a) Robot Carbides (RC); (b) Super-Snap system (SS); (c) OneGloss (OG) and (d) CompoSite Points (CS). The sample size for each material-finishing/polishing system combination was eight. The mean surface roughness (microm) in vertical (RaV) and horizontal (RaH) axis was measured using a profilometer. Data was subjected to ANOVA/Scheffe's tests and Independent Samples t-test at significance level 0.05. Mean RaV ranged from 0.59-1.31 and 0.83-1.52, while mean RaH ranged from 0.80-1.43 and 0.85-1.58 for Fuji II LC and Photac-Fil, respectively. Results of statistical analysis were as follows: Fuji II LC: RaV-RC, SSpolishing of RMGICs is not recommended. Graded abrasive disk (SS) or two-step rubber abrasive (CS) systems should be used instead.

  9. Wastewater polishing by a channelized macrophyte-dominated wetland and anaerobic digestion of the harvested phytomass

    Science.gov (United States)

    : Constructed wetlands (CW) offer a mechanism to meet regulatory standards for wastewater treatment while minimizing energy inputs. To optimize CW wastewater polishing activities and investigate integration of CW with energy production from anaerobic digestion we constructed a pair of three-tier ch...

  10. Sensory factors affecting female consumers' acceptability of nail polish.

    Science.gov (United States)

    Sun, C; Koppel, K; Adhikari, K

    2015-12-01

    The objectives of this study were to determine what sensory factors impact consumers' acceptability of nail polishes, to explore how these sensory factors impact consumers' acceptability of nail polishes, to investigate whether there are any consumer segments according to their overall acceptability on different nail polishes and to scrutinize how the consumer segments are related to the sensory factors. Ninety-eight females participated in a nail polish consumer study at Kansas State University. Eight commercial products belonging to four categories - regular (REG), gel (GEL), flake (FLK) and water-based (WAT) - were evaluated. Each nail polish sample was evaluated twice by each participant in two different tasks - a task devoted to applying and evaluating the product and a task devoted to observing the appearance and evaluating the product. Pearson's correlation analysis, analysis of variance (ANOVA), external preference mapping, cluster analysis and internal preference mapping were applied for data analysis. Participants' scores of overall liking of the nail polishes were similar in the application task and in the observation task. In general, participants liked the REG and GEL product samples more than the FLK and WAT samples. Among all the sensory attributes, appearance attributes were the major factors that affected participants' overall liking. Aroma seemed to be a minor factor to participants' overall liking. Some sensory attributes, such as runny, shininess, opacity, spreadability, smoothness, coverage and wet appearance, were found to drive participants' overall acceptability positively, whereas others such as pinhole, fatty-edges, blister, brushlines, pearl-like, flake-protrusion, glittery and initial-drag impacted participants' overall acceptability negatively. Four clusters of participants were identified according to their overall liking scores from both the application task and the observation task. Participants' acceptability, based on different

  11. Surface polishing of niobium for superconducting radio frequency (SRF) cavity applications

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Liang [College of William and Mary, Williamsburg, VA (United States)

    2014-08-01

    Niobium cavities are important components in modern particle accelerators based on superconducting radio frequency (SRF) technology. The interior of SRF cavities are cleaned and polished in order to produce high accelerating field and low power dissipation on the cavity wall. Current polishing methods, buffered chemical polishing (BCP) and electro-polishing (EP), have their advantages and limitations. We seek to improve current methods and explore laser polishing (LP) as a greener alternative of chemical methods. The topography and removal rate of BCP at different conditions (duration, temperature, sample orientation, flow rate) was studied with optical microscopy, scanning electron microscopy (SEM), and electron backscatter diffraction (EBSD). Differential etching on different crystal orientations is the main contributor to fine grain niobium BCP topography, with gas evolution playing a secondary role. The surface of single crystal and bi-crystal niobium is smooth even after heavy BCP. The topography of fine grain niobium depends on total removal. The removal rate increases with temperature and surface acid flow rate within the rage of 0~20 °C, with chemical reaction being the possible dominate rate control mechanism. Surface flow helps to regulate temperature and avoid gas accumulation on the surface. The effect of surface flow rate on niobium EP was studied with optical microscopy, atomic force microscopy (AFM), and power spectral density (PSD) analysis. Within the range of 0~3.7 cm/s, no significant difference was found on the removal rate and the macro roughness. Possible improvement on the micro roughness with increased surface flow rate was observed. The effect of fluence and pulse accumulation on niobium topography during LP was studied with optical microscopy, SEM, AFM, and PSD analysis. Polishing on micro scale was achieved within fluence range of 0.57~0.90 J/cm2, with pulse accumulation adjusted accordingly. Larger area treatment was proved possible by

  12. Note on the polishing of small spheres of ferrimagnetic materials

    Energy Technology Data Exchange (ETDEWEB)

    Grunberg, J. G.; Antier, G. [Centre d' etudes nucleaires de Grenoble - C.E.N.G. (France); Seiden, P. E. [Institut Fourier, Universite de Grenoble (France)

    1961-07-01

    This note describes a simple and rapid method that we have used for obtaining a high degree of polish on spheres of ferrimagnetic materials. A high surface polish is of particular importance if one desires to perform ferrimagnetic resonance experiments on very narrow linewidth materials such as Yttrium Iron Garnet. It is not possible to obtain the very narrow linewidths without polishing the sample with a very fine abrasive such as 'Linde A'. Although the methods presently used for the fine polishing of ferrite spheres give satisfactory results, the method described here is of particular interest because of its simplicity and speed. For example with the air-jet tumbling technique it can take as long as three days of polishing to obtain an acceptable surface while our method will give the same results in one to two hours. (author)

  13. Polish energy-system modernisation

    International Nuclear Information System (INIS)

    Drozdz, M.

    2003-01-01

    The Polish energy-system needs intensive investments in new technologies, which are energy efficient, clean and cost effective. Since the early 1990s, the Polish economy has had practically full access to modern technological devices, equipment and technologies. Introducing new technologies is a difficult task for project teams, constructors and investors. The author presents a set of principles for project teams useful in planning and energy modernisation. Several essential features are discussed: Energy-efficient appliances and systems; Choice of energy carriers, media and fuels; Optimal tariffs, maximum power and installed power; Intelligent, integrated, steering systems; Waste-energy recovery; Renewable-energy recovery. In practice there are several difficulties connected with planning and realising good technological and economic solutions. The author presents his own experiences of energy-system modernisation of industrial processes and building new objects. (Author)

  14. Sexual Health of Polish Athletes with Disabilities

    Directory of Open Access Journals (Sweden)

    Ryszard Plinta

    2015-06-01

    Full Text Available The purpose of this study was to determine sexual functioning of Polish athletes with disabilities (including paralympians. The study encompassed 218 people with physical disabilities, aged between 18 and 45 (149 men and 69 women. The entire research population was divided into three groups: Polish paralympians (n = 45, athletes with disabilities (n = 126 and non-athletes with disabilities (n = 47. The quality of sexual life of Polish paralympians was measured by using the Polish version of Female Sexual Function Index and International Index of Erectile Function. Clinically significant erectile dysfunctions were most often diagnosed in non-athletes (83.33% with 50% result of severe erectile dysfunctions, followed by athletes and paralympians with comparable results of 56.98% and 54.17% respectively (p = 0.00388. Statistically significant clinical sexual dysfunctions concerned lubrication, orgasm as well as pain domains, and prevailed among female non-athletes (68.42%, 68.42% and 57.89%. Practising sports at the highest level has a favourable effect on the sexuality of men and women with physical disabilities. Men with physical disabilities manifest more sexual disorders than women, an aspect which should be considered by health-care professionals working with people with disabilities.

  15. Presentation of the verbs in Bulgarian-Polish electronic dictionary

    Directory of Open Access Journals (Sweden)

    Ludmila Dimitrova

    2014-09-01

    Full Text Available Presentation of the verbs in Bulgarian-Polish electronic dictionary This paper briefly discusses the presentation of the verbs in the first electronic Bulgarian-Polish dictionary that is currently being developed under a bilateral collaboration between IMI-BAS and ISS-PAS. Special attention is given to the digital entry classifiers that describe Bulgarian and Polish verbs. Problems related to the correspondence between natural language phenomena and their presentations are discussed. Some examples illustrate the different types of dictionary entries for verbs.

  16. "Agricultural budget" and the competitiveness of the Polish agriculture

    OpenAIRE

    Lenkiewicz, Stanisław; Rokicki, Bartłomiej

    2014-01-01

    The aim of the publication is to assess the impact of public support on the functioning of the Polish agriculture. In order to achieve this aim the publication includes an analysis of the system of direct payments and rural development policy instruments planned to be implemented in Poland within the CAP 2014-2020. The study also presents an analysis of regional diversity of the Polish agriculture and an assessment of the scale of agricultural investment made in recent years in all the Polish...

  17. Computer-Controlled Cylindrical Polishing Process for Development of Grazing Incidence Optics for Hard X-Ray Region

    Science.gov (United States)

    Khan, Gufran Sayeed; Gubarev, Mikhail; Speegle, Chet; Ramsey, Brian

    2010-01-01

    The presentation includes grazing incidence X-ray optics, motivation and challenges, mid spatial frequency generation in cylindrical polishing, design considerations for polishing lap, simulation studies and experimental results, future scope, and summary. Topics include current status of replication optics technology, cylindrical polishing process using large size polishing lap, non-conformance of polishin lap to the optics, development of software and polishing machine, deterministic prediction of polishing, polishing experiment under optimum conditions, and polishing experiment based on known error profile. Future plans include determination of non-uniformity in the polishing lap compliance, development of a polishing sequence based on a known error profile of the specimen, software for generating a mandrel polishing sequence, design an development of a flexible polishing lap, and computer controlled localized polishing process.

  18. Effects of Polishing Bur Application Force and Reuse on Sintered Zirconia Surface Topography.

    Science.gov (United States)

    Fischer, N G; Tsujimoto, A; Baruth, A G

    2018-03-16

    Limited information is available on how to polish and finish zirconia surfaces following computer-aided design/computer-aided manufacturing (CAD/CAM), specifically, how differing application forces and reuse of zirconia polishing systems affect zirconia topography. To determine the effect of differing, clinically relevant, polishing application forces and multiple usages of polishing burs on the surface topography of CAD/CAM zirconia. One hundred twenty 220-grit carbide finished zirconia disks were sintered according to manufacturer's directions and divided into two groups for the study of two coarse polishing bur types. Each group was divided into subgroups for polishing (15,000 rpm) at 15 seconds for 1.0 N, 4.5 N, or 11 N of force using a purpose-built fixture. Subgroups were further divided to study the effects of polishing for the first, fifth, 15th, and 30th bur use, simulating clinical procedures. Unpolished surfaces served as a control group. Surfaces were imaged with noncontact optical profilometry (OP) and atomic force microscopy (AFM) to measure average roughness values (Ra). Polishing burs were optically examined for wear. Scanning electron microscopy (SEM) was performed on burs and zirconia surfaces. One-way ANOVA with post hoc Tukey HSD (honest significant difference) tests (α=0.05) were used for statistical analyses. AFM and OP Ra values of all polished surfaces were significantly lower than those of the unpolished control. Different polishing forces and bur reuse showed no significant differences in AFM Ra. However, significant differences in OP Ra were found due to differing application forces and bur reuse between the first and subsequent uses. SEM and optical micrographs revealed notable bur wear, increasing with increasing reuse. SEM and AFM micrographs clearly showed polished, periodic zirconia surfaces. Nanoscale topography, as analyzed with kurtosis and average groove depth, was found dependent on the specific polishing bur type. These in

  19. The Czechoslovak-Polish Club in Brno (1925–1939)

    Czech Academy of Sciences Publication Activity Database

    Baron, Roman

    2011-01-01

    Roč. 3, č. 1 (2011), s. 43-64 ISSN 1803-6546 Institutional research plan: CEZ:AV0Z80150510 Keywords : Czechoslovak-Polish solidarity * Czechoslovak-Polish relations * Brno * associations * Interwar Period Subject RIV: AB - History

  20. Performance test of condensate polishing system for Qinshan Nuclear Power Plant

    International Nuclear Information System (INIS)

    You Zhaojin; Qian Shijun; Lu Ruiting

    1995-11-01

    The flow chart, resin performance and water quality specifications of the condensate polishing system for Qinshan Nuclear Power Plant (QNPP) are briefly described. The initial regeneration process and the following service of the condensate polishing system are introduced. And the ability to remove corrosion products and ionic impurities of the condensate polishing system are verified during start-up, normal power operation and condenser leakage of the plant. The result shows that the performance of condensate polishing system in QNPP can completely meet the design requirements. Especially during the start-up of the unit or the leakage of the condenser, despite the inlet water quality of the polishers is far worse than the specified standard, the outlet water quality is still controlled within the indexes. Finally, several existing problems, such as 'volume ratio between resins is not optimum' and 'the inert resin and anion resin can not be stratified completely', in the condensate polishing system are also discussed. (4 refs., 1 fig., 8 tabs.)

  1. Influence of polishing on surface roughness following toothbrushing wear of composite resins.

    Science.gov (United States)

    Dalla-Vecchia, Karine Battestin; Taborda, Talita Damas; Stona, Deborah; Pressi, Heloísa; Burnett Júnior, Luiz Henrique; Rodrigues-Junior, Sinval Adalberto

    2017-01-01

    This study aimed to evaluate the influence of different polishing systems on the surface roughness of composite resins following procedures to simulate the effects of toothbrushing over time. Four currently available commercial composites were used to make 128 cylindrical specimens. The specimens were randomly allocated to polishing with a 1-step polisher or 1 of 3 multistep polishers (n = 8 per group). The baseline surface roughness was measured, and the specimens were submitted to 5000, 10,000, and 20,000 brushing cycles to represent toothbrushing throughout 6, 12, and 24 months, respectively. Results showed that surface roughness was influenced by the type of composite and polishing system and was not influenced by the simulated toothbrushing time. However, the surface roughness, as challenged by toothbrushing wear, was affected by the interaction among the composite, the polisher, and the toothbrushing time. The 1-step polisher produced the highest surface roughness and influenced toothbrushing wear resistance of some composites.

  2. Mechanical bowel preparation in elective open colon surgery

    NARCIS (Netherlands)

    Fa-Si-Oen, Patrick Regnier

    2006-01-01

    Mechanical bowel preparation is a long standing practice in elective open colon surgery dating from the 1970's. It has always been believed to reduce the rate of postoperative complications in the form of anastomotic leakage and wound infection. In this thesis we broadly and thoroughly examine the

  3. Surface analysis of polished fused-silica laser lenses by ion-scattering spectrometry

    International Nuclear Information System (INIS)

    Orvek, K.; Steward, S.A.

    1982-01-01

    New advances in high-powered glass lasers, particularly the NOVA system, have resulted in a need for lenses having higher damage threshold values than those now available. It is currently thought that surface contaminants on the lenses are responsible for initiating part of the damage. These contaminants are apparently introduced during the final polishing stages. In this study, we used ion-scattering spectrometry (ISS) to identify contaminants arising through the use of different polishing techniques. Five lenses were studied, each having undergone different polishing procedures. The first lens was not polished after receiving it from the manfacturer (No. 381). Ion microprobe data were available for this lens, and they were compared to ISS results. The second lens had been polished with rouge, a polishing compound no longer in use (No. 796). This sample served as a further check on the ISS results. The third lens was studied as received from the manufacturer - with no handling or cleaning (No. 802). The final two lenses had both been polished using high-purity ceria, cerium oxide (No. 800 and No. 801). The difference between these two was that No. 800 was polished using a nylon lap, and No. 801 was polished using pitch as a lap. The 800-series lenses were all made from the same batch, and constituted the major part of the investigation

  4. Facile preparation of salt-tolerant anion-exchange membrane adsorber using hydrophobic membrane as substrate.

    Science.gov (United States)

    Fan, Jinxin; Luo, Jianquan; Chen, Xiangrong; Wan, Yinhua

    2017-03-24

    In this study, a polyvinylidene fluoride (PVDF) hydrophobic membrane with high mechanical property was used as substrate to prepare salt-tolerant anion-exchange (STAE) membrane adsorber. Effective hydrophilization and functionalization of PVDF membrane was realized via polydopamine (PDA) deposition, thus overcoming the drawbacks of hydrophobic substrates including poor water permeability, inert property as well as severe non-specific adsorption. The following polyallylamine (PAH) coupling was carried out at pH 10.0, where unprotonated amine groups on PAH chains were more prone to couple with PDA. This membrane adsorber could remain 75% of protein binding capacity when NaCl concentration increased from 0 to 150mM, while its protein binding capacity was independent of flow rate from 10 to 100 membrane volume (MV)/min due to its high mechanical strength (tensile strength: 43.58±2.30MPa). With 200mM NaCl addition at pH 7.5, high purity (above 99%) and high recovery (almost 100%) of Immunoglobulin G (IgG) were obtained when using the STAE membrane adsorber to separate IgG/human serum albumin (HSA) mixture, being similar to that without NaCl at pH 6.0 (both under the flow rate of 10-100MV/min). Finally, the reliable reusability was confirmed by five reuse cycles of protein binding and elution operations. In comparison with commercial membrane adsorber, the new membrane adsorber exhibited a better mechanical property, higher IgG polishing efficiency and reusability, while the protein binding capacity was lower due to less NH 2 density on the membrane. The outcome of this work not only offers a facile and effective approach to prepare membrane adsorbers based on hydrophobic membranes, but also demonstrates great potential of this new designed STAE membrane adsorbers for efficient monoclonal antibody (mAb) polishing. Copyright © 2017 Elsevier B.V. All rights reserved.

  5. Combination oral and mechanical bowel preparations decreases complications in both right and left colectomy.

    Science.gov (United States)

    Midura, Emily F; Jung, Andrew D; Hanseman, Dennis J; Dhar, Vikrom; Shah, Shimul A; Rafferty, Janice F; Davis, Bradley R; Paquette, Ian M

    2018-03-01

    Before elective colectomy, many advocate mechanical bowel preparation with oral antibiotics, whereas enhanced recovery pathways avoid mechanical bowel preparations. The optimal preparation for right versus left colectomy is also unclear. We sought to determine which strategy for bowel preparation decreases surgical site infection (SSI) and anastomotic leak (AL). Elective colectomies from the National Surgical Quality Improvement Program colectomy database (2012-2015) were divided by (1) type of bowel preparation: no preparation (NP), mechanical preparation (MP), oral antibiotics (PO), or mechanical and oral antibiotics (PO/MP); and (2) type of colonic resection: right, left, or segmental colectomy. Univariate and multivariate analyses identified predictors of SSI and AL, and their risk-adjusted incidence was determined by logistic regression. When analyzed as the odds ratio compared with NP, the PO and PO/MP groups were associated with a decrease in SSI (PO = 0.70 [0.55-0.88] and PO/MP = 0.47 [0.42-0.53]; P < .01). Use of PO/MP was associated with a decrease in SSI across all types of resections (right colectomy = 0.40 [0.33-0.50], left colectomy = 0.57 [0.47-0.68], and segmental colectomy = 0.43 (0.34-0.54); P < .01). Similarly, use of PO/MP was associated with a decrease in AL in left colectomy = 0.50 ([0.37-0.69]; P < .01) and segmental colectomy = 0.53 ([0.36-0.80]; P < .01). Mechanical bowel preparation with oral antibiotics is the preferred preoperative preparation strategy in elective colectomy because of decreased incidence of SSI and AL. Copyright © 2017 Elsevier Inc. All rights reserved.

  6. The study of optimization on process parameters of high-accuracy computerized numerical control polishing

    Science.gov (United States)

    Huang, Wei-Ren; Huang, Shih-Pu; Tsai, Tsung-Yueh; Lin, Yi-Jyun; Yu, Zong-Ru; Kuo, Ching-Hsiang; Hsu, Wei-Yao; Young, Hong-Tsu

    2017-09-01

    Spherical lenses lead to forming spherical aberration and reduced optical performance. Consequently, in practice optical system shall apply a combination of spherical lenses for aberration correction. Thus, the volume of the optical system increased. In modern optical systems, aspherical lenses have been widely used because of their high optical performance with less optical components. However, aspherical surfaces cannot be fabricated by traditional full aperture polishing process due to their varying curvature. Sub-aperture computer numerical control (CNC) polishing is adopted for aspherical surface fabrication in recent years. By using CNC polishing process, mid-spatial frequency (MSF) error is normally accompanied during this process. And the MSF surface texture of optics decreases the optical performance for high precision optical system, especially for short-wavelength applications. Based on a bonnet polishing CNC machine, this study focuses on the relationship between MSF surface texture and CNC polishing parameters, which include feed rate, head speed, track spacing and path direction. The power spectral density (PSD) analysis is used to judge the MSF level caused by those polishing parameters. The test results show that controlling the removal depth of single polishing path, through the feed rate, and without same direction polishing path for higher total removal depth can efficiently reduce the MSF error. To verify the optical polishing parameters, we divided a correction polishing process to several polishing runs with different direction polishing paths. Compare to one shot polishing run, multi-direction path polishing plan could produce better surface quality on the optics.

  7. Polish Phoneme Statistics Obtained On Large Set Of Written Texts

    Directory of Open Access Journals (Sweden)

    Bartosz Ziółko

    2009-01-01

    Full Text Available The phonetical statistics were collected from several Polish corpora. The paper is a summaryof the data which are phoneme n-grams and some phenomena in the statistics. Triphonestatistics apply context-dependent speech units which have an important role in speech recognitionsystems and were never calculated for a large set of Polish written texts. The standardphonetic alphabet for Polish, SAMPA, and methods of providing phonetic transcriptions are described.

  8. Polishing compound for plastic surfaces

    Science.gov (United States)

    Stowell, M.S.

    1991-01-01

    This invention is comprised of a polishing compound for plastic materials. The compound includes approximately by approximately by weight 25 to 80 parts at least one petroleum distillate lubricant, 1 to 12 parts mineral spirits, 50 to 155 parts abrasive paste, and 15 to 60 parts water. Preferably, the compound includes approximately 37 to 42 parts at least one petroleum distillate lubricant, up to 8 parts mineral spirits, 95 to 110 parts abrasive paste, and 50 to 55 parts water. The proportions of the ingredients are varied in accordance with the particular application. The compound is used on PLEXIGLAS{trademark}, LEXAN{trademark}, LUCITE{trademark}, polyvinyl chloride (PVC), and similar plastic materials whenever a smooth, clear polished surface is desired.

  9. Mechanical bowel preparation for elective colorectal surgery

    DEFF Research Database (Denmark)

    Guenaga, Katia K F G; Matos, Delcio; Wille-Jørgensen, Peer

    2009-01-01

    BACKGROUND: The presence of bowel contents during surgery has been related to anastomotic leakage, but the belief that mechanical bowel preparation (MBP) is an efficient agent against leakage and infectious complications is based on observational data and expert opinions only. OBJECTIVES...... with no MBP. Primary outcomes included anastomosis leakage - both rectal and colonic - and combined figures. Secondary outcomes included mortality, peritonitis, reoperation, wound infection, extra-abdominal complications, and overall surgical site infections. DATA COLLECTION AND ANALYSIS: Data were......: Four new trials were included at this update (total 13 RCTs with 4777 participants; 2390 allocated to MBP (Group A), and 2387 to no preparation (Group B), before elective colorectal surgery) .Anastomotic leakage occurred:(i) in 10.0% (14/139) of Group A, compared with 6.6% (9/136) of Group B for low...

  10. Preparation and Mechanical Properties of Aligned Discontinuous Carbon Fiber Composites

    OpenAIRE

    DENG Hua; GAO Junpeng; BAO Jianwen

    2018-01-01

    Aligned discontinuous carbon fiber composites were fabricated from aligned discontinuous carbon fiber prepreg, which was prepared from continuous carbon fiber prepreg via mechanical high-frequency cutting. The internal quality and mechanical properties were characterized and compared with continuous carbon fiber composites. The results show that the internal quality of the aligned discontinuous carbon fiber composites is fine and the mechanical properties have high retention rate after the fi...

  11. Mechanical Conversion for High-Throughput TEM Sample Preparation

    International Nuclear Information System (INIS)

    Kendrick, Anthony B; Moore, Thomas M; Zaykova-Feldman, Lyudmila

    2006-01-01

    This paper presents a novel method of direct mechanical conversion from lift-out sample to TEM sample holder. The lift-out sample is prepared in the FIB using the in-situ liftout Total Release TM method. The mechanical conversion is conducted using a mechanical press and one of a variety of TEM coupons, including coupons for both top-side and back-side thinning. The press joins a probe tip point with attached TEM sample to the sample coupon and separates the complete assembly as a 3mm diameter TEM grid, compatible with commercially available TEM sample holder rods. This mechanical conversion process lends itself well to the high through-put requirements of in-line process control and to materials characterization labs where instrument utilization and sample security are critically important

  12. Pseudo-random tool paths for CNC sub-aperture polishing and other applications.

    Science.gov (United States)

    Dunn, Christina R; Walker, David D

    2008-11-10

    In this paper we first contrast classical and CNC polishing techniques in regard to the repetitiveness of the machine motions. We then present a pseudo-random tool path for use with CNC sub-aperture polishing techniques and report polishing results from equivalent random and raster tool-paths. The random tool-path used - the unicursal random tool-path - employs a random seed to generate a pattern which never crosses itself. Because of this property, this tool-path is directly compatible with dwell time maps for corrective polishing. The tool-path can be used to polish any continuous area of any boundary shape, including surfaces with interior perforations.

  13. Phonematic translation of Polish texts by the neural network

    International Nuclear Information System (INIS)

    Bielecki, A.; Podolak, I.T.; Wosiek, J.; Majkut, E.

    1996-01-01

    Using the back propagation algorithm, we have trained the feed forward neural network to pronounce Polish language, more precisely to translate Polish text into its phonematic counterpart. Depending on the input coding and network architecture, 88%-95% translation efficiency was achieved. (author)

  14. The place of polish in the multilingual space of the European Union

    Directory of Open Access Journals (Sweden)

    T. I. Neprytska

    2015-03-01

    Full Text Available The article studies the position of the Polish language in the multilingual space of the European Union and determines the key factors which facilitate its gaining popularity and spreading in Europe. A large territory and population determine the significant presence of Polish in the European Union. Intense economic development facilitates popularization of learning and using Polish in the business medium, however, English was and still remains the dominating language of business. Active work of the state on improving the reputation of the country abroad, civilizational (value­based unity with other nations of the EU, favorable geographical position, common Indo­European roots of Germanic, Romanic and Slavonic  languages as well as usage of the Latin type create favorable conditions for the development and popularization of Polish on the territory of the EU. The article also mentions a number of concerns, which are rooted in the historical past of a dependent or semi­dependent existence of the Polish people, namely, the existence of the Polish and culture in the shade of German and Russian culture space, the negative international image of modern Poland, which was formed at the beginning of the 1990­s, the low level of Europeans’ familiarization with the Polish culture, absence of popularity and economic necessity of learning Polish abroad.

  15. Impact of initial surface parameters on the final quality of laser micro-polished surfaces

    Science.gov (United States)

    Chow, Michael; Bordatchev, Evgueni V.; Knopf, George K.

    2012-03-01

    Laser micro-polishing (LμP) is a new laser-based microfabrication technology for improving surface quality during a finishing operation and for producing parts and surfaces with near-optical surface quality. The LμP process uses low power laser energy to melt a thin layer of material on the previously machined surface. The polishing effect is achieved as the molten material in the laser-material interaction zone flows from the elevated regions to the local minimum due to surface tension. This flow of molten material then forms a thin ultra-smooth layer on the top surface. The LμP is a complex thermo-dynamic process where the melting, flow and redistribution of molten material is significantly influenced by a variety of process parameters related to the laser, the travel motions and the material. The goal of this study is to analyze the impact of initial surface parameters on the final surface quality. Ball-end micromilling was used for preparing initial surface of samples from H13 tool steel that were polished using a Q-switched Nd:YAG laser. The height and width of micromilled scallops (waviness) were identified as dominant parameter affecting the quality of the LμPed surface. By adjusting process parameters, the Ra value of a surface, having a waviness period of 33 μm and a peak-to-valley value of 5.9 μm, was reduced from 499 nm to 301 nm, improving the final surface quality by 39.7%.

  16. Financialization and the cultural attitude of Polish society towards the banking sector

    Directory of Open Access Journals (Sweden)

    Lech Kurkliński

    2016-10-01

    Full Text Available The article is dedicated to changes in the cultural attitude of Polish society towards the banking sector under the conditions of progressing financialization and arising consequences for the banking sector. First of all, attention is focused on the cultural characteristics of Poles, their attitude towards finance, especially in the period of transition. The main reference to cultural conditions relates to the tendency to save, incur loans, and the attitude towards banks. It is complemented, among other things, by the model of cultural dimensions by G. Hofstede and S. Schwartz, in line with which attempts are made to explain the mechanisms shaping the financial (banking system and the financialization tendency. This picture is confronted with the present shape and evolution of the Polish banking sector since 1989, including the role of foreign capital. In particular, attention is focused on the image of banks towards challenges related to the global financial crisis and the main problem of Polish banking institutions, namely household mortgage debt in foreign currencies. The author presents a thesis that significant financialization cannot be indicated in Poland and the historical and cultural aspects do not cause its expansion to the same degree as in a number of other countries. However, certain features, such as preference for loans rather than savings, are favorable for this direction.

  17. Colon and rectal surgery for cancer without mechanical bowel preparation: one-center randomized prospective trial.

    Science.gov (United States)

    Scabini, Stefano; Rimini, Edoardo; Romairone, Emanuele; Scordamaglia, Renato; Damiani, Giampiero; Pertile, Davide; Ferrando, Valter

    2010-04-30

    Mechanical bowel preparation is routinely done before colon and rectal surgery, aimed at reducing the risk of postoperative infectious complications. The aim of the study was to assess whether elective colon and rectal surgery can be safely performed without preoperative mechanical bowel preparation. Patients undergoing elective colon and rectal resections with primary anastomosis were prospectively randomized into two groups. Group A had mechanical bowel preparation with polyethylene glycol before surgery, and group B had their surgery without preoperative mechanical bowel preparation. Patients were followed up for 30 days for wound, anastomotic, and intra-abdominal infectious complications. Two hundred forty four patients were included in the study, 120 in group A and 124 in group B. Demographic characteristics, type of surgical procedure and type of anastomosis did not significantly differ between the two groups. There was no difference in the rate of surgical infectious complications between the two groups but the overall infectious complications rate was 20.0% in group A and 11.3% in group B (p .05). Wound infection (p = 0.18), anastomotic leak (p = 0.52), and intra-abdominal abscess (p = 0.36) occurred in 9.2%, 5.8%, and 5.0% versus 4.8%, 4.0%, and 2.4%, respectively. No mechanical bowel preparation seems to be safe also in rectal surgery. These results suggest that elective colon and rectal surgery may be safely performed without mechanical preparation.

  18. Attitudes of Polish Consumers Toward Experiential Marketing

    Directory of Open Access Journals (Sweden)

    Monika Skorek

    2017-01-01

    Full Text Available Purpose: The experience economy is a concept that can be defned as a new way of perceiving the market offer of an enterprise, focusing on the customer experience. Enterprises, both in the production and service industries, are becoming similar to one another on a massive scale, which makes it increasingly diffcult to stand out. The response to this can be to offer the consumer an unforgettable experience related to a product or service provided by a company. Methodology: This study analysed the results of qualitative research on the attitudes and opinions of Polish consumers on experience marketing. Results/fndings: The results showed that participants of the study were prepared to transition from the economy based on products or services to an economy based on experiences at a moderate level. They declared a willingness to participate in experiences offered by companies but at the same time focus on the cost and utility of them

  19. Confocal Raman spectrocopy for the analysis of nail polish evidence.

    Science.gov (United States)

    López-López, Maria; Vaz, Joana; García-Ruiz, Carmen

    2015-06-01

    Nail polishes are cosmetic paints that may be susceptible of forensic analysis offering useful information to assist in a crime reconstruction. Although the nail polish appearance could allow a quick visual identification of the sample, this analysis is subjected to the perception and subjective interpretation of the forensic examiner. The chemical analysis of the nail polishes offers great deal of information not subjected to analyst interpretation. Confocal Raman spectroscopy is a well-suited technique for the analysis of paints due to its non-invasive and non-destructive nature and its ability to supply information about the organic and inorganic components of the sample. In this work, 77 regular and gel nail polishes were analyzed with confocal Raman spectroscopy using two laser wavelengths (532 and 780 nm). The sample behavior under the two laser wavelengths and the differences in the spectra taken at different points of the sample were studied for each nail polish. Additionally, the spectra obtained for all the nail polishes were visually compared. The results concluded that the longer laser wavelength prevents sample burning and fluorescence effects; the similarity among the spectra collected within the sample is not directly related with the presence of glitter particles; and 64% of the samples analyzed showed a characteristic spectrum. Additionally, the use of confocal Raman spectroscopy for the forensic analysis of nail polishes evidence in the form of flakes or smudges on different surfaces were studied. The results showed that both types of evidence can be analyzed by the technique. Also, two non-invasive sampling methods for the collection of the evidence from the nails of the suspect or the victim were proposed: (i) to use acetone-soaked cotton swabs to remove the nail varnishes and (ii) to scrape the nail polish from the nail with a blade. Both approaches, each exhibiting advantages and drawbacks in terms of transport and handling were appropriate

  20. Jewish problem in the Polish Communist Party

    Directory of Open Access Journals (Sweden)

    Cimek Henryk

    2016-12-01

    Full Text Available Jews accounted for approx. 8-10% of the population of the Second Republic and in the communist movement (Polish Communist Party and Polish Communist Youth Union the rate was approx, 30%, while in subsequent years it much fluctuated. The percentage of Jews was the highest in the authorities of the party and in the KZMP. This had a negative impact on the position of the KPP on many issues, especially in its relation to the Second Republic.

  1. Borel hierarchies in infinite products of Polish spaces

    Indian Academy of Sciences (India)

    with two product topologies: (i) the product of copies of the Polish topology on X, so that H is again a Polish space and (ii) the product of copies of the discrete topology on X. Define now the Borel hierarchy in the larger topology on H. To do so, we need some notation. An element of H will be denoted by h = (x1,x2,...,xn,.

  2. Engineering solutions for the electro-polishing of multi-cell superconducting accelerators structures

    International Nuclear Information System (INIS)

    Schulz, E.; Bandelmann, R.; Escherich, K.; Keese, D.; Leenen, M.; Lilje, L.; Matheisen, A.; Morales, H.; Schmueser, P.; Seidel, M.; Steinhau-Kuehl, N.; Tiessen, J.

    2003-01-01

    Due to surface treatment with electro-polishing superconducting niobium resonators can potentially reach accelerating gradients well beyond 35 MV/m at a frequency of 1.3 GHz. The anticipated gradient for the 500GeV version of the TESLA collider is 23.4 MV/m. In view of the extendibility of the collider towards higher energies this technology is therefore of great importance for the TESLA project. In this paper we discuss the engineering aspects of the planned electro-polishing facility at DESY. The facility will allow for the treatment of single cell cavities as well as the standard TESLA 9-cell structure, and also a so called superstructure that consists of 2 x 9 cells. The issues described cover the acid circulation including cooling requirements, the required current densities resulting in the specifications of the electrical circuit, removal of oxyhydrogen gas, rotating feed-through and the overall mechanical layout. Furthermore we report on recent tests of critical components. (author)

  3. Polishing Sapphire Substrates by 355 nm Ultraviolet Laser

    Directory of Open Access Journals (Sweden)

    X. Wei

    2012-01-01

    Full Text Available This paper tries to investigate a novel polishing technology with high efficiency and nice surface quality for sapphire crystal that has high hardness, wear resistance, and chemical stability. A Q-switched 355 nm ultraviolet laser with nanosecond pulses was set up and used to polish sapphire substrate in different conditions in this paper. Surface roughness Ra of polished sapphire was measured with surface profiler, and the surface topography was observed with scanning electronic microscope. The effects of processing parameters as laser energy, pulse repetition rate, scanning speed, incident angle, scanning patterns, and initial surface conditions on surface roughness were analyzed.

  4. Effect of One-Step and Multi-Steps Polishing System on Enamel Roughness

    Directory of Open Access Journals (Sweden)

    Cynthia Sumali

    2013-07-01

    Full Text Available Normal 0 false false false MicrosoftInternetExplorer4 The final procedures of orthodontic treatment are bracket debonding and cleaning the remaining adhesive. Multi-step polishing system is the most common method used. The disadvantage of that system is long working time, because of the stages that should be done. Therefore, dental material manufacturer make an improvement to the system, to reduce several stages into one stage only. This new system is known as one-step polishing system. Objective: To compare the effect of one-step and multi-step polishing system on enamel roughness after orthodontic bracket debonding. Methods: Randomized control trial was conducted included twenty-eight maxillary premolar randomized into two polishing system; one-step OptraPol (Ivoclar, Vivadent and multi-step AstroPol (Ivoclar, Vivadent. After bracket debonding, the remaining adhesive on each group was cleaned by subjective polishing system for ninety seconds using low speed handpiece. The enamel roughness was subjected to profilometer, registering two roughness parameters (Ra, Rz. Independent t-test was used to analyze the mean score of enamel roughness in each group. Results: There was no significant difference of enamel roughness between one-step and multi-step polishing system (p>0.005. Conclusion: One-step polishing system can produce a similar enamel roughness to multi-step polishing system after bracket debonding and adhesive cleaning.DOI: 10.14693/jdi.v19i3.136

  5. Evidence for two concurrent inhibitory mechanisms during response preparation

    Science.gov (United States)

    Duque, Julie; Lew, David; Mazzocchio, Riccardo; Olivier, Etienne; Ivry, Richard B.

    2010-01-01

    Inhibitory mechanisms are critically involved in goal-directed behaviors. To gain further insight into how such mechanisms shape motor representations during response preparation, motor evoked potentials (MEPs) elicited by transcranial magnetic stimulation (TMS) and H-reflexes were recorded from left hand muscles during choice reaction time tasks. The imperative signal, which indicated the required response, was always preceded by a preparatory cue. During the post-cue delay period, left MEPs were suppressed when the left hand had been cued for the forthcoming response, suggestive of a form of inhibition specifically directed at selected response representations. H-reflexes were also suppressed on these trials, indicating that the effects of this inhibition extend to spinal circuits. In addition, left MEPs were suppressed when the right hand was cued, but only when left hand movements were a possible response option before the onset of the cue. Notably, left hand H-reflexes were not modulated on these trials, consistent with a cortical locus of inhibition that lowers the activation of task-relevant, but non-selected responses. These results suggest the concurrent operation of two inhibitory mechanisms during response preparation: one decreases the activation of selected responses at the spinal level, helping to control when selected movements should be initiated by preventing their premature release; a second, upstream mechanism helps to determine what response to make during a competitive selection process. PMID:20220014

  6. The View of Lithuanian Statehood Held by the Polish Underground during 1939-1944

    OpenAIRE

    Bubnys, Arūnas

    2006-01-01

    The article investigates the attitudes of Polish underground actors towards the statehood of Lithuania, its territorial integrity, and Lithuanian-Polish relations during the World War II. The author draws the conclusion that Polish underground political structures expressed a hostile and prejudiced attitude towards Lithuania. All the blame and responsibility for bad mutual relations is put on Lithuania and Lithuanians. Polish underground actors living in Vilnija were extremely hostile towards...

  7. Experimental Study on Layered Ice Bonded Abrasive Polishing of Glass-ceramics

    Directory of Open Access Journals (Sweden)

    Yuli SUN

    2014-12-01

    Full Text Available Layered ice bonded abrasive tools (LIBAT is a new kind of one which not only has the ability of lapping and polishing but also has the effect of self-dressing. In this paper, two kinds of layered ice bonded abrasive tools were designed and manufactured. Experimental studies on layered ice bonded abrasive (LIBA polishing of glass-ceramics were conducted. The results show that the surface topography of glass-ceramics polished by micro α-Al2O3-nano α-Al2O3 LIBAT is better than that of polished by micro α-Al2O3-nano SiO2 LIBAT. The surface roughness Sa of glass-ceramics polished by the two kinds of LIBAT is at the nanometer scale. The reasons of this phenomenon were analyzed. The experimental results illustrate that the LIBAT shows good effect and can be used in production practice. DOI: http://dx.doi.org/10.5755/j01.ms.20.4.6149

  8. Energy savings in Polish buildings

    Energy Technology Data Exchange (ETDEWEB)

    Markel, L.C.; Gula, A.; Reeves, G.

    1995-12-31

    A demonstration of low-cost insulation and weatherization techniques was a part of phase 1 of the Krakow Clean Fossil Fuels and Energy Efficient Project. The objectives were to identify a cost-effective set of measures to reduce energy used for space heating, determine how much energy could be saved, and foster widespread implementation of those measures. The demonstration project focused on 4 11-story buildings in a Krakow housing cooperative. Energy savings of over 20% were obtained. Most important, the procedures and materials implemented in the demonstration project have been adapted to Polish conditions and applied to other housing cooperatives, schools, and hospitals. Additional projects are being planned, in Krakow and other cities, under the direction of FEWE-Krakow, the Polish Energie Cities Network, and Biuro Rozwoju Krakowa.

  9. Experimental Study on Abrasive Waterjet Polishing of Hydraulic Turbine Blades

    International Nuclear Information System (INIS)

    Khakpour, H; Birglenl, L; Tahan, A; Paquet, F

    2014-01-01

    In this paper, an experimental investigation is implemented on the abrasive waterjet polishing technique to evaluate its capability in polishing of surfaces and edges of hydraulic turbine blades. For this, the properties of this method are studied and the main parameters affecting its performance are determined. Then, an experimental test-rig is designed, manufactured and tested to be used in this study. This test-rig can be used to polish linear and planar areas on the surface of the desired workpieces. Considering the number of parameters and their levels, the Taguchi method is used to design the preliminary experiments. All experiments are then implemented according to the Taguchi L 18 orthogonal array. The signal-to-noise ratios obtained from the results of these experiments are used to determine the importance of the controlled polishing parameters on the final quality of the polished surface. The evaluations on these ratios reveal that the nozzle angle and the nozzle diameter have the most important impact on the results. The outcomes of these experiments can be used as a basis to design a more precise set of experiments in which the optimal values of each parameter can be estimated

  10. Surface preparation and coupling in plastic scintillator dosimetry

    International Nuclear Information System (INIS)

    Ayotte, Guylaine; Archambault, Louis; Gingras, Luc; Lacroix, Frederic; Beddar, A. Sam; Beaulieu, Luc

    2006-01-01

    One way to improve the performance of scintillation dosimeters is to increase the light-collection efficiency at the coupling interfaces of the detector system. We performed a detailed study of surface preparation of scintillating fibers and their coupling with clear optical fibers to minimize light loss and increase the amount of light collected. We analyzed fiber-surface polishing with aluminum oxide sheets, coating fibers with magnesium oxide, and the use of eight different coupling agents (air, three optical gels, an optical curing agent, ultraviolet light, cyanoacrylate glue, and acetone). We prepared 10 scintillating fiber and clear optical fiber light guide samples to test different coupling methods. To test the coupling, we first cut both the scintillating fiber and the clear optical fiber. Then, we cleaned and polished both ends of both fibers. Finally, we coupled the scintillating fiber with the clear optical fiber in either a polyethylene jacket or a V-grooved support depending on the coupling agent used. To produce more light, we used an ultraviolet lamp to stimulate scintillation. A typical series of similar couplings showed a standard deviation in light-collection efficiency of 10%. This can be explained by differences in the surface preparation quality and alignment of the scintillating fiber with the clear optical fiber. Absence of surface polishing reduced the light collection by approximately 40%, and application of magnesium oxide on the proximal end of the scintillating fiber increased the amount of light collected from the optical fiber by approximately 39%. Of the coupling agents, we obtained the best results using one of the optical gels. Because a large amount of the light produced inside a scintillator is usually lost, better light-collection efficiency will result in improved sensitivity

  11. Calculation and simulation on mid-spatial frequency error in continuous polishing

    International Nuclear Information System (INIS)

    Xie Lei; Zhang Yunfan; You Yunfeng; Ma Ping; Liu Yibin; Yan Dingyao

    2013-01-01

    Based on theoretical model of continuous polishing, the influence of processing parameters on the polishing result was discussed. Possible causes of mid-spatial frequency error in the process were analyzed. The simulation results demonstrated that the low spatial frequency error was mainly caused by large rotating ratio. The mid-spatial frequency error would decrease as the low spatial frequency error became lower. The regular groove shape was the primary reason of the mid-spatial frequency error. When irregular and fitful grooves were adopted, the mid-spatial frequency error could be lessened. Moreover, the workpiece swing could make the polishing process more uniform and reduce the mid-spatial frequency error caused by the fix-eccentric plane polishing. (authors)

  12. Preparation of aligned nanotube membranes for water and gas separation applications

    Science.gov (United States)

    Lulevich, Valentin; Bakajin, Olgica; Klare, Jennifer E.; Noy, Aleksandr

    2016-01-05

    Fabrication methods for selective membranes that include aligned nanotubes can advantageously include a mechanical polishing step. The nanotubes have their ends closed off during the step of infiltrating a polymer precursor around the nanotubes. This prevents polymer precursor from flowing into the nanotubes. The polishing step is performed after the polymer matrix is formed, and can open up the ends of the nanotubes.

  13. Immigrant families in historical perspective: the experiences of Polish pioneers in Winnipeg, 1896-1919

    OpenAIRE

    ŁUKASZ ALBAŃSKI

    2017-01-01

    This paper focuses on an early Polish family life in Winnipeg. The family often served as a mechanism to reduce a sense of dislocation and to facilitate immigrants' adaptation. The family was also the primary economic unit. The family relations were affected by gender. Both immigrant men and women found themselves reconsidering traditional roles. Somehow immigration tested their family roles in newways.

  14. Preparation, characterization and mechanical properties of rare-earth-based nanocomposites

    Directory of Open Access Journals (Sweden)

    Musbah S.S.

    2012-01-01

    Full Text Available This study reports research related to different preparation methods and characterization of polymer nanocomposites for optical applications. The Eu-ion doped Gd2O3 nanophosphor powder with different nanoparticle content was embedded in the matrix of PMMA. Preparation was carried out by mixing molding (bulk, electrospinning (nanofibers and solution casting (thin films with neat particles and particles coated with AMEO silane. Among the pros and cons for proposed methods, the mixing molding enables to avoid solvent use while the best deagglomeration and nanoparticle distribution is gained using the electrospinning method. The results of dynamic mechanical analysis (DMA and nanoindentation revealed that the storage modulus of the composites was higher than that of pure PMMA and increased with nanophosphor content. Surface modification of particles improved the mechanical properties of nanocomposites.

  15. Implementation of the Bulgarian-Polish online dictionary

    Directory of Open Access Journals (Sweden)

    Ludmila Dimitrova

    2015-11-01

    Full Text Available Implementation of the Bulgarian-Polish online dictionary The paper describes the implementation of an online Bulgarian-Polish dictionary as a technological tool for applications in digital humanities. This bilingual digital dictionary is developed in the frame of the joint research project “Semantics and Contrastive Linguistics with a focus on a bilingual electronic dictionary” between IMI-BAS and ISS-PAS, supervised by L. Dimitrova (IMI-BAS and V. Koseska-Toszewa (ISS-PAS. In addition, the main software tools for web-presentation of the dictionary are described briefly.

  16. Lay beliefs on Polish oncology in the evaluation of healthy individuals.

    Science.gov (United States)

    Synowiec-Piłat, Małgorzata

    2017-12-23

    Poland is among the countries which reported the highest rates of mortality from cancer. The health behaviours of people are influenced, among other things, by their beliefs about cancer, but their evaluation of oncological institutions and specialists seems also to be of great importance. Objectives. 1. How the respondents evaluate Polish oncology: a) the conditions of treatment in oncology hospitals, b) access to oncological medical services, c) the competence of oncologists. 2. What are the socio-cultural factors of the assessment of Polish oncology? 3. What is the influence of the grade level of the assessment of Polish oncology on the degree of fear and the knowledge about cancer? The study was carried out with a sample of 910 adult residents of Wroclaw in south-west Poland. Quota sampling was used. An interview questionnaire was used as the method. Analysis of the data showed a negative image of Polish oncology, according to the study participants: dissatisfaction with both treatment conditions and with access to medical services. Assessment of Polish oncology depends primarily on education, age and economic situation, as well as 'family history of cancer', and attitude towards doctors. The lower the rating of Polish oncology, the lower the medical knowledge, and the higher the level of fear of cancer. Negative assessment of Polish oncology perpetuates the fear of cancer in society. There is a need for constant improvement of the quality of medical oncology services, for building public trust in physicians, to fight inequalities in health, and to take into account the lay perspectives in developing strategies to combat cancer.

  17. The Profile of a Polish Mutual Fund Manager

    Directory of Open Access Journals (Sweden)

    Dariusz Filip

    2018-05-01

    Full Text Available Aim/purpose - The purpose of this paper is to identify the characteristics that are typical of mutual fund managers. Design/methodology/approach - The study concentrates on a set of socio-demographic data, such as: age, gender, education, experience, and professional qualifications of 336 portfolio managers. The applied research strategy relies on an analysis concerning a set of statistical metrics describing the population under examination. Findings - The average Polish fund manager is a 37-year-old man, a holder of a stock-broker or investment adviser license. He obtained the authorization from the Polish Financial Supervision Authority (KNF a few years after graduating from the Warsaw School of Economics (SGH. He has 11 years' experience in financial markets and 7 years' experience in the mutual fund industry. Research implications/limitations - The identification of managerial characteristics for the purpose of creating a profile of a Polish mutual fund manager will provide an important basis for further surveys and analyses aimed to evaluate the effectiveness of mutual funds. Originality/value/contribution - There are no investigations within the discussed area in Polish studies. Therefore, the identification of the characteristics that are typical of mutual fund managers will make a contribution to the finance literature.(original abstract

  18. Condensate polishing guidelines for PWR and BWR plants

    International Nuclear Information System (INIS)

    Robbins, P.; Crinigan, P.; Graham, B.; Kohlmann, R.; Crosby, C.; Seager, J.; Bosold, R.; Gillen, J.; Kristensen, J.; McKeen, A.; Jones, V.; Sawochka, S.; Siegwarth, D.; Keeling, D.; Polidoroff, T.; Morgan, D.; Rickertsen, D.; Dyson, A.; Mills, W.; Coleman, L.

    1993-03-01

    Under EPRI sponsorship, an industry committee, similar in form and operation to other guideline committees, was created to develop Condensate Polishing Guidelines for both PWR and BWR systems. The committee reviewed the available utility and water treatment industry experience on system design and performance and incorporated operational and state-of-the-art information into document. These guidelines help utilities to optimize present condensate polisher designs as well as be a resource for retrofits or new construction. These guidelines present information that has not previously been presented in any consensus industry document. The committee generated guidelines that cover both deep bed and powdered resin systems as an integral part of the chemistry of PWR and BWR plants. The guidelines are separated into sections that deal with the basis for condensate polishing, system design, resin design and application, data management and performance and management responsibilities

  19. IS GNB3 C825T POLYMORPHISM ASSOCIATED WITH ELITE STATUS OF POLISH ATHLETES?

    Directory of Open Access Journals (Sweden)

    M. Sawczuk

    2014-07-01

    Full Text Available The GNB3 gene encodes the beta 3 subunit of heterotrimeric G-proteins that are key components of intracellular signal transduction between G protein-coupled receptors (GPCR and intracellular effectors and might be considered as a potential candidate gene for physical performance. Objectives: The aim of this study was to compare frequency distribution of the common C to T polymorphism at position 825 (C825T of the GNB3 gene between athletes and nonathletic controls of the Polish population as well as to compare the genotype distribution and allele frequency of C825T variants within a group of athletes, i.e. between athletes of sports of different metabolic demands and competitive levels. Methods: The study was performed in a group of 223 Polish athletes of the highest nationally competitive standard (123 endurance-oriented athletes and 100 strength/power athletes. Control samples were prepared from 354 unrelated, sedentary volunteers. Results: The χ2 test revealed no statistical differences between the endurance-oriented athletes and the control group or between sprint/strength athletes and the control group across the GNB3 825C/T genotypes. There were no male-female genotype or allele frequency differences in controls or in either strength/power or endurance-oriented athletes. No statistically significant differences in either allele frequencies or genotype distribution were noted between the top-elite, elite or sub-elite of endurance-oriented and strength/power athletes and the control group. Conclusions: No association between elite status of Polish athletes and the GNB3 C825T polymorphic site has been found.

  20. Tourism-themed internet portals – are new media creating a new tourist? A case study of Polish students

    Directory of Open Access Journals (Sweden)

    Jażdżewska Iwona

    2017-03-01

    Full Text Available Internet media have an influence on many tourism-related issues. This article presents the results of research into the role of tourism-themed portals in the trips taken by Polish university students between 2010 and 2012. The research sought to answer the following questions: whether tourism-related internet media have an influence on the students’ behaviour before, during the trip and when they arrive at their destination; how often they are used by the respondents during their trips; and whether Polish students actively participate in internet media by voicing their opinions. As it appears, internet media serve as one of the “travel companions” for young people. They are used when preparing the trip, the vast majority “take them” with them and check them during the trip, while almost half of them check them on vacation, e.g. to share their experience over the internet.

  1. Mechanical bowel preparation and oral antibiotic prophylaxis in colorectal surgery: Analysis of evidence and narrative review.

    Science.gov (United States)

    Badia, Josep M; Arroyo-García, Nares

    2018-05-14

    The role of oral antibiotic prophylaxis and mechanical bowel preparation in colorectal surgery remains controversial. The lack of efficacy of mechanical preparation to improve infection rates, its adverse effects, and multimodal rehabilitation programs have led to a decline in its use. This review aims to evaluate current evidence on antegrade colonic cleansing combined with oral antibiotics for the prevention of surgical site infections. In experimental studies, oral antibiotics decrease the bacterial inoculum, both in the bowel lumen and surgical field. Clinical studies have shown a reduction in infection rates when oral antibiotic prophylaxis is combined with mechanical preparation. Oral antibiotics alone seem to be effective in reducing infection in observational studies, but their effect is inferior to the combined preparation. In conclusion, the combination of oral antibiotics and mechanical preparation should be considered the gold standard for the prophylaxis of postoperative infections in colorectal surgery. Copyright © 2018 AEC. Publicado por Elsevier España, S.L.U. All rights reserved.

  2. [Polish medical ethics in the period 1945-1980].

    Science.gov (United States)

    Wichrowski, Marek

    2003-01-01

    The purpose of this article is o present that main currents of Polish medical ethics in the years 1945-1980, which the author treats as the 'prehistory' of Poland's contemporary bioethics. The author begins by ascertaining the post-war disappearance of two Polish traditions--that of the Warsaw school of philosopher-physicians (known in the West as the Polish School of Philosophy of Medicine) and the Lwów-Warsaw School of Philosophy. The political system that come into being after 1945 was not conducive to the development of medical ethics, but several clear orientations may be discerned in the utterances of physicians, lawyers, moral technologlans and academic philosophers. The analysis begins with Catholic ethics in its archaic 1950s-vintage form. The author then presents the thinking of ethicians Independent of both the Church and Marxism (the milieu of the journal 'Etyka') as well as the deontological writings of physicians.

  3. Immigrant families in historical perspective: the experiences of Polish pioneers in Winnipeg, 1896-1919

    Directory of Open Access Journals (Sweden)

    ŁUKASZ ALBAŃSKI

    2017-10-01

    Full Text Available This paper focuses on an early Polish family life in Winnipeg. The family often served as a mechanism to reduce a sense of dislocation and to facilitate immigrants' adaptation. The family was also the primary economic unit. The family relations were affected by gender. Both immigrant men and women found themselves reconsidering traditional roles. Somehow immigration tested their family roles in newways.

  4. Texture and microstructure analysis of epitaxial oxide layers prepared on textured Ni-12wt%Cr tapes

    Energy Technology Data Exchange (ETDEWEB)

    Huehne, R; Kursumovic, A; Tomov, R I; Glowacki, B A [Department of Materials Science and IRC in Superconductivity, University of Cambridge, Pembroke Street, Cambridge, CB2 3QZ (United Kingdom); Holzapfel, B [Institut fuer Festkoerper- und Werkstoffforschung, Helmholtzstrasse 20, 01069 Dresden (Germany); Evetts, J E [Department of Materials Science and IRC in Superconductivity, University of Cambridge, Pembroke Street, Cambridge, CB2 3QZ (United Kingdom)

    2003-05-07

    Oxide layers for the preparation of YBa{sub 2}Cu{sub 3}O{sub 7-x} coated conductors were grown on highly textured Ni-12wt%Cr tapes in pure oxygen using surface oxidation epitaxy at temperatures between 1000 deg. C and 1300 deg. C. Microstructural investigations revealed a layered oxide structure. The upper layer consists mainly of dense cube textured NiO. This is followed by a porous layer containing NiO and NiCr{sub 2}O{sub 4} particles. A detailed texture analysis showed a cube-on-cube relationship of the NiCr{sub 2}O{sub 4} spinel to the metal substrate. Untextured Cr{sub 2}O{sub 3} particles in a nickel matrix were found in a third layer arising from internal oxidation of the alloy. A high surface roughness and mechanical instability of the oxide were observed, depending on oxidation temperature and film thickness. However, mechanically stable oxide layers have been prepared using an additional annealing step in a protective atmosphere. Additionally, mechanical polishing or a second buffer layer, which grows with a higher smoothness, may be applied to reduce the surface roughness for coated conductor applications.

  5. An experimental and analytical investigation into the effects of process vibrations on material removal rates during polishing

    Science.gov (United States)

    Mullany, B.; Mainuddin, M.; Williams, W.; Keanini, R.

    2013-06-01

    Experimental testing, using both commercially available polishing machines and a specially built test platform, demonstrates that material removal rates (MRRs) observed during polishing of fused silica are strongly affected by nanometer-scale vibration amplitudes. Specifically, a nanometer level increase in system vibrations can produce MRRs approximately 150% higher than on an inherently smoother running machine. Moreover the higher spatial frequency surface roughness values are little-effected by the spectral content of the polishing machine. Polishing under controlled conditions, using the test platform, shows that for vibration amplitudes, A ≲ 1.6 μm, and over a fairly wide range of vibration frequencies, MRR increases almost linearly with increasing input power. By contrast, for A ≳ 10 μm, MRR exhibits a rapid decay with increasing A. Order of magnitude analyses and physical arguments are presented in order to explain the qualitatively distinct MRR trends observed. In the small-amplitude limit, A ≲ 1.6 μm, two arguments are presented which suggest that the total observed removal rate, MRRtot, reflects the superposed action of chemical-mechanical removal, MRRcm, and vibration-driven, flow-induced removal, MRRflow, i.e., MRRtot=MRRcm+MRRflow. The analyses further indicate that MRRflow primarily reflects cyclic viscous shears and pressure gradients extant within the thin, non-Newtonian slurry film that exists between the polishing tool and workpiece. Shears and pressure gradients, and corresponding flow-induced MRRs, are, in turn, found to scale as √A /do ω, where A is the vibration amplitude, do is the characteristic gap thickness between the tool and workpiece, and ω is the vibration frequency. In the large-amplitude limit, A ≳ 5 μm, experimental measurements and a simple scaling argument show that the polishing slurry film becomes thick enough that the workpiece and polishing tool lose direct contact. In this limit, observed MRRs thus reflect

  6. Polish adaptation of scoliosis research society-22 questionnaire.

    Science.gov (United States)

    Glowacki, Maciej; Misterska, Ewa; Laurentowska, Maria; Mankowski, Przemyslaw

    2009-05-01

    Polish adaptation of the original version of Scoliosis Research Society (SRS) instrument. The transcultural adaptation of SRS-22 and evaluation of its internal consistency. High psychometric value of the SRS-22 Questionnaire has made it an effective evaluation instrument in clinically assessing the functional status of patients with adolescent idiopathic scoliosis. First, 2 translators translated the original version into Polish. Afterwards, the translators identified differences between the translations and produced a consensus version. In the third stage, 2 native English speakers produced back translations. Finally, a team of 2 orthopedic surgeons, translators, a statistician and a psychologist reviewed all the translations to produce a prefinal version. The questionnaire was administered to 60 girls at the age of 16.6, SD 2.0 with adolescent idiopathic scoliosis treated with the Cotrel-Dubousset method in Pediatric Orthopaedics and Traumatology Clinic in Poznań. The internal consistency in the Polish version equaled 0.89 for the overall result and 0.81 for function, 0.81 for pain, 0.80 for mental health, 0.77 for self-image, and 0.69 for treatment satisfaction domains, respectively. The Polish version of SRS-22 is characterized by high internal consistency for all domains and for the overall score, which makes it an evaluation tool after surgical treatment compatible with the original SRS-22.

  7. Cellular ceramics made from porcelain tile polishing wastes: influence of sintering time

    International Nuclear Information System (INIS)

    Guimaraes, A.F.; Zanelatto, C.C.; Uggioni, E.; Bernardin, A.M.

    2009-01-01

    This paper deals with the physical, microstructural and mechanical characterization of cellular ceramics made from porcelain polishing wastes, which were expanded by the bubble formation technique during the sintering process. The microstructure, linear expansion, bulk density (mercury immersion) and mechanical behavior (compressive strength) were determined to characterize the glass foam obtained. Moreover, the porcellaneous residue was characterized by chemical and phase analyses, particle size (laser diffraction) and thermal behavior. As a result, the higher the soaking time during heat treatment at 1200 deg C the lower the density obtained for the cellular ceramic due to CO 2 expansion, and lower the mechanical strength of the samples. The microstructure shows spherical cells and completely closed pores, resulting in a cheap way to obtain low density material with adequate mechanical strength, avoiding the disposal of wastes from the ceramic industry. (author)

  8. SAFETY PLATFORM OF POLISH TRANSPORT

    Directory of Open Access Journals (Sweden)

    Katarzyna CHRUZIK

    2016-03-01

    Full Text Available Analyzing the level of Polish transport safety culture can be seen that it is now dependent on the culture of safety management within the organization and the requirements and recommendations of law in this field for different modes of transport (air, rail, road, water. Of the four basic types of transport requirements are widely developed in the aviation, rail, and water – the sea. In order to harmonize the requirements for transport safety so it appears advisable to develop a platform for exchange of safety information for different modes of transport, and the development of good practices multimodal offering the possibility of improving Polish transport safety. Described in the publication of the proposal in addition to the alignment platform experience and knowledge in the field of transport safety in all its kinds, it can also be a tool for perfecting new operators of public transport.

  9. Effect finishing and polishing procedures on the surface roughness of IPS Empress 2 ceramic.

    Science.gov (United States)

    Boaventura, Juliana Maria Capelozza; Nishida, Rodrigo; Elossais, André Afif; Lima, Darlon Martins; Reis, José Mauricio Santos Nunes; Campos, Edson Alves; de Andrade, Marcelo Ferrarezi

    2013-01-01

    To evaluate the surface roughness of IPS Empress 2 ceramic when treated with different finishing/polishing protocols. Sixteen specimens of IPS Empress 2 ceramic were made from wax patterns obtained using a stainless steel split mold. The specimens were glazed (Stage 0-S0, control) and divided into two groups. The specimens in Group 1 (G1) were finished/polished with a KG Sorensen diamond point (S1), followed by KG Sorensen siliconized points (S2) and final polishing with diamond polish paste (S3). In Group 2 (G2), the specimens were finished/polished using a Shofu diamond point (S1), as well as Shofu siliconized points (S2) and final polishing was performed using Porcelize paste (S3). After glazing (S0) and following each polishing procedure (S1, S2 or S3), the surface roughness was measured using TALYSURF Series 2. The average surface roughness results were analyzed using ANOVA followed by Tukey post-hoc tests (α = 0.01) RESULTS: All of the polishing procedures yielded higher surface roughness values when compared to the control group (S0). S3 yielded lower surface roughness values when compared to S1 and S2. The proposed treatments negatively affected the surface roughness of the glazed IPS Empress 2 ceramic.

  10. Quantitative in-situ TEM nanotensile testing of single crystal Ni facilitated by a new sample preparation approach.

    Science.gov (United States)

    Samaeeaghmiyoni, Vahid; Idrissi, Hosni; Groten, Jonas; Schwaiger, Ruth; Schryvers, Dominique

    2017-03-01

    Twin-jet electro-polishing and Focused Ion Beam (FIB) were combined to produce small size Nickel single crystal specimens for quantitative in-situ nanotensile experiments in the transmission electron microscope. The combination of these techniques allows producing samples with nearly defect-free zones in the centre in contrast to conventional FIB-prepared samples. Since TEM investigations can be performed on the electro-polished samples prior to in-situ TEM straining, specimens with desired crystallographic orientation and initial microstructure can be prepared. The present results reveal a dislocation nucleation-controlled plasticity, in which small loops induced by FIB near the edges of the samples play a central role. Copyright © 2016 Elsevier Ltd. All rights reserved.

  11. High voltage performance of a dc photoemission electron gun with centrifugal barrel-polished electrodes

    Science.gov (United States)

    Hernandez-Garcia, C.; Bullard, D.; Hannon, F.; Wang, Y.; Poelker, M.

    2017-09-01

    The design and fabrication of electrodes for direct current (dc) high voltage photoemission electron guns can significantly influence their performance, most notably in terms of maximum achievable bias voltage. Proper electrostatic design of the triple-point junction shield electrode minimizes the risk of electrical breakdown (arcing) along the insulator-cable plug interface, while the electrode shape is designed to maintain work, we describe a centrifugal barrel-polishing technique commonly used for polishing the interior surface of superconducting radio frequency cavities but implemented here for the first time to polish electrodes for dc high voltage photoguns. The technique reduced polishing time from weeks to hours while providing surface roughness comparable to that obtained with diamond-paste polishing and with unprecedented consistency between different electrode samples. We present electrode design considerations and high voltage conditioning results to 360 kV (˜11 MV/m), comparing barrel-polished electrode performance to that of diamond-paste polished electrodes. Tests were performed using a dc high voltage photogun with an inverted-geometry ceramic insulator design.

  12. Polishing and toothbrushing alters the surface roughness and gloss of composite resins.

    Science.gov (United States)

    Kamonkhantikul, Krid; Arksornnukit, Mansuang; Takahashi, Hidekazu; Kanehira, Masafumi; Finger, Werner J

    2014-01-01

    This study aimed to investigate the surface roughness and gloss of composite resins after using two polishing systems and toothbrushing. Six composite resins (Durafill VS, Filtek Z250, Filtek Z350 XT, Kalore, Venus Diamond, and Venus Pearl) were evaluated after polishing with two polishing systems (Sof-Lex, Venus Supra) and after toothbrushing up to 40,000 cycles. Surface roughness (Ra) and gloss were determined for each composite resin group (n=6) after silicon carbide paper grinding, polishing, and toothbrushing. Two-way ANOVA indicated significant differences in both Ra and gloss between measuring stages for the composite resins tested, except Venus Pearl, which showed significant differences only in gloss. After polishing, the Filtek Z350 XT, Kalore, and Venus Diamond showed significant increases in Ra, while all composite resin groups except the Filtek Z350 XT and Durafill VS with Sof-Lex showed increases in gloss. After toothbrushing, all composite resin demonstrated increases in Ra and decreases in gloss.

  13. Various bio-mechanical factors affecting heat generation during osteotomy preparation: A systematic review.

    Science.gov (United States)

    Chauhan, Chirag J; Shah, Darshana N; Sutaria, Foram B

    2018-01-01

    As implant site preparation and bone are critical precursors to primary healing, thermal and mechanical damage to the bone must be minimized during the preparation of the implant site. Moreover, excessively traumatic surgery can adversely affect the maturation of bone tissue at the bone/implant interface and consequently diminish the predictability of osseointegration. So, this study was carried out to evaluate the various biological and mechanical factors responsible for heat generation during osteotomy site preparation to reduce the same for successful osseointegration of dental implants. A broad search of the dental literature in PubMed added by manual search was performed for articles published between 1992 and December 2015. Various bio-mechanical factors related to dental implant osteotomy preparation such as dental implant drill designs/material/wear, drilling methods, type of irrigation, and bone quality were reviewed. Titles and abstracts were screened and articles which fulfilled the inclusion criteria were selected for a full-text reading. The initial database search yielded 123 titles, of which 59 titles were discarded after reading the titles and abstracts, 30 articles were again excluded based on inclusion and exclusion criteria, and finally 34 articles were selected for data extraction. Many biological and mechanical factors responsible for heat generation were found. Literatures of this review study have indicated that there are various bio-mechanical reasons, which affect the temperature rise during osteotomy and suggest that the amount of heat generation is a multifactorial in nature and it should be minimized for better primary healing of the implant site.

  14. Neologisms in bilingual digital dictionaries (on the example of Bulgarian-Polish dictionary

    Directory of Open Access Journals (Sweden)

    Ludmila Dimitrova

    2015-11-01

    Full Text Available Neologisms in bilingual digital dictionaries (on the example of Bulgarian-Polish dictionary The paper discusses the presentation of neologisms in the recent version of the Bulgarian-Polish digital dictionary. We also continue the discussion of important problems related to the classifiers of the verbs as headwords of the digital dictionary entries. We analyze some examples from ongoing experimental version of the Bulgarian-Polish digital dictionary.

  15. 8. All Polish Conference on Analytical Chemistry: Analytical Chemistry for the Community of the 21. Century

    International Nuclear Information System (INIS)

    Koscielniak, P.; Wieczorek, M.; Kozak, J.

    2010-01-01

    Book of Abstracts contains short descriptions of lectures, communications and posters presented during 8 th All Polish Conference on Analytical Chemistry (Cracow, 4-9.07.2010). Scientific programme consisted of: basic analytical problems, preparation of the samples, chemometry and metrology, miniaturization of the analytical procedures, environmental analysis, medicinal analyses, industrial analyses, food analyses, biochemical analyses, analysis of relicts of the past. Several posters were devoted to the radiochemical separations, radiochemical analysis, environmental behaviour of the elements important for the nuclear science and the professional tests.

  16. Comparison of different finishing/polishing systems on surface roughness and gloss of resin composites.

    Science.gov (United States)

    Antonson, Sibel A; Yazici, A Rüya; Kilinc, Evren; Antonson, Donald E; Hardigan, Patrick C

    2011-07-01

    The aim of this study was to compare four finishing/polishing systems (F/P) on surface roughness and gloss of different resin composites. A total of 40 disc samples (15 mm × 3 mm) were prepared from a nanofill - Filtek Supreme Plus (FS) and a micro-hybrid resin composite - Esthet-X (EX). Following 24h storage in 37°C water, the top surfaces of each sample were roughened using 120-grit sandpaper. Baseline measurements of surface roughness (Ra, μm) and gloss were recorded. Each composite group was divided into four F/P disk groups: Astropol[AP], Enhance/PoGo[EP], Sof-Lex[SL], and an experimental disk system, EXL-695[EXL] (n=5). The same operator finished/polished all samples. One sample from each group was evaluated under SEM. Another blinded-operator conducted postoperative measurements. Results were analysed by two-way ANOVA, two interactive MANOVA and Tukey's t-test (p0.01). In gloss, FS composite with the EXL-695 system provided a significantly higher gloss (pgloss (pgloss. SEM evaluations revealed that the EX surface contained more air pockets but F/P systems were compatible. Copyright © 2011 Elsevier Ltd. All rights reserved.

  17. Polish Adaptation of Wrist Evaluation Questionnaires.

    Science.gov (United States)

    Czarnecki, Piotr; Wawrzyniak-Bielęda, Anna; Romanowski, Leszek

    2015-01-01

    Questionnaires evaluating hand and wrist function are a very useful tool allowing for objective and systematic recording of symptoms reported by the patients. Most questionnaires generally accepted in clinical practice are available in English and need to be appropriately adapted in translation and undergo subsequent validation before they can be used in another culture and language. The process of translation of the questionnaires was based on the generally accepted guidelines of the International Quality of Life Assessment Project (IQOLA). First, the questionnaires were translated from English into Polish by two independent translators. Then, a joint version of the translation was prepared collectively and translated back into English. Each stage was followed by a written report. The translated questionnaires were then evaluated by a group of patients. We selected 31 patients with wrist problems and asked them to complete the PRWE, Mayo, Michigan and DASH questionnaires twice at intervals of 3-10 days. The results were submitted for statistical analysis. We found a statistically significant (pquestionnaires. A comparison of the PRWE and Mayo questionnaires with the DASH questionnaire also showed a statistically significant correlation (pquestionnaires was successful and that the questionnaires may be used in clinical practice.

  18. Theoretical Model of Pricing Behavior on the Polish Wholesale Fuel Market

    Directory of Open Access Journals (Sweden)

    Bejger Sylwester

    2016-12-01

    Full Text Available In this paper, we constructed a theoretical model of strategic pricing behavior of the players in a Polish wholesale fuel market. This model is consistent with the characteristics of the industry, the wholesale market, and the players. The model is based on the standard methodology of repeated games with a built-in adjustment to a focal price, which resembles the Import Parity Pricing (IPP mechanism. From the equilibrium of the game, we conclude that the focal price policy implies a parallel pricing strategic behavior on the market.

  19. [A study of different polishing techniques for amalgams and glass-cermet cement by scanning electron microscope (SEM)].

    Science.gov (United States)

    Kakaboura, A; Vougiouklakis, G; Argiri, G

    1989-01-01

    Finishing and polishing an amalgam restoration, is considered as an important and necessary step of the restorative procedure. Various polishing techniques have been recommended to success a smooth amalgam surface. The aim of this study was to investigate the influence of three different polishing treatments on the marginal integrity and surface smoothness of restorations made of three commercially available amalgams and a glass-cermet cement. The materials used were the amalgams, Amalcap (Vivadent), Dispersalloy (Johnson and Johnson), Duralloy (Degussa) and the glass-cermet Katac-Silver (ESPE). The occlusal surfaces of the restorations were polished by the methods: I) round bur, No4-rubber cup-zinc oxide paste in a small brush, II) round bur No 4-bur-brown, green and super green (Shofu) polishing cups and points successively and III) amalgam polishing bur of 12-blades-smooth amalgam polishing bur. Photographs from unpolished and polished surfaces of the restorations, were taken with scanning electron microscope, to evaluate the polishing techniques. An improvement of marginal integrity and surface smoothness of all amalgam restorations was observed after the specimens had been polished with the three techniques. Method II, included Shofu polishers, proved the best results in comparison to the methods I and III. Polishing of glass-cermet cement was impossible with the examined techniques.

  20. Adaptation of the ORTHO-15 test to Polish women and men.

    Science.gov (United States)

    Brytek-Matera, Anna; Krupa, Magdalena; Poggiogalle, Eleonora; Donini, Lorenzo Maria

    2014-03-01

    There is a lack of Polish tools to measure behaviour related to orthorexia nervosa. The purpose of the present study was to validate the Polish version of the ORTHO-15 test. 341 women and 59 men (N = 400) were recruited, whose age ranged from 18 to 35 years. Mean age was 23.09 years (SD = 3.14) in women and 24.02 years (SD = 3.87) in men. The ORTHO-15 test and the EAT-26 test were used in the present study. Factor analysis (exploratory and confirmatory analysis) was used in the present study. Exploratory factor analysis performed on the initial 15 items from a random split half of the study group suggested a nine-item two-factor structure. Confirmatory factor analysis performed on the second randomly selected half of the study group supported this two-factor structure of the ORTHO-15 test. The Polish version of the ORTHO-15 test demonstrated an internal consistency (Cronbach's alpha) equal to 0.644. The Polish version of the ORTHO-15 test is a reliable and valuable instrument to assess obsessive attitudes related to healthy and proper nutrition in Polish female and male population.

  1. [Adhesion of oral microorganisms on dental porcelain polished and glazed].

    Science.gov (United States)

    Wang, Yi-ning; Wen, Guo-jiang; Shi, Bin; Pan, Xin-hua

    2003-09-01

    This study compared the roughness of porcelain polished or glazed surfaces and the adhesion of oral streptococcus mutans to them in vitro. 30 porcelain samples were made. Porcelain samples in group A were polished with diamond paste. Porcelain samples were glazed in group B and were polished with Al2O3 (240#) bur in group C. Their roughness values were measured by profilometer. Standardized cell suspensions were incubated with test samples for one hour at 37 degrees C, then retained cells were counted by image analysis (percentage area of a microscopic field covered by cells). Roughness values of group A, B, C were respectively (0.1987 +/- 0.057) microm, (0.1990 +/- 0.091) microm, (0.4260 +/- 0.174) microm. There was no significantly difference between group A and group B. The roughness samples in group C were significantly rougher than that in the other groups. The amount of retained cells in group A, group B, group C was respectively (15.92 +/- 4.37)%, (16.39 +/- 6.31)% and (41.48 +/- 12.1)%. There was no significant difference between the cell adhesion on porcelain surface glazed and polished, but more bacteria adhered on the porcelain surface in group C. Porcelain surface polished treatment was clinically acceptable compared with its glazed. They all exhibited the least amount of bacteria adhesion. The more porcelain surface was rough, the more bacteria adhered on it.

  2. Time-dependent protection of ground and polished Cu using graphene film

    International Nuclear Information System (INIS)

    Dong, Yuhua; Liu, Qingqing; Zhou, Qiong

    2015-01-01

    Highlights: • Graphene was deposited on polished and ground Cu sheets by CVD. • Graphene films provide better protection to polished Cu for short time. • Multilayer graphene films provide better protection for short time. - Abstract: Graphene was deposited on Cu sheets with different morphologies by chemical vapor deposition. Scanning electron microscopy (SEM) analysis indicated that the morphology of the Cu sheet affected the graphene film properties. Electrochemical impedance spectroscopy measurements showed that the graphene film did not effectively protect Cu against corrosion because of prolonged exposure to ionic environments (3.5 wt.% NaCl solution). For short durations, graphene films provided better protection to polished Cu than ground Cu. Prolonged electrolyte immersion of graphene-coated Cu samples showed that the graphene film from the polished Cu surface was detached more easily than that from ground Cu

  3. Effect of polishing time and pressure on quality characteristics of rice grain

    International Nuclear Information System (INIS)

    Karim, M.A.

    2002-01-01

    Since totally unpolished rice has poor cooking quality, a certain amount of polishing for the removal of the outermost bran layers is essential. To determine the best combination of polishing time and pressure with respect to obtaining optimum quantity/quality, the brown rice (head grains) of a rice strain 4048 was milled for 10, 20, 30 and 40 seconds against 1,2,3,4 and 5 lbs pressure in a Burrows McGill Polisher No. 3. the quality characteristics studied were: total milling recovery, head rice recovery, whiteness of milled rice, protein content of grain, grain length and bursting upon cooking. Both, total milled rice and head rice reduced while the whiteness of milled rice improved significantly with an increase in polishing time and or pressure. The protein content decreased gradually with an increase in time and pressure of milling but the effect was non significant. The cooked grain length increased with an increase in milling degree up to a stage, beyond which it declined. The increase in bursting of grains on cooking. A combination of 20 seconds polishing time with 2 lbs pressure or 20 seconds polishing time with 3 lbs pressure was found to be the best combination of obtaining the optimum quality as well as the quality of milled rice.(author)

  4. How to Investigate Polish Clusters’ Attractiveness for Inward FDI? Addressing Ambiguity Problem

    Directory of Open Access Journals (Sweden)

    Götz Marta

    2014-09-01

    Full Text Available The aim of the paper is to assess whether, and in what fashion, managers of Polish cluster organizations perceive the attractiveness of foreign direct investment in Polish clusters This research is exploratory and qualitative in nature. The complex nature of Polish clusters, which can benefit from and be competitively challenged by, FDI are identified and a conceptual framework for assessing that nature is proposed; specifically, research using the grounded theory method (GTM.

  5. Robotic Automation in Computer Controlled Polishing

    Science.gov (United States)

    Walker, D. D.; Yu, G.; Bibby, M.; Dunn, C.; Li, H.; Wu, Y.; Zheng, X.; Zhang, P.

    2016-02-01

    We first present a Case Study - the manufacture of 1.4 m prototype mirror-segments for the European Extremely Large Telescope, undertaken by the National Facility for Ultra Precision Surfaces, at the OpTIC facility operated by Glyndwr University. Scale-up to serial-manufacture demands delivery of a 1.4 m off-axis aspheric hexagonal segment with surface precision robots and computer numerically controlled ('CNC') polishing machines for optical fabrication. The objective was not to assess which is superior. Rather, it was to understand for the first time their complementary properties, leading us to operate them together as a unit, integrated in hardware and software. Three key areas are reported. First is the novel use of robots to automate currently-manual operations on CNC polishing machines, to improve work-throughput, mitigate risk of damage to parts, and reduce dependence on highly-skilled staff. Second is the use of robots to pre-process surfaces prior to CNC polishing, to reduce total process time. The third draws the threads together, describing our vision of the automated manufacturing cell, where the operator interacts at cell rather than machine level. This promises to deliver a step-change in end-to-end manufacturing times and costs, compared with either platform used on its own or, indeed, the state-of-the-art used elsewhere.

  6. Theoretical research of probability of wedging of particulate matters at polishing

    Directory of Open Access Journals (Sweden)

    V.F. Molchanov

    2017-12-01

    Full Text Available The mechanism of formation of mikroprofile of the polished surface is expounded taking into account influence of particulate matters, contained in lubricating-coolings liquids. Probability of wedging of abrasive particles is investigational in the area of contact of diamond-impregnated with the surface of detail. It is set that for determination of probability of event, when a particle, getting together with a liquid in the area of contact, abandons track-scratch on a superficial layer, it is necessary to take into account, that three mutual locations of hard particle are possible in the area of contact of diamond-impregnated with the surface of detail. It is set researches, that a hard particle, getting together with a liquid in the area of contact, abandons track-scratch on-the-spot in that case, when the sizes of particle are equal or a few exceed distance from the surface of detail to the ledges on-the-spot diamond-impregnated. Researches allow mathematically to define probability of wedging of particulate matters in the area of contact of diamond-impregnated with the surface of the polished detail.

  7. ROUGHNESS ANALYSIS OF VARIOUSLY POLISHED NIOBIUM SURFACES

    Energy Technology Data Exchange (ETDEWEB)

    Ribeill, G.; Reece, C.

    2008-01-01

    Niobium superconducting radio frequency (SRF) cavities have gained widespread use in accelerator systems. It has been shown that surface roughness is a determining factor in the cavities’ effi ciency and maximum accelerating potential achievable through this technology. Irregularities in the surface can lead to spot heating, undesirable local electrical fi eld enhancement and electron multipacting. Surface quality is typically ensured through the use of acid etching in a Buffered Chemical Polish (BCP) bath and electropolishing (EP). In this study, the effects of these techniques on surface morphology have been investigated in depth. The surface of niobium samples polished using different combinations of these techniques has been characterized through atomic force microscopy (AFM) and stylus profi lometry across a range of length scales. The surface morphology was analyzed using spectral techniques to determine roughness and characteristic dimensions. Experimentation has shown that this method is a valuable tool that provides quantitative information about surface roughness at different length scales. It has demonstrated that light BCP pretreatment and lower electrolyte temperature favors a smoother electropolish. These results will allow for the design of a superior polishing process for niobium SRF cavities and therefore increased accelerator operating effi ciency and power.

  8. Multi-objective optimization of circular magnetic abrasive polishing of SUS304 and Cu materials

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, NhatTan; Yin, ShaoHui; Chen, FengJun; Yin, HanFeng [Hunan University, Changsha (China); Pham, VanThoan [Hanoi University, Hanoi (Viet Nam); Tran, TrongNhan [Industrial University of Ho Chi Minh City, HCM City (Viet Nam)

    2016-06-15

    In this paper, a Multi-objective particle swarm optimization algorithm (MOPSOA) is applied to optimize surface roughness of workpiece after circular magnetic abrasive polishing. The most important parameters of polishing model, namely current, gap between pole and workpiece, spindle speed and polishing time, were considered in this approach. The objective functions of the MOPSOA depend on the quality of surface roughness of polishing materials with both simultaneous surfaces (Ra1, Ra2), which are determined by means of experimental approach with the aid of circular magnetic field. Finally, the effectiveness of the approach is compared between the optimal results with the experimental data. The results show that the new proposed polishing optimization method is more feasible.

  9. Etymology in the Polish Academy of Sciences Great Dictionary of ...

    African Journals Online (AJOL)

    The article offers an insight into etymological information provided in the Polish Academy of Sciences Great Dictionary of Polish (Pol. Wielki słownik języka polskiego PAN, WSJP PAN). The dictionary and the rules of producing the entries are briefly presented. These rules influence the way of working on etymology within ...

  10. Antecedents of Accelerated Internationalisation of Polish and Czech Small and Medium-Sized Enterprises

    Directory of Open Access Journals (Sweden)

    Izabela Kowalik

    2017-09-01

    Full Text Available Objective: The study goal was the investigation of relationships between accelerated internationalisation descriptors and antecedent firm- and entrepreneur-related factors in Polish and Czech SMEs. Research Design & Methods: In order to compare Polish and Czech companies, the data collected in two independent studies were used. The study of 233 Polish companies was conducted with the use of CATI method in 2014; 108 Czech companies were surveyed in 2013/2014 with the use of CAWI method. In both samples half of the surveyed SME-exporters were conforming to the accelerated internationalisation criteria. Findings: In both samples the “global vision” elements and company internationalisation scale and speed were interrelated. In the Polish companies this relationship was moderated by company size. There was the lack of strong positive relationships between innovativeness and internationalisation speed and scale in both samples. Implications & Recommendations:The managerial mindset has a decisive role for accelerated internationalisation in both Czech and Polish SMEs. The innovativeness of offering is not indispensable for fast foreign expansion. Larger companies may expand abroad faster if they have internationally oriented managers. Contribution & Value Added:This work addresses a research gap concerning idiosyncrasies of internationalisation antecedents in Polish and Czech enterprises. As the results show, there is no common internationalisation pattern for Polish and Czech SMEs.

  11. Effect of grinding and polishing on roughness and strength of zirconia.

    Science.gov (United States)

    Khayat, Waad; Chebib, Najla; Finkelman, Matthew; Khayat, Samer; Ali, Ala

    2018-04-01

    The clinical applications of high-translucency monolithic zirconia restorations have increased. Chairside and laboratory adjustments of these restorations are inevitable, which may lead to increased roughness and reduced strength. The influence of grinding and polishing on high-translucency zirconia has not been investigated. The purpose of this in vitro study was to compare the roughness averages (Ra) of ground and polished zirconia and investigate whether roughness influenced strength after aging. High-translucency zirconia disks were milled, sintered, and glazed according to the manufacturer's recommendations. Specimens were randomized to 4 equal groups. Group G received only grinding; groups GPB and GPK received grinding and polishing with different polishing systems; and group C was the (unground) control group. All specimens were subjected to hydrothermal aging in an autoclave at 134°C at 200 kPa for 3 hours. Roughness average was measured using a 3-dimensional (3D) optical interferometer at baseline (Ra1), after grinding and polishing (Ra2), and after aging (Ra3). A biaxial flexural strength test was performed at a rate of 0.5 mm/min. Statistical analyses were performed using commercial software (α=.05). Group G showed a significantly higher mean value of Ra3 (1.96 ±0.32 μm) than polished and glazed groups (P.05). Compared with baseline, the roughness of groups G and GPB increased significantly after surface treatments and after aging, whereas aging did not significantly influence the roughness of groups GPK or C. Group G showed the lowest mean value of biaxial flexural strength (879.01 ±157.99 MPa), and the highest value was achieved by group C (962.40 ±113.84 MPa); no statistically significant differences were found among groups (P>.05). Additionally, no significant correlation was detected between the Ra and flexural strength of zirconia. Grinding increased the roughness of zirconia restorations, whereas proper polishing resulted in smoothness

  12. Acoustic Emission Based In-process Monitoring in Robot Assisted Polishing

    DEFF Research Database (Denmark)

    Pilny, Lukas; Bissacco, Giuliano; De Chiffre, Leonardo

    The applicability of acoustic emission (AE) measurements for in-process monitoring in the Robot Assisted Polishing (RAP) process was investigated. Surface roughness measurements require interruption of the process, proper surface cleaning and measurements that sometimes necessitate removal...... improving the efficiency of the process. It also allows for intelligent process control and generally enhances the robustness and reliability of the automated RAP system in industrial applications....... of the part from the machine tool. In this study, development of surface roughness during polishing rotational symmetric surfaces by the RAP process was inferred from AE measurements. An AE sensor was placed on a polishing tool, and a cylindrical rod of Vanadis 4E steel having an initial turned surface...

  13. Surface changes of metal alloys and high-strength ceramics after ultrasonic scaling and intraoral polishing.

    Science.gov (United States)

    Yoon, Hyung-In; Noh, Hyo-Mi; Park, Eun-Jin

    2017-06-01

    This study was to evaluate the effect of repeated ultrasonic scaling and surface polishing with intraoral polishing kits on the surface roughness of three different restorative materials. A total of 15 identical discs were fabricated with three different materials. The ultrasonic scaling was conducted for 20 seconds on the test surfaces. Subsequently, a multi-step polishing with recommended intraoral polishing kit was performed for 30 seconds. The 3D profiler and scanning electron microscopy were used to investigate surface integrity before scaling (pristine), after scaling, and after surface polishing for each material. Non-parametric Friedman and Wilcoxon signed rank sum tests were employed to statistically evaluate surface roughness changes of the pristine, scaled, and polished specimens. The level of significance was set at 0.05. Surface roughness values before scaling (pristine), after scaling, and polishing of the metal alloys were 3.02±0.34 µm, 2.44±0.72 µm, and 3.49±0.72 µm, respectively. Surface roughness of lithium disilicate increased from 2.35±1.05 µm (pristine) to 28.54±9.64 µm (scaling), and further increased after polishing (56.66±9.12 µm, P scaling (from 1.65±0.42 µm to 101.37±18.75 µm), while its surface roughness decreased after polishing (29.57±18.86 µm, P scaling significantly changed the surface integrities of lithium disilicate and zirconia. Surface polishing with multi-step intraoral kit after repeated scaling was only effective for the zirconia, while it was not for lithium disilicate.

  14. Emissions from the Polish power industry

    International Nuclear Information System (INIS)

    Uliasz-Bochenczyk, Alicja; Mokrzycki, Eugeniusz

    2007-01-01

    Poland is a country where power and heat energy production is based on conventional fuel combustion, above all hard coal and lignite. In power plants and combined heat and power plants, fossil fuel combustion results in emitting to the atmosphere first of all SO 2 , NO x , CO, particulate matter, greenhouse gases for instance N 2 O and CO 2 . For many years the Polish power industry has tried to reduce the emission of air contaminants to the atmosphere. The reduction is feasible on account of various methods of emission reduction, which have been advanced and applied for many years. The paper presents conventional fuels used in the Polish professional power industry, as well as the principal emission types occurring there for the last 10 years and the undertaken reduction measures

  15. Social Interest in The Polish Doctrine of Monument Preservation

    Science.gov (United States)

    Antoszczyszyn, Marek

    2017-10-01

    The paper discusses a controversy surrounding the latest amendment to the text of the Polish Parliament Act Conservation and the Care of Monuments (2015). It is a common dictum that any edifice cannot exist without good foundations. In this particular case of the mentioned above Act, definition of monument appears to be such a basis. A social interest is one of the constituent elements of the definition mentioned above. In the first part of the paper the notion of the social interest expression has been discussed, particularly from national and international points of view. The second part of the paper comprises some examples from the Polish monument preservation experience with the use of comparison method supported by case study. Only three big Polish cities: Warsaw, Wroclaw and Szczecin are involved in the presented case study but it must be emphasized that many other places on Polish territory experienced the same. Basing on the faith of these cities, the results and discussion chapter proves fundamental discrepancy in social interest notion between national and international scopes using some analytical methods. Finally, in the discussion chapter some proposals for the future amendment of monument definition in the Act of Conservation and the Care of Monuments have been provided.

  16. Scope of Nursing Care in Polish Intensive Care Units

    Directory of Open Access Journals (Sweden)

    Mariusz Wysokiński

    2013-01-01

    Full Text Available Introduction. The TISS-28 scale, which may be used for nursing staff scheduling in ICU, does not reflect the complete scope of nursing resulting from varied cultural and organizational conditions of individual systems of health care. Aim. The objective of the study was an attempt to provide an answer to the question what scope of nursing care provided by Polish nurses in ICU does the TISS-28 scale reflect? Material and Methods. The methods of working time measurement were used in the study. For the needs of the study, 252 hours of continuous observation (day-long observation and 3.697 time-schedule measurements were carried out. Results. The total nursing time was 4125.79 min. (68.76 hours, that is, 60.15% of the total working time of Polish nurses during the period analyzed. Based on the median test, the difference was observed on the level of χ2=16945.8, P<0.001 between the nurses’ workload resulting from performance of activities qualified into the TISS-28 scale and load resulting from performance of interventions within the scopes of care not considered in this scale in Polish ICUs. Conclusions. The original version of the TISS-28 scale does not fully reflect the workload among Polish nurses employed in ICUs.

  17. Polish Qualitative Sociology. Insight into the future of postdisciplinary research

    OpenAIRE

    Konecki, Krzysztof

    2014-01-01

    The paper desctibes the definitions of following concepts: multidisiplinarity, interdisciplinarity, transdysciplinarity, postdisciplinarity. MOreover it discuss the meanings of a concept of discipline. It describes the place of the Polish qualitative sociology in the context of postdisciplinary research. The main question of paper is: Does the POlish Qualitative Sociology has entered the postdisciplinary phase of research? DGS, UL Krzysztof Konecki

  18. Surface roughness of microparticulated and nanoparticulated composites after finishing and polishing procedures

    Directory of Open Access Journals (Sweden)

    Rosemary Arai Sadami Shinkai

    Full Text Available Objective: To evaluated the surface roughness of one microparticulate resin composite Durafill (Heraeus Kulzer Weihrheim, Germany andfour nanoparticulate resins 4 Seasons (Ivoclar Vivadent, Schaan, Liechtenstein Esthet x (Dentsply, Milford, DE, USA, Point 4 and Supreme (3M-ESPE, Dental Products,St. Paul, MN, USA. Methods: After finishing with a diamond bur point (F, and polishing with silicone points of gray, green and pink color Politipit (Ivoclar Vivadent,Schaan, Liechtenstein, four stages of completion were performed, simulating one of finishing and three of polishing a resin restoration. Ten samples of each composite resin were measured for surface roughness with surface profilometer (Mitutoyo Corporation, Tokyo, Japan after each of finishing and polishing sequence.Results: The results showed that nanoparticulate and microparticulate resins presented a significant difference in the surface roughness values, in all finishing and polishing steps. Conclusion: Of the the nanoparticulate resins 4 Seasons (Ivoclar Vivadent, Schaan, Liechtenstein, Point 4 (Kerr CO, Orange, CA, USA, and also microparticulate Durafill (Heraeus Kulzer Weihrheim, Germany presented significantly lower surface roughness values after completing all the finishing and polishing stages.

  19. An in vivo evaluation of surface polishing of TAN intermedullary nails for ease of removal

    Directory of Open Access Journals (Sweden)

    JS Hayes

    2009-09-01

    Full Text Available Fractures of the tibia and femoral diaphysis are commonly repaired by intra-medullary (IM nailing. Currently IM nails are available in either electropolished stainless steel (SS or in Titanium-Aluminium-Niobium (TAN. After healing, removal of the nails still is common but removal of TAN IM nails often has complications whereas SS IM nails of the same design are less often associated with problems. We believe the differences in removal are due to the ability of TAN to promote strong bone on-growth. We have previously shown in vivo that polishing cortical screws reduces removal torque and the percentage of bone-implant contact. Therefore, we postulate that bony on-growth onto IM nails can be reduced by means of surface polishing, for ease of removal. Here we aim to compare the pull-out forces for removal of standard TAN (TAN-S compared to experimental paste polished TAN (TAN-PP IM nails from a bilateral non-fracture sheep tibia model after 12 months implantation. Histological analysis was also performed to assess tissue on-growth to the nails. We show that polishing significantly reduces (p=0.05 the extraction force required for TAN IM nail removal. This effect in part is attributable to the distinct tissue-material reaction produced. For TAN-S nails direct bone contact was observed while for TAN-PP nails a fibrous tissue interface was noted. Since TAN is preferred over SS for IM nailing due to superior biocompatibility and mechanical properties, we believe these findings could be used to recommend changes to current surface technologies of intramedullary nails to reduce complications seen with nail removal especially in rapidly growing bone in children.

  20. Study on the Effects of Corrosion Inhibitor According to the Functional Groups for Cu Chemical Mechanical Polishing in Neutral Environment

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Sang Won; Kim, Jae Jeong [Institute of Chemical Process, Seoul National University, Seoul (Korea, Republic of)

    2015-08-15

    As the aluminum (Al) metallization process was replaced with copper (Cu), the damascene process was introduced, which required the planarization step to eliminate over-deposited Cu with Chemical Mechanical Polishing (CMP) process. In this study, the verification of the corrosion inhibitors, one of the Cu CMP slurry components, was conducted to find out the tendency regarding the carboxyl and amino functional group in neutral environment. Through the results of etch rate, removal rate, and chemical ability of corrosion inhibitors based on 1H-1,2,4-triazole as the base corrosion inhibitor, while the amine functional group presents high Cu etching ability, carboxyl functional group shows lower Cu etching ability than base-corrosion inhibitor which means that it increases passivation effect by making strong passivation layer. It implies that the corrosion inhibitor with amine functional group was proper to apply for 1st Cu CMP slurry owing to the high etch rate and with carboxyl functional group was favorable for the 2nd Cu CMP slurry due to the high Cu removal rate/dissolution rate ratio.

  1. Acoustic emission-based in-process monitoring of surface generation in robot-assisted polishing

    DEFF Research Database (Denmark)

    Pilny, Lukas; Bissacco, Giuliano; De Chiffre, Leonardo

    2016-01-01

    The applicability of acoustic emission (AE) measurements for in-process monitoring of surface generation in the robot-assisted polishing (RAP) was investigated. Surface roughness measurements require interruption of the process, proper surface cleaning and measurements that sometimes necessitate...... automatic detection of optimal process endpoint allow intelligent process control, creating fundamental elements in development of robust fully automated RAP process for its widespread industrial application....... removal of the part from the machine tool. In this study, stabilisation of surface roughness during polishing rotational symmetric surfaces by the RAP process was monitored by AE measurements. An AE sensor was placed on a polishing arm in direct contact with a bonded abrasive polishing tool...

  2. Preparation and mechanical properties of photo-crosslinked poly(trimethylene carbonate) and nano-hydroxyapatite composites

    NARCIS (Netherlands)

    Geven, Mike Alexander; Barbieri, D.; Yuan, Huipin; de Bruijn, Joost Dick; Grijpma, Dirk W.

    2015-01-01

    Composite materials of photo-crosslinked poly(trimethylene carbonate) and nanoscale hydroxyapatite were prepared and their mechanical characteristics for application as orbital floor implants were assessed. The composites were prepared by solvent casting poly(trimethylene carbonate) macromers with

  3. Effect of one-step polishing system on the color stability of nanocomposites.

    Science.gov (United States)

    Alawjali, S S; Lui, J L

    2013-08-01

    This study was to compare the effect of three different one-step polishing systems on the color stability of three different types of nanocomposites after immersion in coffee for one day and seven days and determine which nanocomposite material has the best color stability following polishing with each of the one-step polishing system. The nanocomposites tested were Tetric EvoCeram, Grandio and Herculite Précis. A total of 120 discs (40/nanocomposite, 8mm×2mm) were fabricated. Ten specimens for each nanocomposite cured under Mylar strips served as the control. The other specimens were polished with OptraPol, OneGloss and Occlubrush immersed in coffee (Nescafé) up to seven days. Color measurements were made with a spectrophotometer at baseline and after one and seven days. Two way repeated measure ANOVA, two way ANOVA and Bonferroni tests were used for statistical analyses (P<0.05). The immersion time was a significant factor in the discoloration of the nanocomposites. The effect of three one-step polishing systems on the color stability was also significant. The color change values of the materials cured against Mylar strips were the greatest. The lowest mean color change values were from the Occlubrush polished groups. The effect of the three different types of nanocomposite on the color change was significant. The highest color change values were with Tetric EvoCeram groups. The lowest color change values were with Herculite Précis groups. The color change of nanocomposite resins is affected by the type of composite, polishing procedure and the period of immersion in the staining agent. Copyright © 2012 Elsevier Ltd. All rights reserved.

  4. Evaluation of one-step micro polishers for residual resin removal after debonding on fluorosed teeth

    Directory of Open Access Journals (Sweden)

    Padmalatha Challa

    2014-01-01

    Full Text Available Aim and objectives: To evaluate the effectiveness of one step micro polishers for residual resin removal on fluorosed teeth using scanning electron microscope (SEM. Methods and Material: 55 teeth with mild to moderate fluorosis were selected with five teeth as control. Metal brackets were bonded onto 50 teeth which were divided into 5 groups. The finishing and polishing methods which were tested include tungsten carbide burs (TCB, multistep finishing system (Sof-Lex, one step polishers (PoGo and combination of TCB with multistep and one step polishing systems. After resin removal, all the samples were examined under SEM for assessment of the enamel surface. Results: The enamel surface was closest to untouched enamel in samples finished with the PoGo one step polishers followed by Sof-Lex multistep finishing system. However, they took the longest time to finish. TCB required the shortest time for residual resin removal. Conclusions: All polishing systems produce a certain degree of damage to the enamel surface with the smoothest surface being produced by one step polishers on fluorosed teeth.

  5. Mirror surface metrology and polishing for AXAF/TMA

    International Nuclear Information System (INIS)

    Slomba, A.; Babish, R.; Glenn, P.

    1985-01-01

    The achievement of the derived goals for mirror surface quality on the Advanced X-ray Astrophysics Facility (AXAF), Technology Mirror Assembly (TMA) required a combination of state-of-the-art metrology and polishing techniques. In this paper, the authors summarize the derived goals and cover the main facets of the various metrology instruments employed, as well as the philosophy and technique used in the polishing work. In addition, they show how progress was measured against the goals, using the detailed error budget for surface errors and a mathematical model for performance prediction. The metrology instruments represented a considerable advance on the state-of-the-art and fully satisfied the error budget goals for the various surface errors. They were capable of measuring the surface errors over a large range of spatial periods, from low-frequency figure errors to microroughness. The polishing was accomplished with a computer-controlled process, guided by the combined data from various metrology instruments. This process was also tailored to reduce the surface errors over the full range of spatial periods

  6. Infestation of Polish Agricultural Soils by Plasmodiophora Brassicae Along The Polish-Ukrainian Border

    Directory of Open Access Journals (Sweden)

    Jędryczka Małgorzata

    2014-07-01

    Full Text Available There has been a rapid, worldwide increase in oilseed rape production that has resulted in enormous intensification of oilseed rape cultivation, leading to tight rotations. This in turn, has caused an accumulation of pests as well as foliar and soil-borne diseases. Recently, clubroot has become one of the biggest concerns of oilseed rape growers. Clubroot is caused by the soil-borne protist Plasmodiophora brassicae Woronin. The pathogen may be present in groundwater, lakes, and irrigation water used in sprinkling systems. It can be easily transmitted from one field to another not only by water, but also by soil particles and dust transmitted by wind and on machinery. The aim of our overall study was to check for P. brassicae infestation of Polish agricultural soils. This paper presents the 2012 results of a study performed along the Polish-Ukrainian border in two provinces: Lublin (Lubelskie Voivodeship and the Carpathian Foothills (Podkarpackie Voivodeship, in south-east Poland. Monitoring was done in 11 counties, including nine rural and two municipal ones. In total, 40 samples were collected, out of which 36 were collected from fields located in rural areas and four from municipal areas, with two per municipal region. Each sample was collected at 8-10 sites per field, using a soil auger. The biotest to detect the presence of P. brassicae was done under greenhouse conditions using seedlings of the susceptible Brassicas: B. rapa ssp. pekinensis and the Polish variety of oilseed rape B. napus cv. Monolit. Susceptible plants grown in heavily infested soils produced galls on their roots. A county was regarded as free from the pathogen, if none of the bait plants became infected. The pathogen was found in three out of 40 fields monitored (7.5% in the Carpathian Foothill region. The fields were located in two rural counties. The pathogen was not found in Lublin province, and was also not detected in any of the municipal counties. The detection with

  7. Removing the residual cellulase by graphene oxide to recycle the bio-polishing effluent for dyeing cotton fabrics.

    Science.gov (United States)

    Wang, Rui; Yang, Chao; Fang, Kuanjun; Cai, Yuqing; Hao, Longyun

    2018-02-01

    In this research, a stable graphene oxide (GO) suspension was prepared by chemical reduction method from graphite powder. By TEM, the irregular GO sheets with single-atom-layered structure could be observed. The zeta potentials measurement indicated the surface charges of GO were strongly related to pH. BET analysis showed the GO had a specific surface area of 30.7 m 2 /g and pore volume of 0.10 cm 3 /g. When the GO was used to remove the residual cellulase in bio-polishing effluent, it was found the removal capacity reached its maximum value at the pH 4-5. The kinetics studies showed that the removal process of cellulase followed a pseudo-second-order kinetic model with a rate constant (k 2 ) of 0.276 × 10 -3  g/mg min and equilibrium adsorption capacity of 278.55 mg/g, respectively. By plotting the adsorption isotherms, it was found the Langmuir model fitted the experimental data well with a cellulase adsorption capacity of 574.71 mg/g, indicating the adsorption of cellulase by GO in a monolayer manner. When dyeing the cotton fabrics with reactive dyes, it was found that the cotton fabrics could acquire similar color properties in the recycled bio-polishing effluent as in fresh water, meaning the effectiveness of removing cellulase by GO and the feasibility of recycling the bio-polishing effluent. Copyright © 2017 Elsevier Ltd. All rights reserved.

  8. Long Distance Solidarity: Polish Public Opinion and the Boer War 1899–1902

    Directory of Open Access Journals (Sweden)

    Szlanta Piotr

    2017-06-01

    Full Text Available The bloody conflict which was taking place in South Africa in the years 1899-1902 was followed with a great interest by Polish public opinion. Its greatest part strongly sympathized with the Boer republics. Their burgers were idealized and presented by the Polish press as brave fighters for independence, who dared to stand up against the world empire to defend their rights while Great Britain was attributed full responsibility for the outbreak of the war. For many Poles the Boers personified the general idea of freedom fighters and symbolized all suppressed nations. Their sad fate seemed to be quite similar to the Polish one and this similarity was the main source of sympathy toward defenders of the Transvaal and Free Orange State. Voices of few Polish intellectuals, who called for a more objective and not so emotional view on the war, could not change the pro-Boers stance of the greatest part of Polish public opinion.

  9. Efficacy of polishing kits on the surface roughness and color stability ...

    African Journals Online (AJOL)

    Objective: Different polishing kits may have different effects on the composite resin surfaces. The aim of this study was to evaluate the surface roughness and color stability of four different composites which was applied different polishing technique. Materials and Methods: Thirty specimens were made for each composite ...

  10. Research status in ultra-precision machining of silicon carbide parts by oxidation-assisted polishing

    Directory of Open Access Journals (Sweden)

    Xinmin SHEN

    2016-10-01

    Full Text Available Oxidation-assisted polishing is an important machining method for obtaining SiC parts with high precision. Through plasma oxidation, thermal oxidation, and anodic oxidation, soft oxide can be obtained on the RS-SiC substrate. With the assistance of abrasive polishing to remove the oxide rapidly, the material removal rate can be increased and the surface quality can be improved. The research results indicate that the surface roughness root-mean-square (RMS and roughness-average (Ra can reach 0.626 nm and 0.480 nm by plasma oxidation-assisted polishing; in thermal oxidation-assisted polishing, the RMS and Ra can be 0.920 nm and 0.726 nm; in anodic oxidation, the calculated oxidation rate is 5.3 nm/s based on Deal-Grove model, and the RMS and Ra are 4.428 nm and 3.453 nm respectively in anodic oxidation-assisted polishing. The oxidation-assisted polishing can be propitious to improve the process level in machining RS-SiC, which would promote the application of SiC parts in optics and ceramics fields.

  11. Summary of Synthetic Lap Polishing Experiments at LLNL, FY95

    International Nuclear Information System (INIS)

    Nichols, M A

    2001-01-01

    The purpose of this research was to support the optics finishing development work for the NIF, the National Ignition Facility. One of the major expenses for the construction of NIF is the cost of finishing of the large aperture optics. One way to significantly reduce the cost of the project is to develop processes to reduce the amount of time necessary to polish the more than 3,000 amplifier slabs. These slabs are rectangular with an aspect ratio of more than twenty to one and are made of a very temperature sensitive glass, Nd doped phosphate laser glass. As a result of this effort, we could potentially reduce the time necessary to polish each surface of an amplifier from 20-30 hours of run time to under an hour to achieve the same removal and still maintain a flatness of between one to three waves concave figure. We also feel confident that we can polish rectangular thermally sensitive glass flat by use of temperature control of the polishing platen, pad curvature, slurry concentration with temperature control, pad rotation, and pressure; although further, larger scale experiments are necessary to gain sufficient confidence that such a procedure could be successfully fielded

  12. Note: Automated electrochemical etching and polishing of silver scanning tunneling microscope tips.

    Science.gov (United States)

    Sasaki, Stephen S; Perdue, Shawn M; Rodriguez Perez, Alejandro; Tallarida, Nicholas; Majors, Julia H; Apkarian, V Ara; Lee, Joonhee

    2013-09-01

    Fabrication of sharp and smooth Ag tips is crucial in optical scanning probe microscope experiments. To ensure reproducible tip profiles, the polishing process is fully automated using a closed-loop laminar flow system to deliver the electrolytic solution to moving electrodes mounted on a motorized translational stage. The repetitive translational motion is controlled precisely on the μm scale with a stepper motor and screw-thread mechanism. The automated setup allows reproducible control over the tip profile and improves smoothness and sharpness of tips (radius 27 ± 18 nm), as measured by ultrafast field emission.

  13. New surface modification method of bio-titanium alloy by EB polishing

    International Nuclear Information System (INIS)

    Okada, Akira; Uno, Yoshiyuki; Iio, Atsuo; Fujiwara, Kunihiko; Doi, Kenji

    2008-01-01

    A new surface modification for bio-titanium alloy products by electron beam (EB) polishing is proposed. In this EB polishing method, high energy density EB can be irradiated without concentrating the beam. Therefore, large-area EB with a maximum diameter of 60 mm can be used for instantaneously melting or evaporating metal surface. Experimental results made it clear that surface characteristics, such as repellency, corrosion resistance and coefficient of friction could be improved simultaneously with the surface smoothing in a few minutes under a proper condition. Therefore, EB polishing method has a possibility of high efficient surface smoothing and surface modification process for bio-titanium alloy. (author)

  14. Family Enterprises in Polish Consumers' Mindset in the Light of International Tendencies

    Directory of Open Access Journals (Sweden)

    Joanna Bednarz

    2017-07-01

    Full Text Available Aim/purpose - The main aim of the paper is to fill in the gap in the existing literature as well as to propose a set of specific family enterprises' (FE attributes concerning certain socioeconomic conditions in Poland. The objectives of the article are interrelated with two theses. H1 assumes that in current literature there is a little attention paid to the demand side of the market, particularly to the individual consumers (including young buyers and their attitudes toward FEs. H2 indicates that the perception of Polish FEs changes considerably reflecting the international trends. Design/methodology/approach - The authors studied a consolidated profound review of recent international and Polish publications on FEs. The expert interviews and in-depth individual interviews were conducted. Both empirical studies brought a preliminary insight into overall consumer perception of the FEs in Poland. Findings - For several years Polish buyers have been dynamically changing their mindset, breaking the stereotype of FEs' owners. Nowadays, tradition and quality are two attributes which are associated closely with Polish FEs. Customers indicate that FEs are trustworthy, responsible, solid and dependable. They also highlight the ethnocentric attitude toward these companies - Polishness. All these attributes are evidently appreciated. FEs are correlated with traditional industries and products, especially with groceries, cosmetics, clothes, shoes, jewelry, furniture, windows and doors. Research implications/limitations - The identity of FEs is not always communicated properly. Consumers often cannot ascertain a provenance of their offer as many FEs do not emphasize their family identity. Additionally, on the Polish market, consumers are occasionally misled considering the family ownership of a business. Originality/value/contribution - The studies indicate a set of attributes typical of Polish FEs underpinning their strong identity which should be

  15. Sintering of MnCo2O4 coatings prepared by electrophoretic deposition

    DEFF Research Database (Denmark)

    Bobruk, M.; Molin, Sebastian; Chen, Ming

    2018-01-01

    Sintering of MnCo2O4 coatings prepared by electrophoretic deposition on steel substrates has been studied in air and in reducing-oxidizing atmosphere. Effect of temperature and pO2 on the resulting coating density was evaluated from scanning electron microscopy images of polished cross sections...

  16. Hydroxyapatite nanocrystals: Simple preparation, characterization and formation mechanism

    International Nuclear Information System (INIS)

    Mohandes, Fatemeh; Salavati-Niasari, Masoud; Fathi, Mohammadhossein; Fereshteh, Zeinab

    2014-01-01

    Crystalline hydroxyapatite (HAP) nanoparticles and nanorods have been successfully synthesized via a simple precipitation method. To control the shape and particle size of HAP nanocrystals, coordination ligands derived from 2-hydroxy-1-naphthaldehyde were first prepared, characterized by Fourier transform infrared (FT-IR) and proton nuclear magnetic resonance ( 1 H-NMR) spectroscopies, and finally applied in the synthesis process of HAP. On the other hand, the HAP nanocrystals were also characterized by several techniques including powder X-ray diffraction (XRD), field-emission scanning electron microscopy (FE-SEM) and transmission electron microscopy (TEM). According to the FE-SEM and TEM micrographs, it was found that the morphology and crystallinity of the HAP powders depended on the coordination mode of the ligands. - Highlights: • HAP nanobundles and nanoparticles have been prepared by a precipitation method. • Morphologies of HAP nanocrystals were controlled by different coordination ligands. • The formation mechanism of hydroxyapatite nanocrystals was also considered

  17. Hydroxyapatite nanocrystals: Simple preparation, characterization and formation mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Mohandes, Fatemeh [Department of Inorganic Chemistry, Faculty of Chemistry, University of Kashan, Kashan, P. O. Box. 87317-51167, Islamic Republic of Iran (Iran, Islamic Republic of); Salavati-Niasari, Masoud, E-mail: salavati@kashanu.ac.ir [Department of Inorganic Chemistry, Faculty of Chemistry, University of Kashan, Kashan, P. O. Box. 87317-51167, Islamic Republic of Iran (Iran, Islamic Republic of); Institute of Nano Science and Nano Technology, University of Kashan, Kashan, P. O. Box 87317-51167, Islamic Republic of Iran (Iran, Islamic Republic of); Fathi, Mohammadhossein [Biomaterials Research Group, Department of Materials Engineering, Isfahan University of Technology, Isfahan 8415683111, Islamic Republic of Iran (Iran, Islamic Republic of); Dental Materials Research Center, Isfahan University of Medical Sciences, Isfahan, Islamic Republic of Iran (Iran, Islamic Republic of); Fereshteh, Zeinab [Biomaterials Research Group, Department of Materials Engineering, Isfahan University of Technology, Isfahan 8415683111, Islamic Republic of Iran (Iran, Islamic Republic of)

    2014-12-01

    Crystalline hydroxyapatite (HAP) nanoparticles and nanorods have been successfully synthesized via a simple precipitation method. To control the shape and particle size of HAP nanocrystals, coordination ligands derived from 2-hydroxy-1-naphthaldehyde were first prepared, characterized by Fourier transform infrared (FT-IR) and proton nuclear magnetic resonance ({sup 1}H-NMR) spectroscopies, and finally applied in the synthesis process of HAP. On the other hand, the HAP nanocrystals were also characterized by several techniques including powder X-ray diffraction (XRD), field-emission scanning electron microscopy (FE-SEM) and transmission electron microscopy (TEM). According to the FE-SEM and TEM micrographs, it was found that the morphology and crystallinity of the HAP powders depended on the coordination mode of the ligands. - Highlights: • HAP nanobundles and nanoparticles have been prepared by a precipitation method. • Morphologies of HAP nanocrystals were controlled by different coordination ligands. • The formation mechanism of hydroxyapatite nanocrystals was also considered.

  18. Polishers around the globe: an overview on the market of large astronomical mirrors

    Science.gov (United States)

    Döhring, Thorsten

    2014-07-01

    Astronomical mirrors are key elements in modern optical telescopes, their dimensions are usually large and their specifications are demanding. Only a limited number of skilled companies respectively institutions around the world are able to master the challenge to polish an individual astronomical mirror, especially in dimensions above one meter. This paper presents an overview on the corresponding market including a listing of polishers around the globe. Therefore valuable information is provided to the astronomical community: Polishers may use the information as a global competitor database, astronomers and project managers may get more transparency on potential suppliers, and suppliers of polishing equipment may learn about unknown potential customers in other parts of the world. An evaluation of the historical market demand on large monolithic astronomical mirrors is presented. It concluded that this is still a niche market with a typical mean rate of 1-2 mirrors per year. Polishing of such mirrors is an enabling technology with impact on the development of technical know-how, public relation, visibility and reputation of the supplier. Within a corresponding technical discussion different polishing technologies are described. In addition it is demonstrated that strategic aspects and political considerations are influencing the selection of the optical finisher.

  19. Study on combined polishing process of aspherical aluminum mirrors

    Science.gov (United States)

    Deng, Jinqiu; Peng, Xiaoqiang; Hu, Hao; Ge, Kunpeng

    2017-10-01

    The aluminum mirrors are widely used as important optical components in some vital fields such as astronomical instruments or military installations due to the unique advantages of aluminum alloy. In order to simplify the structure of optical system and improve the performance at the same time, it's a tendency that the optics will be designed to aspherical or other freeform shapes. However, the traditional techniques are falling to have adequate abilities to deal with the increasing demands of aluminum optics. For example, the tool marks leaved on the surface from single point diamond turning (SPDT) has obvious adverse effects to optical system. The deterministic and sub-aperture polishing process has showed the potential to fabricate complex shapes over the few years. But it's still recognized as a problem to polish bare aluminum directly because of its soft surface and active chemical characteristics. Therefore, a combination of magnetorheological finishing (MRF) and small tool polishing (STP) is applied to obtain high performance aluminum optics in this paper. A paraboloid aluminum mirror was polished with this proposed method, and the results showed that the surface texture of the sample is restrained from rms 0.409λ (λ=632.8nm) to rms 0.025λ, and the surface roughness is improved from average Ra 6 7nm to Ra 3 4nm.

  20. Heavy Metal Contamination of Popular Nail Polishes in Iran

    Directory of Open Access Journals (Sweden)

    Golnaz Karimi

    2015-06-01

    Full Text Available Background: Toxic and hazardous heavy metals like arsenic, lead, mercury, zinc, chromium and iron are found in a variety of personal care products, e.g. lipstick, whitening toothpaste, eyeliner and nail color. The nails absorb the pigments of nail polishes and vaporized or soluble metals can easily pass it. The goal of this survey was to assess whether the different colors of nail polishes comply with maximum concentrations of heavy metals in the EPA’s guidelines. Methods: 150 samples of different popular brands of nail polishes in 13 colors (yellow, beige, silver, pink, white, violet, brown, golden, green, black, colorless, red and blue were randomly purchased from beauty shops in Tehran City, Iran, in 2014. Microwave digestion EPA method 3051 was used by a microwave oven to determine the amount of 5 heavy metals; Nickel, Chromium, Lead, Arsenic and Cadmium. One-way ANOVA, Two-way ANOVA, hierarchical cluster, and principal component analyses were applied by Statistica 7.0 software. Results: The concentrations of chrome, lead, nickel and arsenic showed significant differences between the colors (p<0.05. In all studied samples, the level of cadmium was beyond the safe maximum permissible limit (MPS, but no significance difference in the cadmium content was identified. Conclusion: Due to the high concentrations of toxic metals in many brands of nail polishes, meticulous quality control is recommended for these beauty products.

  1. Holocaust Education in Polish Public Schools: Between Remembrance and Civic Education

    Science.gov (United States)

    Milerski, Boguslaw

    2010-01-01

    This article analyzes the historical and political context of Holocaust education, and its implementation in Polish schools. Perceptions of the Holocaust continue to change, influenced by Poland's social and political situation. The Polish historical context is quite specific; it includes the long history of Poles and Jews as neighbors, with local…

  2. Polish Standard of the Technical Safety of Transmission Gas Pipelines

    International Nuclear Information System (INIS)

    Tkacz, A.J.

    2006-01-01

    The document is presenting the idea of the CNGI Norm called The Polish Standard of the Technical Safety of Transmission Gas Pipelines and the way of using it by companies associated in the Chamber of the Natural Gas Industry in the business activity. It will be applied to improve the quality and reliability of gas transmission after full opening of Polish natural gas market. (author)

  3. Low temperature preparation of α-tricalcium phosphate and its mechanical properties

    Directory of Open Access Journals (Sweden)

    Song Wang

    2017-06-01

    Full Text Available In this work, α-tricalcium phosphate (α-TCP was successfully prepared by the thermal transformation of amorphous calcium phosphate (ACP precursor. β-cyclodextrin (β-CD was used for preparation of ACP precursor and played an important role in designing its special structure. The phase composition and microstructures of the obtained α-TCP at different annealing temperature were analysed by X-ray diffraction and scanning electron microscope, and confirmed that α-TCP can be prepared at 650°C for 3 h using ACP as precursor, which is much lower than the phase transition temperature of α-TCP. Mechanical properties were tested 24 h after mixing the obtained α-TCP with 30 wt.% of deionised water. The compressive strength and the flexural strength were 26.4MPa and 12.0MPa, respectively. The flexural strength was higher than that of α-TCP prepared by other methods.

  4. Reusing Ceramic Tile Polishing Waste In Paving Block Manufacturing

    OpenAIRE

    Giordano Penteado; Carmenlucia Santos; de Carvalho; Eduardo Viviani; Cecche Lintz; Rosa Cristina

    2016-01-01

    Ceramic companies worldwide produce large amounts of polishing tile waste, which are piled up in the open air or disposed of in landfills. These wastes have such characteristics that make them potential substitutes for cement and sand in the manufacturing of concrete products. This paper investigates the use of ceramic tile polishing waste as a partial substitute for cement and sand in the manufacturer of concrete paving blocks. A concrete mix design was defined and then the sand was replaced...

  5. IDENTITY AND NEGOTIATION OF BOUNDARIES AMONG YOUNG POLISH JEWS

    OpenAIRE

    Cukras-Stelągowska, Joanna

    2015-01-01

    In this chapter, I would like to look at the processes of building the cultural identity of the young generation of Polish Jews, primarily including the models of constructing modern identifications with the Polish society, the Jewish people and the State of Israel. I will present the results of my own studies, and of those conducted by a few other young researchers dealing with these issues. My main theoretical sources are within the interpretive paradigm. The research methodology was based ...

  6. Research on Grinding and Polishing Force Control of Compliant Flange

    Directory of Open Access Journals (Sweden)

    Li Chuang

    2015-01-01

    Full Text Available The automation of the grinding and polishing process is important to improve the production efficiency of the part surfaces. In this paper, a new compliant flange mounted on the end of the industrial robots for the robotic grinding and polishing force control is developed. With regard to the non-linear and time-varying problem of the contact force, the mathematical model of the new force control system was presented and the fuzzy PID control strategy was used to drive the proposed system. Especially, the air spring and electric proportional valve is studied to establish the model. The simulation results show that the selected control strategy has quick response and good robustness, which satisfies the real-time requirements of the grinding and polishing force control in processing.

  7. Research on Laser Micro Polishing of SLS Technology Sintered Iron-Based Powder Surface

    OpenAIRE

    Gerda Vaitkūnaitė; Vladislav Markovič; Olegas Černašėjus

    2015-01-01

    The article analyzes laser micro polishing of 1.2083 steel samples produced applying selective laser sintering (SLS) method. The study has evaluated the distribution of the shape, size and temperature of the laser beam treated area in the surface layer of sintered and laser polished samples. Experimental tests have shown the impact of the technical parameters of laser micro polishing on the width and hardness of the impact zone of the treated sample. The microstructure analysis of laser treat...

  8. A developed wedge fixtures assisted high precision TEM samples pre-thinning method: Towards the batch lamella preparation

    Directory of Open Access Journals (Sweden)

    Dandan Wang

    2017-04-01

    Full Text Available Ion milling, wedge cutting or polishing, and focused ion beam (FIB milling are widely-used techniques for the transmission electron microscope (TEM sample preparation. Especially, the FIB milling provides a site-specific analysis, deposition, and ablation of materials in the micrometer and nanometer scale. However, the cost of FIB tools has been always a significant concern. Since it is inevitable to use the FIB technique, the improvement of efficiency is a key point. Traditional TEM sample preparation with FIB was routinely implemented on a single sample each time. Aiming at cost efficiency, a new pre-thinning technique for batch sample preparation was developed in this paper. The present proposal combines the sample preparation techniques with multi-samples thinning, cross-section scanning electron microscopy (SEM, wedge cutting, FIB and other sample pre-thinning techniques. The new pre-thinning technique is to prepare an edge TEM sample on a grinding and polishing fixture with a slant surface. The thickness of the wedges sample can be measured to 1∼2 μm under optical microscope. Therefore, this fixture is superior to the traditional optical method of estimating the membrane thickness. Moreover, by utilizing a multi-sample holding fixture, more samples can be pre-thinned simultaneously, which significantly improved the productivity of TEM sample preparation.

  9. Detection Performance of Upgraded "Polished Panel" Optical Receiver Concept on the Deep-Space Network's 34 Meter Research Antenna

    Science.gov (United States)

    Vilnrotter, Victor A.

    2012-01-01

    The development and demonstration of a "polished panel" optical receiver concept on the 34 meter research antenna of the Deep Space Network (DSN) has been the subject of recent papers. This concept would enable simultaneous reception of optical and microwave signals by retaining the original shape of the main reflector for microwave reception, but with the aluminum panels polished to high reflectivity to enable focusing of optical signal energy as well. A test setup has been installed on the DSN's 34 meter research antenna at Deep Space Station 13 (DSS-13) of NASA's Goldstone Communications Complex in California, and preliminary experimental results have been obtained. This paper describes the results of our latest efforts to improve the point-spread function (PSF) generated by a custom polished panel, in an attempt to reduce the dimensions of the PSF, thus enabling more precise tracking and improved detection performance. The design of the new mechanical support structure and its operation are described, and the results quantified in terms of improvements in collected signal energy and optical communications performance, based on data obtained while tracking the planet Jupiter with the 34 meter research antenna at DSS-13.

  10. Polish credit institutions within the European Union: a cross-country survey

    Directory of Open Access Journals (Sweden)

    Mariusz Dybał

    2011-06-01

    Full Text Available The paper presents an analysis of the Polish financial system, with regard to both the number of financial institutions and their assets over the last two decades. Data on the structure of household assets are also presented. According to the study, banks are the most important institutions in the Polish financial system. Analyzed in order to compare Polish credit institutions with all other members of the European Union were total assets of credit institutions, assets of the 25 largest banks in the European Union, as well as in Central and East Europe, share of the five largest credit institutions in total assets (CR5, asset share of credit institutions with majority foreign equity ownership, number of credit institutions, number of local units (branches, number of residents per credit institution local unit, number of employees of credit institutions, assets of credit institutions per employee and GDP per capita in PPS. The data demonstrate that over the past decade Polish credit institutions have largely strengthened their position within the European Union.

  11. The Factor Structure of the Polish-Language Version of the Romantic Beliefs Scale

    Directory of Open Access Journals (Sweden)

    Katarzyna Adamczyk

    2014-07-01

    Full Text Available The aim of the present study was to investigate the factor structure and psychometric properties of the Polish adaptation of Romantic Beliefs Scale (RBS; Sprecher & Metts, 1989. In a sample of 414 Polish university students aged 19-25 (227 females and 187 males, the factor structure of the original English version was confirmed for the four subscales: Love Finds a Way, One and Only, Idealization, and Love at First Sight. The present study provides evidence that the 15-item version of the Polish adaptation of the (RBS possesses a factor structure and psychometric properties comparable to the English-language version of RBS. It was shown to be a reliable self-report measure for romantic beliefs within a sample of the Polish population. The development of a new Polish measure of romantic beliefs has provided further validation for the RBS, and provided evidence in support of the ideology of romanticism in various populations, and indicated the importance of differentiating between the different types of romantic beliefs.

  12. Laser polishing for topography management of accelerator cavity surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Liang [College of William and Mary, Williamsburg, VA (United States); Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Klopf, J. Mike [College of William and Mary, Williamsburg, VA (United States); Reece, Charles E. [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Kelley, Michael J. [College of William and Mary, Williamsburg, VA (United States); Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States)

    2015-07-20

    Improved energy efficiency and reduced cost are greatly desired for advanced particle accelerators. Progress toward both can be made by atomically-smoothing the interior surface of the niobium superconducting radiofrequency accelerator cavities at the machine's heart. Laser polishing offers a green alternative to the present aggressive chemical processes. We found parameters suitable for polishing niobium in all surface states expected for cavity production. As a result, careful measurement of the resulting surface chemistry revealed a modest thinning of the surface oxide layer, but no contamination.

  13. Surface roughness and morphology of dental nanocomposites polished by four different procedures evaluated by a multifractal approach

    Energy Technology Data Exchange (ETDEWEB)

    Ţălu, Ştefan, E-mail: stefan_ta@yahoo.com [Technical University of Cluj-Napoca, Faculty of Mechanical Engineering, Department of AET, Discipline of Descriptive Geometry and Engineering Graphics, 103-105 B-dul Muncii St., Cluj-Napoca 400641, Cluj (Romania); Stach, Sebastian, E-mail: sebastian.stach@us.edu.pl [University of Silesia, Faculty of Computer Science and Materials Science, Institute of Informatics, Department of Biomedical Computer Systems, Będzińska 39, 41-205 Sosnowiec (Poland); Lainović, Tijana, E-mail: tijana.lainovic@gmail.com [University of Novi Sad, Faculty of Medicine, School of Dentistry, Hajduk Veljkova 3, 21000 Novi Sad (Serbia); Vilotić, Marko, E-mail: markovil@uns.ac.rs [University of Novi Sad, Faculty of Technical Sciences, Department for Production Engineering, Trg Dositeja Obradovića 6, 21000 Novi Sad (Serbia); Blažić, Larisa, E-mail: larisa.blazic@gmail.com [University of Novi Sad, Faculty of Medicine, School of Dentistry, Clinic of Dentistry of Vojvodina, Department of Restorative Dentistry and Endodontics, Hajduk Veljkova 3, 21000 Novi Sad (Serbia); Alb, Sandu Florin, E-mail: albflorin@yahoo.com [“Iuliu Haţieganu” University of Medicine and Pharmacy, Faculty of Dentistry, Department of Periodontology, 8 Victor Babeş St., 400012 Cluj-Napoca (Romania); Kakaš, Damir, E-mail: kakasdam@uns.ac.rs [University of Novi Sad, Faculty of Technical Sciences, Department for Production Engineering, Trg Dositeja Obradovića 6, 21000 Novi Sad (Serbia)

    2015-03-01

    Graphical abstract: - Highlights: • Multifractals are good indicators of polished dental composites 3-D surface structure. • The nanofilled composite had superior 3-D surface properties than the nanohybrid one. • Composite polishing with diamond paste created improved 3-D multifractal structure. • Recommendation: polish the composite with diamond paste if using the one-step tool. • Multifractal analysis could become essential in designing new dental surfaces. - Abstract: The objective of this study was to determine the effect of different dental polishing methods on surface texture parameters of dental nanocomposites. The 3-D surface morphology was investigated by atomic force microscopy (AFM) and multifractal analysis. Two representative dental resin-based nanocomposites were investigated: a nanofilled and a nanohybrid composite. The samples were polished by two dental polishing protocols using multi-step and one-step system. Both protocols were then followed by diamond paste polishing. The 3-D surface roughness of samples was studied by AFM on square areas of topography on the 80 × 80 μm{sup 2} scanning area. The multifractal spectrum theory based on computational algorithms was applied for AFM data and multifractal spectra were calculated. The generalized dimension D{sub q} and the singularity spectrum f(α) provided quantitative values that characterize the local scale properties of dental nanocomposites polished by four different dental polishing protocols at nanometer scale. The results showed that the larger the spectrum width Δα (Δα = α{sub max} − α{sub min}) of the multifractal spectra f(α), the more non-uniform was the surface morphology. Also, the 3-D surface topography was described by statistical parameters, according to ISO 25178-2:2012. The 3-D surface of samples had a multifractal nature. Nanofilled composite had lower values of height parameters than nanohybrid composites, due to its composition. Multi-step polishing protocol

  14. Surface roughness and morphology of dental nanocomposites polished by four different procedures evaluated by a multifractal approach

    International Nuclear Information System (INIS)

    Ţălu, Ştefan; Stach, Sebastian; Lainović, Tijana; Vilotić, Marko; Blažić, Larisa; Alb, Sandu Florin; Kakaš, Damir

    2015-01-01

    Graphical abstract: - Highlights: • Multifractals are good indicators of polished dental composites 3-D surface structure. • The nanofilled composite had superior 3-D surface properties than the nanohybrid one. • Composite polishing with diamond paste created improved 3-D multifractal structure. • Recommendation: polish the composite with diamond paste if using the one-step tool. • Multifractal analysis could become essential in designing new dental surfaces. - Abstract: The objective of this study was to determine the effect of different dental polishing methods on surface texture parameters of dental nanocomposites. The 3-D surface morphology was investigated by atomic force microscopy (AFM) and multifractal analysis. Two representative dental resin-based nanocomposites were investigated: a nanofilled and a nanohybrid composite. The samples were polished by two dental polishing protocols using multi-step and one-step system. Both protocols were then followed by diamond paste polishing. The 3-D surface roughness of samples was studied by AFM on square areas of topography on the 80 × 80 μm 2 scanning area. The multifractal spectrum theory based on computational algorithms was applied for AFM data and multifractal spectra were calculated. The generalized dimension D q and the singularity spectrum f(α) provided quantitative values that characterize the local scale properties of dental nanocomposites polished by four different dental polishing protocols at nanometer scale. The results showed that the larger the spectrum width Δα (Δα = α max − α min ) of the multifractal spectra f(α), the more non-uniform was the surface morphology. Also, the 3-D surface topography was described by statistical parameters, according to ISO 25178-2:2012. The 3-D surface of samples had a multifractal nature. Nanofilled composite had lower values of height parameters than nanohybrid composites, due to its composition. Multi-step polishing protocol created a better

  15. Original article Validation of the Polish version of the Collective Self-Esteem Scale

    Directory of Open Access Journals (Sweden)

    Róża Bazińska

    2015-07-01

    Full Text Available Background The aim of this article is to present research on the validity and reliability of the Collective Self-Esteem Scale (CSES for the Polish population. The CSES is a measure of individual differences in collective self-esteem, understood as the global evaluation of one’s own social (collective identity. Participants and procedure Participants from two samples (n = 466 and n = 1,009 completed a paper-pencil set of questionnaires which contained the CSES and the Rosenberg Self-Esteem Scale (RSES, and subsets of participants completed scales related to a sense of belonging, well-being and psychological distress (anxiety and depression. Results Like the original version, the Polish version of the CSES comprises 16 items which form the four dimensions of collective self-esteem: Public collective self-esteem, Private collective self-esteem, Membership esteem and Importance of Identity. The results confirm the four-factor structure of the Polish version of the CSES, support the whole Polish version of the CSES as well as its subscales, which represent satisfactory reliability and stability, and provide initial evidence of construct validity. Conclusions As the results of the study indicate, the Polish version of the CSES is a valid and reliable self-report measure for assessing the global self-esteem derived from membership of a group and has proved to be useful in the Polish context.

  16. Development of on the machine process monitoring and control strategy in Robot Assisted Polishing

    DEFF Research Database (Denmark)

    Pilny, Lukas; Bissacco, Giuliano

    2015-01-01

    Robot Assisted Polishing (RAP) can be used to polish rotational symmetric and free form components achieving surface roughness down to Sa 10 nm. With the aim to enable unmanned robust and cost efficient application of RAP, this paper presents the development of a monitoring and control strategy....... The multisensory approach was experimentally validated in polishing with bonded abrasives demonstrating its suitability for process control in RAP....

  17. The prevalence of burnout syndrome in Polish anaesthesiologists.

    Science.gov (United States)

    Misiołek, Aleksandra; Gorczyca, Piotr; Misiołek, Hanna; Gierlotka, Zbigniew

    2014-01-01

    Burnout syndrome is a psychological response to chronic work-related stress characterized by low enthusiasm towards the job, high psychological exhaustion, indolence and guilt. Being a medical doctor, both in Poland and in other countries, is one of the most stressful occupations and anaesthesiology is considered one of the most stressful specializations, which justify carrying out of the study on Polish anaesthesiologists. The aim of the study was to determine the prevalence of burnout syndrome in Polish anaesthesiologists Non-randomized cross-sectional study was carried out and data were gathered through a self-administrated questionnaire. The sample consisted of 373 Polish anaesthesiologists, 57.6% were women and 42.4% were men. A 66% response rate was achieved. The Burnout Syndrome was measured by the Spanish Burnout Inventory. The prevalence burnout risk was almost 70%. The percentage of participants who indicated very high levels of burnout was 18%; 5.9% of whom fell into profile 2 considered to be clinical. The instrument applied was reliable with Cronbach's alphas exceeding 0.70. The sample is characterized by high burnout risk with 5.9% of clinical cases. Participation in prevention programs is recommended.

  18. Mechanical properties of nanocrystalline palladium prepared by magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Castrup, Anna; Hahn, Horst [Forschungszentrum Karlsruhe (Germany); Technical University of Darmstadt (Germany); Scherer, Torsten; Ivanisenko, Yulia; Choi, In-Suk; Kraft, Oliver [Forschungszentrum Karlsruhe (Germany)

    2009-07-01

    Nanocrystalline metals and alloys with grain sizes well below 100 nm often demonstrate unique deformation behaviour and therefore attract a great interest in material science. The understanding of deformation mechanisms operating in nanocrystalline materials is important to predict their mechanical properties. In the present study Pd films of 1{mu}m thickness were prepared using UHV rf magnetron sputtering on dog bone shaped Kapton substrates and on Si/SiO2 wafers. The films were sputtered using multilayer technology with an individual layer thickness of 10 nm. This resulted in grain sizes of about 20 nm. Initial microstructure and texture were characterized using conventional XRD measurements and transmission electron microscopy (TEM) in both cross section- and plane view. The mechanical properties were investigated using tensile testing and nanoindentation at several strain rates. An increased hardness and strength as compared to coarse grained Pd was observed, as well as high strain rate sensitivity. The microstructure in the gauge section after tensile testing was again analyzed using TEM in order to reveal signatures of deformation mechanisms like dislocation motion or twinning.

  19. Barriers and Limitations of Mentoring in Polish Organizations

    Directory of Open Access Journals (Sweden)

    Joanna Mesjasz

    2013-09-01

    Full Text Available In Polish organizations one can recognize a lot of barriers in the mentoring implementation. According to the author, at the root of these barriers are hierarchies of values: social, national, organizational, and hierarchies of values represented by individual employees. The purpose of this article is to trace the sources of constraints in the mentoring implementation process. The analysis is carried out on several levels: from the broadest social perspective, through the national to the perspective of the organization. In the article, there are also presented actions that should be taken by Polish organizations to overcome barriers and constraints in the mentoring implementation process.

  20. Process optimization for ultrasonic vibration assisted polishing of micro-structured surfaces on super hard material

    Science.gov (United States)

    Sun, Zhiyuan; Guo, Bing; Rao, Zhimin; Zhao, Qingliang

    2014-08-01

    In consideration of the excellent property of SiC, the ground micro-structured surface quality is hard to meet the requirement - consequently the ultrasonic vibration assisted polishing (UVAP) of micro-structures of molds is proposed in this paper. Through the orthogonal experiment, the parameters of UVAP of micro-structures were optimized. The experimental results show that, abrasive polishing process, the effect of the workpiece feed rate on the surface roughness (Ra), groove tip radius (R) and material removal rate (MRR) of micro-structures is significant. While, the UVAP, the most significant effect factor for Ra, R and MRR is the ultrasonic amplitude of the ultrasonic vibration. In addition, within the scope of the polishing process parameters selected by preliminary experiments, ultrasonic amplitude of 2.5μm, polishing force of 0.5N, workpiece feed rate of 5 mm·min-1, polishing wheel rotational speed of 50rpm, polishing time of 35min, abrasive size of 100nm and the polishing liquid concentration of 15% is the best technology of UVAP of micro-structures. Under the optimal parameters, the ground traces on the micro-structured surface were removed efficiently and the integrity of the edges of the micro-structure after grinding was maintained efficiently.

  1. The effect of different polishing systems on surface roughness and gloss of various resin composites.

    Science.gov (United States)

    Da Costa, Juliana; Ferracane, Jack; Paravina, Rade D; Mazur, Rui Fernando; Roeder, Leslie

    2007-01-01

    The purpose of this in vitro study was to evaluate the surface finish and gloss of five direct resin composites polished with six polishing systems. One hundred and fifty disk-shaped composite specimens (D=10.0 mm, 2-mm-thick, N=30 per material) were made. One side of each specimen was finished with a 16-fluted carbide finishing bur and then polished. Five specimens of each resin composite were randomly assigned to one of the six polishing systems. The surface roughness and gloss were measured with a surface profilometer and a glossmeter. The results were analyzed by two-way analysis of variance and Tukey's t-test (pgloss values between the composites and the polishing systems (p gloss value was recorded for Supreme + Pogo; the lowest was recorded for Z100 + Jiffy. Pogo showed the highest gloss values for all composites. The nanofill (Supreme) and minifill (Esthet-X) composites presented a surface roughness comparable to a microfill (Durafill), independent of the polishing system used, and a gloss comparable to a microfill, when polished with a one-step system (Pogo). As compared with the multiple-step systems, the smoothest surfaces and the highest gloss values were achieved using the one-step system (Pogo) for all the evaluated composites.

  2. Surface roughness of novel resin composites polished with one-step systems.

    Science.gov (United States)

    Ergücü, Z; Türkün, L S

    2007-01-01

    This study: 1) analyzed the surface roughness of five novel resin composites that contain nanoparticles after polishing with three different one-step systems and 2) evaluated the effectiveness of these polishers and their possible surface damage using scanning electron microscope (SEM) analysis. The resin composites evaluated in this study include CeramX, Filtek Supreme XT, Grandio, Premise and Tetric EvoCeram. A total of 100 discs (20/resin composites, 10 x 2 mm) were fabricated. Five specimens/resin composites cured under Mylar strips served as the control. The samples were polished for 30 seconds with PoGo, OptraPol and One Gloss discs at 15,000 rpm using a slow speed handpiece. The surfaces were tested for roughness (Ra) with a surface roughness tester and examined with SEM. One-way ANOVA was used for statistical analysis (p = 0.05). For all the composites tested, differences between the polishing systems were found to be significant (p One Gloss applications. For Grandio, Mylar and PoGo created equally smooth surfaces, while OptraPol and One Gloss produced equally rougher surfaces. Tetric EvoCeram exhibited the roughest surface with OptraPol, while no significant differences were found between Premise and CeramX. According to SEM images, OptraPol and One Gloss scratched and plucked the particles away from the surface, while PoGo created a uniform finish, although the roughness values were not the same for each composite. Effectiveness of the polishers seems to be material dependent.

  3. Numerical Simulation Analysis of Five-Step Variable-Diameter Pipe with Solid-Liquid Two-Phase Abrasive Flow Polishing

    Science.gov (United States)

    Li, Junye; Zhang, Hengfu; Wu, Guiling; Hu, Jinglei; Liu, Yang; Sun, Zhihui

    2018-01-01

    In many areas of precision machining abrasive flow polishing technology has an important role. In order to study the influence of abrasive flow on the polishing effect of variable diameter parts, the fifth step variable diameter tube was taken as the research object to analyze the dynamic pressure and turbulent kinetic energy distribution of inlet velocity on the fifth-order variable diameter tube influences. Through comparative analysis, the abrasive flow polished variable diameter pipe parts have very effective and significant polishing effect and the higher the inlet speed, the more significant the polishing effect.

  4. Physical and mechanical properties of microcrystalline cellulose prepared from local agricultural residues

    International Nuclear Information System (INIS)

    El-Sakhawy, M.M.; Hassan, M.L.

    2005-01-01

    Microcrystalline cellulose (MCC) was prepared from local agricultural residues, namely, bagasse, rice straw, and cotton stalks bleached pulps. Hydrolysis of bleached pulps was carried out using hydrochloric or sulfuric acid to study the effect of the acid used on the properties of produced microcrystalline cellulose such as degree of polymerization (DP), crystallinity index (CrI), crystallite size, bulk density, particle size, and thermal stability. The mechanical properties of tablets made from microcrystalline cellulose of the different agricultural residues were tested and compared to commercial grade MCC. The use of rice straw pulp in different proportions as a source of silica to prepare silicified microcrystalline cellulose (SMCC) was carried out. The effect of the percent of silica on the mechanical properties of tablets before and after wet granulation was tested

  5. Physical and mechanical properties of microcrystalline cellulose prepared from local agricultural residues

    Energy Technology Data Exchange (ETDEWEB)

    El-Sakhawy, M M; Hassan, M L [Cellulose and Paper Dept., National Research Center, Dokki, Cairo (Egypt)

    2005-07-01

    Microcrystalline cellulose (MCC) was prepared from local agricultural residues, namely, bagasse, rice straw, and cotton stalks bleached pulps. Hydrolysis of bleached pulps was carried out using hydrochloric or sulfuric acid to study the effect of the acid used on the properties of produced microcrystalline cellulose such as degree of polymerization (DP), crystallinity index (CrI), crystallite size, bulk density, particle size, and thermal stability. The mechanical properties of tablets made from microcrystalline cellulose of the different agricultural residues were tested and compared to commercial grade MCC. The use of rice straw pulp in different proportions as a source of silica to prepare silicified microcrystalline cellulose (SMCC) was carried out. The effect of the percent of silica on the mechanical properties of tablets before and after wet granulation was tested.

  6. Microstructure of pulsed-laser deposited PZT on polished and annealed MGO substrates

    NARCIS (Netherlands)

    King, S.L.; Coccia, L.G.; Gardeniers, Johannes G.E.; Boyd, I.W.

    1996-01-01

    Thin films of Lead-Zirconate-Titanate (PZT) have been grown by pulsed-laser-deposition (PLD) onto polished MgO substrates both with and without pre-annealing. The surface morphology of polished MgO substrates, which are widely used for deposition, is examined by AFM. Commercially available,

  7. Development of a multisensory arm for process monitoring in Robot Assisted Polishing

    DEFF Research Database (Denmark)

    Pilny, Lukas; Dalla Costa, Giuseppe; Bissacco, Giuliano

    2015-01-01

    A multisensory polishing arm with integrated three component force sensor, a miniature acoustic emission (AE) sensor and an accelerometer was developed for process monitoring in Robot Assisted Polishing (RAP) process. The arm design was optimized for integration of a force and an AE sensor. The f...

  8. Polish normalization of the Body Esteem Scale

    Directory of Open Access Journals (Sweden)

    Małgorzata Lipowska

    2014-02-01

    Full Text Available Background Physical attractiveness plays an important part in one’s social functioning. The interest in one’s own appearance have been documented as widespread among the female population, but over the recent years it is more and more often emphasized that concentrating on body appearance concerns men as well. Franzoi and Shields (1984 created the Body Esteem Scale which allows to qualify the subject’s attitude towards his or her own body. The aim of the study was to create a Polish version of the Body Esteem Scale along with the norms for age and sex clusters. Participants and procedure The normalization sample consisted of 4298 participants: 1865 women aged 16 to 80 (M = 29.92; SD = 12.85 and 2433 men aged 16 to 78 (M = 28.74; SD = 11.50. Education levels among the participants were also controlled for. In order to create a Polish version of the Body Esteem Scale, translation was adopted as the adaptation strategy. Like the original one, the Polish scale comprises 35 items grouped into three gender specific subscales. The subscales for women include Sexual Attractiveness, Weight Concern, and Physical Condition, whereas the body esteem of is examined with regards to Physical Attractiveness, Upper Body Strength, and Physical Condition. Results Reliability of subscales was high both for females (Cronbach’s alpha from 0.80 to 0.89 and males (Cronbach’s alpha from 0.85 to 0.88. The given coefficients of reliability cover the original division into subscales adopted by the authors of BES. Conclusions We confirmed high reliability of the Polish version of the Body Esteem Scale, thus we recommend it as a diagnostic tool. Created norms allowed to refer results obtained in the course of research carried out on people with various disorders (e.g. eating disorders or body dysmorphic disorder with population data for corresponding age brackets.

  9. [Józef Heller-one of organizers of Polish biochemistry in 1942-1973].

    Science.gov (United States)

    Zarebska, Zofia

    2011-01-01

    The article commemorates the activity of Józef Heller starting in 1921 with Jakub Parnas's group in Lvov which investigated the phosphorolysis of glycogen. The unknown events of His biography were disclosed, like military service in the Piłsudski's Legions at the rebirth of the Polish State and, subsequently, during the Nazi occupation of Poland--participation in the clandestine teaching of medical students. In the post-war times Józef Heller undertook teaching of medical students in Wrocław and next in Warsaw. In 1954 He begun to organize the Institute of Biochemistry and Biophysics of the Polish Academy of Sciences--it now continues its activity. Professor Heller initiated the publication in Poland of three major biochemical journals, including Postepy Biochemii (1954). Thanks to His leadership the first Polish Medical Dictionary was published (1981). The article summarizes the pursuit of Józef Heller in various branches of academic life, which were and still are appreciated by subsequent generations of Polish biochemists.

  10. Surface roughness of zirconia for full-contour crowns after clinically simulated grinding and polishing.

    Science.gov (United States)

    Hmaidouch, Rim; Müller, Wolf-Dieter; Lauer, Hans-Christoph; Weigl, Paul

    2014-12-01

    The aim of this study was to evaluate the effect of controlled intraoral grinding and polishing on the roughness of full-contour zirconia compared to classical veneered zirconia. Thirty bar-shaped zirconia specimens were fabricated and divided into two groups (n=15). Fifteen specimens (group 1) were glazed and 15 specimens (group 2) were veneered with feldspathic ceramic and then glazed. Prior to grinding, maximum roughness depth (Rmax) values were measured using a profilometer, 5 times per specimen. Simulated clinical grinding and polishing were performed on the specimens under water coolant for 15 s and 2 N pressure. For grinding, NTI diamonds burs with grain sizes of 20 µm, 10 µm, and 7.5 µm were used sequentially. The ground surfaces were polished using NTI kits with coarse, medium and fine polishers. After each step, Rmax values were determined. Differences between groups were examined using one-way analysis of variance (ANOVA). The roughness of group 1 was significantly lower than that of group 2. The roughness increased significantly after coarse grinding in both groups. The results after glazing were similar to those obtained after fine grinding for non-veneered zirconia. However, fine-ground veneered zirconia had significantly higher roughness than venerred, glazed zirconia. No significant difference was found between fine-polished and glazed zirconia, but after the fine polishing of veneered zirconia, the roughness was significantly higher than after glazing. It can be concluded that for full-contour zirconia, fewer defects and lower roughness values resulted after grinding and polishing compared to veneered zirconia. After polishing zirconia, lower roughness values were achieved compared to glazing; more interesting was that the grinding of glazed zirconia using the NTI three-step system could deliver smooth surfaces comparable to untreated glazed zirconia surfaces.

  11. A new view on dam lines in Polish Arabian horses based on mtDNA analysis

    Directory of Open Access Journals (Sweden)

    Sell Jerzy

    2007-09-01

    Full Text Available Abstract Polish Arabian horses are one of the oldest and the most important Arab populations in the world. The Polish Arabian Stud Book and the Genealogical Charts by Skorkowski are the main sources of information on the ancestors of Polish Arabs. Both publications were viewed as credible sources of information until the 1990s when the data regarding one of the dam lines was questioned. The aim of the current study was to check the accuracy of the pedigree data of Polish dam lines using mtDNA analysis. The analyses of a 458 bp mtDNA D-loop fragment from representatives of 15 Polish Arabian dam lines revealed 14 distinct haplotypes. The results were inconsistent with pedigree data in the case of two lines. A detailed analysis of the historical sources was performed to explain these discrepancies. Our study revealed that representatives of different lines shared the same haplotypes. We also noted a genetic identity between some lines founded by Polish mares of unknown origin and lines established by desert-bred mares.

  12. Great Britain and German-Polish Relations, 1929–1931

    Directory of Open Access Journals (Sweden)

    Zotova Ekaterina

    2014-12-01

    Full Text Available The article deals with the study of British-German relations during the period of exacerbation of territorial disputes between Germany and Poland in 1929-1931. Germany was making plans for revising the eastern borders. It sought to enlist the support of the new Labour government. Britain didn’t only approve of Germany's intention to carry out revision of the Treaty of Versailles (reparation issue, the evacuation of the Rhineland and the change in the German-Polish border, but also supported the strengthening of the political and economic situation in Germany as a whole. Assistance to Germany was advantageous for Britain. Firstly, the British support helped to improve the capacity of the purchasing power of the German market as one of the traditional markets of the English sale. Secondly, the strengthening of the position of the German cabinet meant failure of the French policy in Europe at this stage. The defeat of France in a dispute on the issue of early evacuation of the Rhineland would seriously devalue the foreign policy initiatives of Paris. Thirdly, the British government hoped that Germany will go into orbit of British political influence. The identity of the positions of the German and the British cabinets were considered as the components of British policy success not only in relations with France and Italy, but also with Czechoslovakia and Poland. Since the formation of the MacDonald’s cabinet, anti-Polish moods increased in the British course for the settlement of German-Polish relations. However, the threat of an attack on Poland by Germany was regarded by the government of Britain as unacceptable way of resolving the German-Polish conflict. Britain tried to follow the traditional foreign policy concept of “balance of power”, but the inefficiency of British policy “mediation” in European affairs was clearly shown in times of growing financial and economic crisis. The issue of the German-Polish border remained unsolved.

  13. Polish economic clusters and their efforts to protect the environment – selected examples

    Directory of Open Access Journals (Sweden)

    Dyrda-Muskus Joanna

    2014-01-01

    Full Text Available This paper presents the benefits they can obtain business which aim to protect the environment. The environment protection has found its place and affects the process of systemic change of the Polish economy. This article assumes that building a competitive economy and enterprise development based on the principle of sustainable development requires the development of mechanisms for mutual benefits. These will be the economic mechanisms, technical and technological, and social. All these mechanisms are concentrated in clusters. Pursue sustainable development policies, an emphasis on environmental protection will be the general element for them a competitive advantage. Sustainable development will in this case be both the agent and the goal of economic and entrepreneurship development. Basing on the assumption that economic development is possible through the achievement of competitive advantage, sustainable development should be treated as its source.

  14. E-TOURISM SERVICES IN POLISH TOURISTS' OPINIONS

    Directory of Open Access Journals (Sweden)

    Joanna Papińska-Kacperek

    2013-07-01

    Full Text Available E-tourism is most often related only with web pages of travel agencies or aggregators offering many services, where it is possible to make transactions electronically. Whereas many applications and devices are applied in tourism, like the audio guides and mobile guides (sometimes with augmented reality functions, electronic cards in museums, 2D codes systems, web pages for individual tourists, geographic information systems (e.g. Google Street View, social network sites recommendation, and profiles of cities on social networks sites like Facebook. Polish tourists' opinions about mentioned innovative tools will be presented as the report from the survey conducted among students and graduates from three Polish universities. The objectives of the study are: investigating the popularity of e-tourist services in Poland and verifying whether municipal authorities promote e-tourism initiatives.

  15. Transformation mechanism of nutrient elements in the process of biochar preparation for returning biochar to soil

    Institute of Scientific and Technical Information of China (English)

    Shuangshuang Tian; Zhongxin Tan; Alfreda Kasiulienė; Ping Ai

    2017-01-01

    Returning biochar to soil is a heavily researched topic because biochar functions well for soil improvement. There is a significant loss of nutrients, which occurs during biochar preparation before biochar is returned to soil, thereby seriously undermining biochar's efficacy. Therefore, the transformation mechanisms of biochar pH, mass, nutrients and metals during pyrolysis under different atmospheres and temperatures were studied such that the best method for biochar preparation could be developed. Several conclusions can be reached: (1) a CO2 atmosphere is better than a N2 atmosphere for biochar preparation, although preparation in a CO2 atmosphere is not a common practice for biochar producers; (2) 350 ℃ is the best temperature for biochar preparation because the amount of nutrient loss is notably low based on the premise of straw transferred into biochar; and (3) transforming mechanisms of pH, N, P and K are also involved in the biochar preparation process.

  16. The Preparation for the Cooperativisation of the Polish Rural Youth Not Included in School Teaching during the Interwar Period (1918–1939 [Przysposobienie spółdzielcze wiejskiej młodzieży pozaszkolnej w Polsce w okresie międzywojennym (1918–1939

    Directory of Open Access Journals (Sweden)

    Elżbieta MAGIERA

    2017-11-01

    Full Text Available The output of the XXth century Polish cooperative movement can be considered in ideological, organisational, economic, social and educational terms. The cooperative movement had a significant effect on the educational and propagating activities for both adults and school children. The cooperative movement criticized egoism and the pursuit of profit, taught cooperative forms of work, mutual help, and solidarity as well as providing the basic necessities for the poor. It supported the family and the education of children, young people and adults. It propagated the development of student organizations, the cooperative education and upbringing through taking cooperation into consideration in curricula. Cooperative education was created at the Polish cooperative movement’s initiative. It raised the level of the farmer’s knowledge and skills. It was also conducive to their activation. It's educational and upbringing activities disseminated the idea of the cooperative. They also spread the theoretical and practical knowledge which was necessary to found and run cooperative institutions. The preparation for cooperativisaton was organised among young people and adults in both urban and rural areas. It was aimed at raising awareness and educating the youth not included in the school teaching in accordance with the idea of cooperation, establishing contact and cooperation with cooperatives as well as shaping the characters of the rural youth. Youth organisations played an important role in the teaching of cooperation for young people not included in the school teaching. They propagated social assistance, self-education, preparation for cooperation and prepared the young generation for participating in the cooperative movement of adults. Moreover, the preparation for cooperation among the rural youth was included in the agricultural education which was a common method of spreading amongst young people the necessary skills for agriculture. It also

  17. Sample Preparation for Electron Probe Microanalysis-Pushing the Limits.

    Science.gov (United States)

    Geller, Joseph D; Engle, Paul D

    2002-01-01

    There are two fundamental considerations in preparing samples for electron probe microanalysis (EPMA). The first one may seem obvious, but we often find it is overlooked. That is, the sample analyzed should be representative of the population from which it comes. The second is a direct result of the assumptions in the calculations used to convert x-ray intensity ratios, between the sample and standard, to concentrations. Samples originate from a wide range of sources. During their journey to being excited under the electron beam for the production of x rays there are many possibilities for sample alteration. Handling can contaminate samples by adding extraneous matter. In preparation, the various abrasives used in sizing the sample by sawing, grinding and polishing can embed themselves. The most accurate composition of a contaminated sample is, at best, not representative of the original sample; it is misleading. Our laboratory performs EPMA analysis on customer submitted samples and prepares over 250 different calibration standards including pure elements, compounds, alloys, glasses and minerals. This large variety of samples does not lend itself to mass production techniques, including automatic polishing. Our manual preparation techniques are designed individually for each sample. The use of automated preparation equipment does not lend itself to this environment, and is not included in this manuscript. The final step in quantitative electron probe microanalysis is the conversion of x-ray intensities ratios, known as the "k-ratios," to composition (in mass fraction or atomic percent) and/or film thickness. Of the many assumptions made in the ZAF (where these letters stand for atomic number, absorption and fluorescence) corrections the localized geometry between the sample and electron beam, or takeoff angle, must be accurately known. Small angular errors can lead to significant errors in the final results. The sample preparation technique then becomes very

  18. Strategy of the Polish policy in the final phase of the Second world war

    Directory of Open Access Journals (Sweden)

    L. P. Shvab

    2014-09-01

    Full Text Available The problems of strategy formation of the Polish government, which was in emigration, during the Second World War in the period of the eastern front approaching to the Polish borders, are found out in the article. The author confirms that the success of the Red Army made London government to rise a question about the Polish eastern border and legitimacy of London government on the liberated territories. Both questions did not have the solution in the way of traditional Polish policy. Joseph Stalin expected concession in the issue of the eastern border instead of loyalty to the London government. But Polish government did not accept accomplished facts. The ambassadors Stanislav Kot, later Tadeush Romer negotiated and insisted on returning of the western Ukrainian and Byelorussian lands. They proposed military cooperation with the Polish Home Army, which supposed to be strong enough for diversionary acts. After the battle of Stalingrad victory and that resonance, which it had made in the world, Stalin refused from such cooperation, he thought that Poland was too weak partner. Stalin continued the escalation of the relations, he consciously stopped all diplomatic relations, flatly refused to continue discussion about borders and changed the way of discussion about the legitimacy of the authentic authority in Poland.

  19. Effect of prophylactic polishing protocols on the surface roughness of esthetic restorative materials.

    Science.gov (United States)

    Neme, A L; Frazier, K B; Roeder, L B; Debner, T L

    2002-01-01

    Many polishing protocols have been evaluated in vitro for their effect on the surface roughness of restorative materials. These results have been useful in establishing protocols for in vivo application. However, limited research has focused on the subsequent care and maintenance of esthetic restorations following their placement. This investigation evaluated the effect of five polishing protocols that could be implemented at recall on the surface roughness of five direct esthetic restorative materials. Specimens (n=25) measuring 8 mm diameter x 3 mm thick were fabricated in an acrylic mold using five light-cured resin-based materials (hybrid composite, microfilled composite, packable composite, compomer and resin-modified glass ionomer). After photopolymerization, all specimens were polished with Sof-Lex Disks to produce an initial (baseline) surface finish. All specimens were then polished with one of five prophylactic protocols (Butler medium paste, Butler coarse paste, OneGloss, SuperBuff or OneGloss & SuperBuff). The average surface roughness of each treated specimen was determined from three measurements with a profilometer (Surface 1). Next, all specimens were brushed 60,000 times at 1.5 Hz using a brush-head force of 2 N on a Manly V-8 cross-brushing machine in a 50:50 (w/w) slurry of toothpaste and water. The surface roughness of each specimen was measured after brushing (Surface 2) followed by re-polishing with one of five protocols, then final surface roughness values were determined (Surface 3). The data were analyzed using repeated measures ANOVA. Significant differences (p=0.05) in surface roughness were observed among restorative materials and polishing protocols. The microfilled and hybrid resin composite yielded significantly rougher surfaces than the other three materials following tooth brushing. Prophylactic polishing protocols can be used to restore a smooth surface on resin-based esthetic restorative materials following simulated tooth

  20. Preparation of sago starch-based biocomposite reinforced microfibrillated cellulose of bamboo assisted by mechanical treatment

    Science.gov (United States)

    Silviana, S.; Hadiyanto, H.

    2017-06-01

    The utilization of green composites by using natural fibres is developed due to their availability, ecological benefits, and good properties in mechanical and thermal. One of the potential sources is bamboo that has relative high cellulose content. This paper was focused on the preparation of sago starch-based reinforced microfribrillated cellulose of bamboo that was assisted by mechanical treatment. Microfibrillated cellulose of bamboo was prepared by isolation of cellulose with chemical treatment. Preparation of bamboo microfibrillated cellulose was conducted by homogenizers for dispersing bamboo cellulose, i.e. high pressure homogenizer and ultrasonic homogenizer. Experiments were elaborated on several variables such as the concentration of bamboo microfibrillated cellulose dispersed in water (1-3 %w) and the volume of microfibrillated cellulose (37.5-75%v). Four %w of sago starch solution was mixed with bamboo microfibrillated cellulose and glycerol with plasticizer and citric acid as cross linker. This paper provided the analysis of tensile strength as well as SEM for mechanical and morphology properties of the biocomposite. The results showed that the preparation of sago starch-based biocomposite reinforced bamboo microfibrillated cellulose by using ultrasonic homogenizer yielded the highest tensile strength and well dispersed in the biocomposite.

  1. Electroluminescence Analysis by Tilt Polish Technique of InP-Based Semiconductor Lasers

    Science.gov (United States)

    Ichikawa, Hiroyuki; Sasaki, Kouichi; Hamada, Kotaro; Yamaguchi, Akira

    2010-03-01

    We developed an effective electroluminescence (EL) analysis method to specify the degraded region of InP-based semiconductor lasers. The EL analysis method is one of the most important methods for failure analysis. However, EL observation was difficult because opaque electrodes surround an active layer. A portion of each electrode had to be left intact for wiring to inject the current. Thus, we developed a partial polish technique for the bottom electrode. Tilt polish equipment with a rotating table was introduced; a flat polished surface and a sufficiently wide remaining portion of the bottom electrode were obtained. As a result, clear EL from the back surface of the laser was observed.

  2. Sword of Christ. Christian inspirations of Polish socialism before the January Uprising

    Directory of Open Access Journals (Sweden)

    Piotr Kuligowski

    2012-06-01

    Full Text Available The article presents the history of the Christian fraction of Polish socialism against the background of the era, from the very beginning until its end after the January Uprising. On the basis of the texts from the era the understanding of socialism, the principles of the program of Clusters of the Polish People and the anatomy of Fr. Piotr Ściegienny’s conspiracy have been reconstructed. The text reproduces the utopian ideas of social reconstruction by Zenon Świętosławski and Ludwik Królikowski and based on these the text also shows the place of Christian socialism in the Polish socialist thought.

  3. Relationship Between Competitive Strategies and the Success Perception of Polish Born Globals

    Directory of Open Access Journals (Sweden)

    Baranowska-Prokop Ewa

    2014-09-01

    Full Text Available The key objective of this paper is to describe and evaluate the competitive strategies applied by Polish born global enterprises. To reveal these strategies, two competitive models developed by M.E. Porter are applied to an original data set obtained from 256 small and medium Polish enterprises through a survey employing the CATI technique. The outcomes of these strategies, as perceived by the companies applying them, are also evaluated against two hypotheses. We conclude that Polish firms apply both basic strategies of competition, i.e. cost leadership strategies and differentiation strategies and that a substantial majority of companies perceive themselves to have succeeded on the market.

  4. Preparation of naphtalene monocrystals for scintillometers; Preparacion de monocristales de naftaleno para contadores de centelleo

    Energy Technology Data Exchange (ETDEWEB)

    Gaeta, R

    1959-07-01

    A method to prepare naphthalene crystals for scintillometers is described. The different methods of preparation and the reasons to choose the Bridgman method are analysed. The thermal curves of the growing furnaces, blister shape, use of curved capillaries and the most convenient growing velocities are studied in detail. Methods of preparation of high purity naphthalene by means of chromatography and zone melting are described. Finally the operations of cutting and polishing of crystal and their most significant physics properties are studied. (Author) 28 refs.

  5. Polish students’ opinion about medicinal cannabis

    Directory of Open Access Journals (Sweden)

    Rafał Mazur

    2017-09-01

    Conclusions: Polish students seem to be ready for introduction into medical marijuana and are aware of its therapeutic potential. The majority is in favor of sales in a controlled manner. The task of researchers should be accurate examination of the effectiveness of specific cannabinoids in the treatment of diseases.

  6. Influence of Duroc breed inclusion into Polish Landrace maternal line on pork meat quality traits

    Directory of Open Access Journals (Sweden)

    DOMINIKA GUZEK

    2016-06-01

    Full Text Available Crossbreeding with Duroc breed allows to improve meat quality, but no data is available regarding specific influence of Duroc breed on characteristics of meat in the case of crossbreeding with various breeds. The aim of the present research was to evaluate the effect of crossbreeding Polish Landrace dames with Duroc sires on quality features of meat in reference to Polish Landrace breed. The objects of the study were Longissimus dorsi lumborum pork muscles obtained from Polish Landrace breed and Polish Landrace x Duroc crossbreed animals. Sarcomere length measurements were conducted using microscopic method and basic chemical composition measurement was analyzed using spectrophotometric scanning. Texture analysis of meat samples, performed after thermal treatment was expressed by Warner-Bratzler shear force and color analysis was obtained using CIE L*a*b* color system. No differences in sarcomere length, shear force as well as components of color values between pork meat originated from Polish Landrace breed and Polish Landrace x Duroc crossbreed were observed. Analysis of basic chemical composition revealed higher fat and lower ash contents in the case of meat of Polish Landrace breed animals. It was concluded that the actual impact of breed on meat characteristics is possibly altered by other factors. It may be suggested that influence of basic chemical composition on color of meat is breed-related.

  7. Influence of Duroc breed inclusion into Polish Landrace maternal line on pork meat quality traits.

    Science.gov (United States)

    Guzek, Dominika; Głąbska, Dominika; Głąbski, Krzysztof; Wierzbicka, Agnieszka

    2016-05-31

    Crossbreeding with Duroc breed allows to improve meat quality, but no data is available regarding specific influence of Duroc breed on characteristics of meat in the case of crossbreeding with various breeds. The aim of the present research was to evaluate the effect of crossbreeding Polish Landrace dames with Duroc sires on quality features of meat in reference to Polish Landrace breed. The objects of the study were Longissimus dorsi lumborum pork muscles obtained from Polish Landrace breed and Polish Landrace x Duroc crossbreed animals. Sarcomere length measurements were conducted using microscopic method and basic chemical composition measurement was analyzed using spectrophotometric scanning. Texture analysis of meat samples, performed after thermal treatment was expressed by Warner-Bratzler shear force and color analysis was obtained using CIE L*a*b* color system. No differences in sarcomere length, shear force as well as components of color values between pork meat originated from Polish Landrace breed and Polish Landrace x Duroc crossbreed were observed. Analysis of basic chemical composition revealed higher fat and lower ash contents in the case of meat of Polish Landrace breed animals. It was concluded that the actual impact of breed on meat characteristics is possibly altered by other factors. It may be suggested that influence of basic chemical composition on color of meat is breed-related.

  8. Research on Laser Micro Polishing of SLS Technology Sintered Iron-Based Powder Surface

    Directory of Open Access Journals (Sweden)

    Gerda Vaitkūnaitė

    2015-03-01

    Full Text Available The article analyzes laser micro polishing of 1.2083 steel samples produced applying selective laser sintering (SLS method. The study has evaluated the distribution of the shape, size and temperature of the laser beam treated area in the surface layer of sintered and laser polished samples. Experimental tests have shown the impact of the technical parameters of laser micro polishing on the width and hardness of the impact zone of the treated sample. The microstructure analysis of laser treated and untreated areas of the material has been made.

  9. [Artur Wołyński--A forgotten Polish historian in Italy in the second half of the 19th century].

    Science.gov (United States)

    Piskurewicz, Jan

    2011-01-01

    Artur Wołyński (1844-1893) was, undoubtedly, one of the most interesting personages of Polish émigrés after January Uprising. He belonged to these circles of Polish émigrés, who were able to reconcile their patriotic aspirations with realities and interest of the countries that entertained them. As far as Wołyński is concerned, it found its expression in the efforts that were made in order to assimilate two nations--Polish and Italian people--appealing to the common history and familiar cultural traditions. An important element of integrating all the above-discussed actions was his scientific activity. The mentioned activity included, first of all, his studies on Nicolaus Copernicus and Galileo Galilei. In the article were analyzed more comprehensive scientific descriptions dedicated to Copernicus and Galileo prepared by Wołyński, and their significance and opinions on them of the learned, whose works treated on Copernicus and Galileo as well. Secondly, in the field of scientific activity of Wołyńiski, one can also observe his researches and the process of elaborating sources for Polish and Italian his tory, particularly concerning the 17th century. However, most of his attention Wołyński paid to collecting and working out the materials concerning January Uprising. Speaking a modern language, one can say that Wołyński in a way polled the insurgents of January Uprising sending to them an appropriate list of questions, additionally allowing for their biographies. In the paper was also included a detailed description of this broad initiative together with its results. Up till now, not much attention has been paid to this significant scientific activity and its contexts. So, the present article is to remind of meritorious personage of Wołyński, and particularly of his output within the realm of history of science, history of Polish and Italian relationships, and of the role he played in bequeathing memory of January Uprising.

  10. Recurrent APC gene mutations in Polish FAP families

    Directory of Open Access Journals (Sweden)

    Pławski Andrzej

    2007-12-01

    Full Text Available Abstract The molecular diagnostics of genetically conditioned disorders is based on the identification of the mutations in the predisposing genes. Hereditary cancer disorders of the gastrointestinal tracts are caused by mutations of the tumour suppressor genes or the DNA repair genes. Occurrence of recurrent mutation allows improvement of molecular diagnostics. The mutation spectrum in the genes causing hereditary forms of colorectal cancers in the Polish population was previously described. In the present work an estimation of the frequency of the recurrent mutations of the APC gene was performed. Eight types of mutations occurred in 19.4% of our FAP families and these constitute 43% of all Polish diagnosed families.

  11. Clear and compelling evidence: the Polish tribunal on abortion rights.

    Science.gov (United States)

    Girard, Françoise; Nowicka, Wanda

    2002-05-01

    On 25 July 2001 the Polish Federation for Women and Family Planning organised a Tribunal on Abortion Rights in Warsaw, to publicize the negative consequences of the criminalization of abortion in Poland. A panel of Polish and foreign experts heard the testimonials of seven Polish women's experiences under the 1993 "Anti-Abortion Act". Only two of the seven women were able to tell their stories in person. One died in 2001, at the age of 21, of an unsafe abortion. One is legally blind after having carried her last pregnancy to term. One is in prison for infanticide, which in all likelihood was committed by her boyfriend. National and foreign journalists were in attendance, as well as observers from all walks of life--writers, students, mothers, activists, feminists, husbands. The evidence was clear and compelling. Restrictive abortion laws make abortion unsafe by pushing it underground, endanger women's health, create a climate where even those services that are allowed by law-become unavailable, and contravene standards set by international human rights law. The restrictive abortion law in Poland has not increased the number of births; it has only caused women and their families suffering. The Tribunal brought the issue of abortion into the media prior to an election campaign and galvanised Polish and other Eastern European women's groups to become more active in defence of abortion rights.

  12. Fracking in the Polish press: Geopolitics and national identity

    International Nuclear Information System (INIS)

    Jaspal, Rusi; Nerlich, Brigitte; Lemańcyzk, Szczepan

    2014-01-01

    In a context of resource scarcity and political instability, new energy sources and technologies are being explored in many parts of the world and exploited in some. One of these new energy sources is shale gas and one of the countries seeking to decrease its energy dependence and increase its energy security is Poland which is largely dependent on gas and oil imports from Russia. This article presents the results of a thematic content analysis of articles reporting on shale gas/fracking published in Gazeta Wyborcza and Rzeczpospolita, two leading Polish newspapers, from 1 January 2010 to 31 December 2012. Findings suggest that in media reporting the geopolitical dimension of fracking overrides the technological/scientific dimension and that representations are overwhelmingly positive. Positive representations are bolstered through particular linguistic framings. It is argued that the Polish press has polarized the debate on fracking in a particular (positive) direction, which has silenced an open and constructive debate concerning energy policy in Poland and constructed criticism of fracking as counter-normative and “un-Polish.” The potential socio-political and policy implications of these media representations are discussed. - Highlights: • The general tone of Polish media reporting is positive and emphatic about the benefits of fracking. • The geopolitical dimension of fracking overrides the technological/scientific dimension. • The implementation of fracking is constructed as a national priority. • Opposition to fracking is constructed as counter-normative and “anti-Polish”. • Representations impede open and constructive dialogue about energy policy

  13. Dissolved air flotation of polishing wastewater from semiconductor manufacturer.

    Science.gov (United States)

    Liu, J C; Lien, C Y

    2006-01-01

    The feasibility of the dissolved air flotation (DAF) process in treating chemical mechanical polishing (CMP) wastewater was evaluated in this study. Wastewater from a local semiconductor manufacturer was sampled and characterised. Nano-sized silica (77.6 nm) with turbidity of 130 +/- 3 NTU was found in the slightly alkaline wastewater with traces of other pollutants. Experimental results indicated removal efficiency of particles, measured as suspended particle or turbidity, increased with increasing concentration of cationic collector cetyltrimethyl ammonium bromide (CTAB). When CTAB concentration was 30 mg/L, pH of 6.5 +/- 0.1 and recycle ratio of 30%, very effective removal of particles (> 98%) was observed in saturation pressure range of 4 to 6 kg/cm2, and the reaction proceeded faster under higher pressure. Similarly, the reaction was faster under the higher recycle ratio, while final removal efficiency improved slightly as the recycle ratio increased from 20 to 40%. An insignificant effect of pH on treatment efficiency was found as pH varied from 4.5 to 8.5. The presence of activator, Al3+ and Fe3+, enhanced the system performance. It is proposed that CTAB adsorbs on silica particles in polishing wastewater through electrostatic interaction and makes particles more hydrophobic. The increase in hydrophobicity results in more effective bubble-particle collisions. In addition, flocculation of silica particles through bridging effect of collector was found; it is believed that flocculation of particles also contributed to flotation. Better attachment between gas bubble and solid, higher buoyancy and higher air to solid ratio all lead to effective flotation.

  14. Effect of finishing and polishing procedures on surface roughness, gloss and color of resin-based composites.

    Science.gov (United States)

    Paravina, Rade D; Roeder, Leslie; Lu, Huan; Vogel, Karin; Powers, John M

    2004-08-01

    To evaluate the effects of different finishing and polishing procedures on surface roughness, gloss and color of five resin composites: two experimental microhybrid composites - FZ-Dentin (FZD) and FZ-Enamel (FZE), one commercial microhybrid composite - Esthet-X (EX), and two microfilled composites - Heliomolar (HM) and Renamel Microfill (RM). Surface roughness, gloss and color of the disc-shaped specimens (10 mm in diameter and 2-mm thick) were measured as Mylar (baseline), 16-fluted carbide bur and polishing were completed. Sixteen specimens of each composite were randomized to four groups of four. After finishing with a 16-fluted finishing bur, each group was polished by a different system: 1. Astropol (A), 2. Sof-lex disc (S), 3. Po-Go (P), 4. Enhance (E). Average surface roughness (Ra) was measured with a profilometer. Gloss measurements were performed using small-area glossmeter, while color coordinate values were recorded using a spectrophotometer. A deltaE*abgloss ranked according to polishing system (for all five composites together) was: P > E > A > S. The order of gloss values for the polished composites (for each of four polishing systems) was: RM > FZD > FZE > HM > EX. Fisher's PLSD intervals at the 0.05 level of significance for comparisons of means of surface roughness among five composites and four polishing systems were 0.01 and 0.01 microm, respectively. Fisher's PLSD intervals at the 0.05 level of significance for comparisons of means of gloss among five composites and four polishing systems were 6 and 5 GU, respectively. Color differences (deltaE*ab) among five composites and four polishing methods were found to range from 0.2 to 1.1.

  15. Word Boundaries in L2 Speech: Evidence from Polish Learners of English

    Science.gov (United States)

    Schwartz, Geoffrey

    2016-01-01

    Acoustic and perceptual studies investgate B2-level Polish learners' acquisition of second language (L2) English word-boundaries involving word-initial vowels. In production, participants were less likely to produce glottalization of phrase-medial initial vowels in L2 English than in first language (L1) Polish. Perception studies employing word…

  16. Microstructural and mechanical characteristics of porous iron prepared by powder metallurgy.

    Science.gov (United States)

    Capek, Jaroslav; Vojtěch, Dalibor

    2014-10-01

    The demand for porous biodegradable load-bearing implants has been increasing recently. Based on investigations of biodegradable stents, porous iron may be a suitable material for such applications. In this study, we prepared porous iron samples with porosities of 34-51 vol.% by powder metallurgy using ammonium bicarbonate as a space-holder material. We studied sample microstructure (SEM-EDX and XRD), flexural and compressive behaviors (universal loading machine) and hardness HV5 (hardness tester) of the prepared samples. Sample porosity increased with the amount of spacer in the initial mixtures. Only the pore surfaces had insignificant oxidation and no other contamination was observed. Increasing porosity decreased the mechanical properties of the samples; although, the properties were still comparable with human bone and higher than those of porous non-metallic biomaterials and porous magnesium prepared in a similar way. Based on these results, powder metallurgy appears to be a suitable method for the preparation of porous iron for orthopedic applications. Copyright © 2014 Elsevier B.V. All rights reserved.

  17. The role of Polish Secondary Standard Dosimetry Laboratory in view of the requirements of the EC Directive 97/43 EURATOM

    International Nuclear Information System (INIS)

    Gwiazdowska, B.; Bulski, W.

    2004-01-01

    The aim of this paper is to present the history and experience of the Polish SSDL (Secondary Standard Dosimetry Laboratory). It also presents the propositions in the domain of quality assurance in radiotherapy in Poland, as fulfilling the requirements of the Directive 97/43 EURATOM on health protection of individuals against the dangers of ionizing radiation in relation to medical exposure, which is obligatory for the countries of the European Union. It has been pointed out that there are, among other provisions, two concepts concerning the quality assurance in application of radiation in medicine, mentioned by the Directive, a) inspection and b) clinical audit, which should be implemented by the Member States. In the process of establishing and implementing the Directive confusion may appear as to the difference between the two concepts of external audits. The role of the SSDLs in carrying out external dosimetry audits is presented. The history of the establishment of the Polish SSDL (Secondary Standard Dosimetry Laboratory) and its inclusion into the international network of laboratories coordinated by the International Atomic Energy Agency and the World Health Organization is presented as well as the resulting advantages, obligations and perspectives for further activities. The main activities of the Polish have been presented, namely maintaining a data-base on the radiotherapy infrastructure in Poland, preparation of recommendations on dosimetry procedures and quality control, calibration of dosimeters, external postal quality audits of dosimetry, etc. These activities are illustrated with the results from the period 1991-2003. Based on the solutions and results presented in this paper, the authors conclude that the Ministry of Health should grant the Polish SSDL with a suitable legal status for carrying out external audits nationwide, especially since, according to the Directive 97/43, clinical audits in radiotherapy have to include dosimetry audits. (author)

  18. OSSM2008 Polish Moessbauer Community Meeting: Program, Abstracts and List of Participants

    International Nuclear Information System (INIS)

    2008-01-01

    The Polish Moessbauer Community Meeting - OSSM2008 has been organized by Polish scientists using the Moessbauer spectroscopy in their research. Main subjects of the meeting are investigations of modern materials electronic structure by means of Moessbauer effect (ME), using ME in biological test of tissue diseases and ME investigation of physical properties of different steels

  19. ECONOMIC CRISES – GLOBAL AND LOCAL – IN REFLECTION OF POLISH PRESS

    Directory of Open Access Journals (Sweden)

    Krzysztof Gibek

    2010-01-01

    Full Text Available One of the sociological features of the current economic crises had been its beginning as a media fact rather than economic reality for ordinary people in Poland. Until the very end of 2008 the offi cial strategy of Polish government was denying of present of any economic crises in Poland: “The Polish economy as well as Polish banking system are used to be healthy and in good conditions and we will be suffer a little slow done because we are not an island”. Unfortunately it occurs not to be true.Based on discourse analysis of two major Polish daily and two weekly we would like to show the evolution of narrative describing crises in global terms and particularly at the domestic market. What was the picture of global crises at the beginning of this discussion, how it supposed to affect Poland, who serve as experts and opinion givers and finally how through the language we can observe the change from ‘slow down’ to ‘crises’? This are basic questions we would like to answer in our text.

  20. Profilometric analysis of two composite resins' surface repolished after tooth brush abrasion with three polishing systems.

    Science.gov (United States)

    Uppal, Mudit; Ganesh, Arathi; Balagopal, Suresh; Kaur, Gurleen

    2013-07-01

    To evaluate the effect of three polishing protocols that could be implemented at recall on the surface roughness of two direct esthetic restorative materials. Specimens (n = 40) measuring 8 mm (length) × 5 mm (width) × 4 mm (height) were fabricated in an acrylic mold using two light-cured resin-based materials (microfilled composite and microhybrid composite). After photopolymerization, all specimens were finished and polished with one of three polishing protocols (Enhance, One Gloss, and Sof-Lex polishing systems). The average surface roughness of each treated specimen was determined using 3D optical profilometer. Next all specimens were brushed 60,000 times with nylon bristles at 7200 rpm using crosshead brushing device with equal parts of toothpaste and water used as abrasive medium. The surface roughness of each specimen was measured after brushing followed by repolishing with one of three polishing protocols, and then, the final surface roughness values were determined. The data were analyzed using one-way and two-factor analysis of variance (ANOVA) and Tukey's honestly significant difference (HSD). Significant difference (P < 0.05) in surface roughness was observed. Simulated brushing following initial polishing procedure significantly roughened the surface of restorative material (P < 0.05). Polishing protocols can be used to restore a smooth surface on esthetic restorative materials following simulated tooth brushing.

  1. Soft tissue adhesion of polished versus glazed lithium disilicate ceramic for dental applications.

    Science.gov (United States)

    Brunot-Gohin, C; Duval, J-L; Azogui, E-E; Jannetta, R; Pezron, I; Laurent-Maquin, D; Gangloff, S C; Egles, C

    2013-09-01

    Ceramics are widely used materials for prosthesis, especially in dental fields. Despite multiple biomedical applications, little is known about ceramic surface modifications and the resulting cell behavior at its contact. The aim of this study is to evaluate the biological response of polished versus glazed surface treatments on lithium disilicate dental ceramic. We studied a lithium disilicate ceramic (IPS e.max(®) Press, Ivoclar Vivadent) with 3 different surface treatments: raw surface treatment, hand polished surface treatment, and glazed surface treatment (control samples are Thermanox(®), Nunc). In order to evaluate the possible modulation of cell response at the surface of ceramic, we compared polished versus glazed ceramics using an organotypic culture model of chicken epithelium. Our results show that the surface roughness is not modified as demonstrated by equivalent Ra measurements. On the contrary, the contact angle θ in water is very different between polished (84°) and glazed (33°) samples. The culture of epithelial tissues allowed a very precise assessment of histocompatibility of these interfaces and showed that polished samples increased cell adhesion and proliferation as compared to glazed samples. Lithium disilicate polished ceramic provided better adhesion and proliferation than lithium disilicate glazed ceramic. Taken together, our results demonstrate for the first time, how it is possible to use simple surface modifications to finely modulate the adhesion of tissues. Our results will help dental surgeons to choose the most appropriate surface treatment for a specific clinical application, in particular for the ceramic implant collar. Copyright © 2013 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  2. Linezolid-resistant enterococci in Polish hospitals: species, clonality and determinants of linezolid resistance.

    Science.gov (United States)

    Gawryszewska, I; Żabicka, D; Hryniewicz, W; Sadowy, E

    2017-07-01

    The significant increase of the linezolid-resistant enterococci (LRE) has been observed in Polish hospitals since 2012 and our study aimed at elucidating the possible reasons for this phenomenon. Polish LRE isolates were analysed by multilocus-sequence typing (MLST) and multiple locus variable-number tandem repeat (VNTR) analysis (MLVA), polymerase chain reaction (PCR) and PCR-restriction fragment length polymorphism (PCR-RFLP) to establish clonal relatedness and mechanism of linezolid resistance, respectively. Fifty analysed LRE (2008-2015) included mostly Enterococcus faecium (82%) and Enterococcus faecalis (16%). Enterococcus faecium belonged to the hospital-adapted lineages 17/18 and 78, while E. faecalis isolates represented ST6, a hospital-associated type, and ST116, found in both humans and food-production animals. The G2576T 23S rRNA mutation was the most frequent (94%) mechanism of linezolid/tedizolid resistance of LRE. None of the isolates carried the plasmid-associated gene of Cfr methyltransferase, whereas optrA, encoding the ABC-type drug transporter, was identified in two E. faecalis isolates. In these isolates, optrA was located on a plasmid, transferable to both E. faecium and E. faecalis, whose partial (36.3 kb) sequence was 100% identical to the pE394 plasmid, identified previously in China in both clinical and farm animal isolates. The optrA-E. faecium transconjugant displayed a significant growth deficiency, in contrast to the optrA-E. faecalis. Our study indicates the role of mutation acquisition by hospital-adapted clones of enterococci as a major driver of increasing resistance to linezolid and tedizolid. Transferability and apparent lack of a biological cost of resistance suggest that E. faecalis may be a natural reservoir of optrA, an emerging mechanism of oxazolidinone resistance.

  3. Is hypochondriasis a significant problem among polish adolescents? An attempt of assessment of severe form of health anxiety in polish population

    Directory of Open Access Journals (Sweden)

    Janusz Kocjan

    2017-08-01

    [Conclusions] The study provides evidence about moderate intensification of health anxiety among polish adolescent. Health anxiety level was significantly higher among medical students versus non-medical students group.

  4. Globes and Teaching Aids Manufactured by Jan Felkl Company for the Polish Market

    Directory of Open Access Journals (Sweden)

    Malgorzata Taborska

    2016-05-01

    Full Text Available Jan Felkl company from Roztoky (Roztok near Prague manufactured globes in seventeen language versions, since 1861 also in Polish language. The company was active until 1952, but it ceased to manufacture Polish-language globes as early as in 1914. In the aftermath of the First World War, and with the development of printing business, the demand for Czech globes shrank. It is difficult to estimate the overall output of Polish- language globes manufactured by Felkl’s company throughout the 53 years it operated. From catalogues and the surviving globes we know that terrestrial globes in six sizes, folding globes in two sizes, celestial globes (probably in four sizes, as well as telluria, lunaria and planetaria were manufactured for the Polish market. It is difficult to decide how many editions of individual types of globes were issued. Polish names were compiled by Franciszek Waligórski (one globe and Mirosław Suchecki. Only 28 globes have survived to this day, including one celestial globe. Most of them are globes of an 8-inch diameter, approved by the Austrian ministries as teaching aids for schools. Nearly half of the surviving globes date from the years 1894–1914. Only ten items are in museums.

  5. Are Polish Primary School Pupils in Favor of Wearing Uniforms? Snapshot Comment

    Science.gov (United States)

    Asotska, Julia; Butler, Norman L.; Davidson, Barry S.; Griffith, Kimberly Grantham; Brown, Veda E.; Kritsonis, Wiilliam Allan

    2007-01-01

    The purpose of this article is to discuss whether Polish primary school pupils want to wear uniforms, and it is motivated by the Polish government's recently proposed policy: Zero Tolerance for Violence at School. Seventy one pupils, who attend Podstawowka Nr30 school in Cracow, were surveyed, and the authors found that most pupils are not in…

  6. Strategies for sustainable development of the Polish electric power system

    International Nuclear Information System (INIS)

    Janiczek, R.

    1996-01-01

    The key features of sustainable development of the Polish electric power industry are discussed. Priorities and limitations for changes and power demand forecasts are described. Results of least-cost planning for existing power plants' modernization and generation expansion with environmental impacts are presented. The least-cost strategy is given by the optimal upgrades of older plants until year 2002 and by expansion of gas-fired units. The limited availability of gas after 2010 will lead to the construction of new coal-fired plants. For the next planning process, an integrated resource planning methodology is proposed, which includes dynamic optimization of supply and demand side options. Innovative mechanisms are shown to overcome barriers of development like long-terms contracts and decentralization of the power system planning process. (author)

  7. Mechanical and Tribological Characteristics of the AMC, Prepared by P/M Route along with Thermo-Mechanical Treatment

    Science.gov (United States)

    Mohapatra, Sambit Kumar; Maity, Kalipada; Bhuyan, Subrat Kumar; Prasad Satpathy, Mantra

    2018-03-01

    Thermo mechanical treatments have the ameliorated impacts on the mechanical and tribological properties of powder metallurgy components. In this investigation an aluminium matrix composite (AMC) {Al (92) + Mg (5) + Gr (1) + Ti (2)} has been prepared by following powder metallurgy technique, with double axial compaction and ulterior sintering. Secondary thermo-mechanical treatment i.e. hot extrusion through mathematical contoured cosine profiled die was considered. The die causes minimum velocity relative differences across the extrusion exit cross-section, which provides smooth material flow. Comparative result analysis for the mechanical and tribological characteristics of the specimen before and after extrusion was concentrated. Extrusion engenders significant amount of improvements of the properties those are attributed to excellent bond strength and uniform density distribution due to high compressive stress. Oxidative and delaminated wear mechanisms were found predominating type. To furnish the suitable explanation scanning electron microscopies have been performed for the wear surfaces.

  8. Melting of iron nanoparticles embedded in silica prepared by mechanical milling

    International Nuclear Information System (INIS)

    Ding, Peng; Ma, Ji; Cao, Hui; Liu, Yi; Wang, Lianwen; Li, Jiangong

    2013-01-01

    Highlights: • Melting of metallic nanoparticles was studied for some eight elements. • This slim range of materials is successfully expanded to iron. • A mechanical-milled iron–silica composite is employed. • For iron particles of 15 nm in diameter, the melting point depression is 30 K. • The measured data is in agreement with our theoretical calculations. -- Abstract: For decades, experimental studies on the size-dependent melting of metals are regretfully limited to some eight archetypal examples. In this work, to expand this slim range of materials, the melting behavior of Fe nanoparticles embedded in SiO 2 prepared by using mechanical milling are investigated. Effects of factors in sample preparation on the size, isolation and thermal stability of Fe nanoparticles are systematically studied. On this basis, the size-dependent melting of Fe is successfully traced: for Fe nanoparticles with a diameter of about 15 nm, the melting point depression is 30 °C in comparison with bulk Fe, in accordance with our recent theoretical prediction

  9. Sample preparation methods for scanning electron microscopy of homogenized Al-Mg-Si billets: A comparative study

    International Nuclear Information System (INIS)

    Österreicher, Johannes Albert; Kumar, Manoj; Schiffl, Andreas; Schwarz, Sabine; Hillebrand, Daniel; Bourret, Gilles Remi

    2016-01-01

    Characterization of Mg-Si precipitates is crucial for optimizing the homogenization heat treatment of Al-Mg-Si alloys. Although sample preparation is key for high quality scanning electron microscopy imaging, most common methods lead to dealloying of Mg-Si precipitates. In this article we systematically evaluate different sample preparation methods: mechanical polishing, etching with various reagents, and electropolishing using different electrolytes. We demonstrate that the use of a nitric acid and methanol electrolyte for electropolishing a homogenized Al-Mg-Si alloy prevents the dissolution of Mg-Si precipitates, resulting in micrographs of higher quality. This preparation method is investigated in depth and the obtained scanning electron microscopy images are compared with transmission electron micrographs: the shape and size of Mg-Si precipitates appear very similar in either method. The scanning electron micrographs allow proper identification and measurement of the Mg-Si phases including needles with lengths of roughly 200 nm. These needles are β″ precipitates as confirmed by high resolution transmission electron microscopy. - Highlights: •Secondary precipitation in homogenized 6xxx Al alloys is crucial for extrudability. •Existing sample preparation methods for SEM are improvable. •Electropolishing with nitric acid/methanol yields superior quality in SEM. •The obtained micrographs are compared to TEM micrographs.

  10. Sample preparation methods for scanning electron microscopy of homogenized Al-Mg-Si billets: A comparative study

    Energy Technology Data Exchange (ETDEWEB)

    Österreicher, Johannes Albert; Kumar, Manoj [LKR Light Metals Technologies Ranshofen, Austrian Institute of Technology, Postfach 26, 5282 Ranshofen (Austria); Schiffl, Andreas [Hammerer Aluminium Industries Extrusion GmbH, Lamprechtshausener Straße 69, 5282 Ranshofen (Austria); Schwarz, Sabine [University Service Centre for Transmission Electron Microscopy, Vienna University of Technology, Wiedner Hauptstr. 8-10, 1040 Wien (Austria); Hillebrand, Daniel [Hammerer Aluminium Industries Extrusion GmbH, Lamprechtshausener Straße 69, 5282 Ranshofen (Austria); Bourret, Gilles Remi, E-mail: gilles.bourret@sbg.ac.at [Department of Materials Science and Physics, University of Salzburg, Hellbrunner Straße 34, 5020 Salzburg (Austria)

    2016-12-15

    Characterization of Mg-Si precipitates is crucial for optimizing the homogenization heat treatment of Al-Mg-Si alloys. Although sample preparation is key for high quality scanning electron microscopy imaging, most common methods lead to dealloying of Mg-Si precipitates. In this article we systematically evaluate different sample preparation methods: mechanical polishing, etching with various reagents, and electropolishing using different electrolytes. We demonstrate that the use of a nitric acid and methanol electrolyte for electropolishing a homogenized Al-Mg-Si alloy prevents the dissolution of Mg-Si precipitates, resulting in micrographs of higher quality. This preparation method is investigated in depth and the obtained scanning electron microscopy images are compared with transmission electron micrographs: the shape and size of Mg-Si precipitates appear very similar in either method. The scanning electron micrographs allow proper identification and measurement of the Mg-Si phases including needles with lengths of roughly 200 nm. These needles are β″ precipitates as confirmed by high resolution transmission electron microscopy. - Highlights: •Secondary precipitation in homogenized 6xxx Al alloys is crucial for extrudability. •Existing sample preparation methods for SEM are improvable. •Electropolishing with nitric acid/methanol yields superior quality in SEM. •The obtained micrographs are compared to TEM micrographs.

  11. Problems in laser repair welding of polished surfaces

    Directory of Open Access Journals (Sweden)

    A. Skumavc

    2014-10-01

    Full Text Available This paper presents problems in laser repair welding of the tools for injection moulding of plastics and light metals. Tools for injection moulding of the car headlamps are highly polished in order to get a desirable quality of the injected part. Different light metals, glasses, elastomers, thermoplastics and thermosetting polymers are injected into the die cavity under high pressures resulting in the surface damages of the tool. Laser welding is the only suitable repair welding technique due to the very limited sputtering during deposition of the filler metal. Overlapping of the welds results in inhomogeneous hardness of the remanufactured surface. Results have shown strong correlation between hardness and surface waviness after final polishing of the repair welded surface.

  12. Natural immunity factors in Polish mixed breed rabbits.

    Science.gov (United States)

    Tokarz-Deptuła, B; Niedźwiedzka-Rystwej, P; Adamiak, M; Hukowska-Szematowicz, B; Trzeciak-Ryczek, A; Deptuła, W

    2015-01-01

    Mixed-breed rabbits in Poland are widely used for diagnostic and scientific research and as utility animals, therefore there is a need to know their immunological status, as well as their haematological status. In this study natural immunity factors were analyzed in Polish mixed-breed rabbits and Polish mixed-breed rabbits with addition of blood of meet-breed, considering the impact of sex and season of the year (spring, summer, autumn, winter) using measurement of non-specific cellular and humoral immunity parameters in peripheral blood. The study has revealed that there is a variety between the two commonly used mixed-breed types of rabbits, especially when sex and season is concerned, which is crucial for using these animals in experiments.

  13. Africa and Its People in the Polish Media

    Directory of Open Access Journals (Sweden)

    Średziński Paweł

    2017-06-01

    Full Text Available The African continent is treated by the Polish media marginally and usually seen through the lens of four domains of stereotypical perceptions that are associated with difficult life conditions, threats and dangers, beautiful and wild nature, as well as original and diverse cultures. Monitoring of the Polish media has become very important in this situation. That is why the results of first media monitoring report were published in 2011 by ‘Africa Another Way’ Foundation. Five years later the monitoring was repeated. It is hard to resist the impression that Africa is still viewed as this poor, underdeveloped and dangerous continent. And the way it is presented translates into the way individuals of African descent are perceived.

  14. The Polish family under Stalinist subjugation [Rodzina w sytuacji stalinowskiego zniewolenia

    Directory of Open Access Journals (Sweden)

    Elwira JOLANTA KRYŃSKA

    2016-06-01

    Full Text Available The situation of Polish families during Stalinist subjugation was particularly arduous. The family was treated as an important part of the political system’s socialization which was not rooted at all in the sphere of traditional values and norms developed in the process of historical progress of the Polish statehood. Due to the foundations of Latin civilization based on personalization that were cherished by Polish families, cynical endeavors to take away freedom, legal capacity and personal property – the objectives which Stalinism aimed at both indirectly and directly – failed, which destroyed the communists’ plans. The family did not cease to be the most important centre of human life and development. Theoreticians of a „new deal” did not manage to limit the family to the role of a „basic social unit” of „socialist morality”.

  15. Directory of Polish Officials: A Reference Aid

    Science.gov (United States)

    1989-04-01

    Jan 86 Deputy Director Mondalski, Janusz Aug 83 Polish Steamship Company (Polski Zegluga Morska ) (PZM) Director Andruczyk, Mieczyslaw Jan...2<> Polski Kosciol Chrzescijan Baptystow 12° Polski Linie Oceaniczne ’"- Polski Towarzystwo Ekonomiczne ’’° Polski Zegluga Morska 102 Polski

  16. Preparation of Mn-Zn nanoferrite by mechanical alloying

    International Nuclear Information System (INIS)

    Nasresfahani, M.

    2007-01-01

    Full text: In this research Mn-Zn nanoferrite (Mn x Zn 1-x Fe 2 O 4 ;X=0.3,0.5,0.7)were prepared by mechanical alloying of a mixture of 2 single phase ferrites, MnFe 2 O 4 and ZnFe 2 O 4 . First, ZnFe 2 O 4 and MnFe 2 O 4 were obtained by conventional ceramic technique. In this technique a mixture of related raw materials(ZnO and MnO 2 from merck company and Fe 2 O 3 domestic source) was first mixed and calcined at 1100 C for 3h in air. The starting materials used to prepare Mn-Zn nanoferrite were MnFe 2 O 4 and ZnFe 2 O 4 mixed in the ratio appropriate for the reaction: xMnFe 2 O 4+(1-x) ZnFe 2 O 4 MnxZn 1-x Fe 2 O 4 and milled at different times in SPEX8000M mixer/mill. XRD investigations was used to study the phase formation of the as-milled mixed ferrite. Using XRD patterns and Scherrer's formula, mean crystallite size of the single phase samples were calculated and were in the 10-20 nm. Saturation magnetization(Ms) of the powders was measured at room temperature by a very sensitive home made permeameter. The measured Ms values show that they are smaller than the Ms values associated with the same compound prepared by conventional ceramic technique. The decrease is due to the surface effect in nanoparticles, which can be explained on core-sell model. (authors)

  17. Effects of delayed finishing/polishing on surface roughness, hardness and gloss of tooth-coloured restorative materials.

    Science.gov (United States)

    Yazici, A Ruya; Tuncer, Duygu; Antonson, Sibel; Onen, Alev; Kilinc, Evren

    2010-01-01

    The aim of this study was to investigate the effect of delayed finishing/polishing on the surface roughness, hardness and gloss of tooth-coloured restorative materials. Four different tooth-coloured restoratives: a flowable resin composite- Tetric Flow, a hybrid resin composite- Venus, a nanohybrid resin composite- Grandio, and a polyacid modified resin composite- Dyract Extra were used. 30 specimens were made for each material and randomly assigned into three groups. The first group was finished/polished immediately and the second group was finished/polished after 24 hours. The remaining 10 specimens served as control. The surface roughness of each sample was recorded using a laser profilometer. Gloss measurements were performed using a small-area glossmeter. Vickers microhardness measurements were performed from three locations on each specimen surface under 100g load and 10s dwell time. Data for surface roughness and hardness were analyzed by Kruskal Wallis test and data for gloss were subjected to one-way ANOVA and Tukey test (P gloss values were recorded under Mylar strip for all materials. While delayed finishing/polishing resulted in a significantly higher gloss compared to immediate finishing/polishing in Venus samples (P .05). The lowest hardness values were found under Mylar strip. Delayed finishing/polishing significantly increased the hardness of all materials. The effect of delayed finishing/polishing on surface roughness, gloss and hardness appears to be material dependent.

  18. Slower nicotine metabolism among postmenopausal Polish smokers.

    Science.gov (United States)

    Kosmider, Leon; Delijewski, Marcin; Koszowski, Bartosz; Sobczak, Andrzej; Benowitz, Neal L; Goniewicz, Maciej L

    2018-06-01

    A non-invasive phenotypic indicator of the rate of nicotine metabolism is nicotine metabolite ratio (NMR) defined as a ratio of two major metabolites of nicotine - trans-3'-hydroxycotinine/cotinine. The rate of nicotine metabolism has important clinical implications for the likelihood of successful quitting with nicotine replacement therapy (NRT). We conducted a study to measure NMR among Polish smokers. In a cross-sectional study of 180 daily cigarette smokers (42% men; average age 34.6±13.0), we collected spot urine samples and measured trans-3'-hydroxycotinine (3-HC) and cotinine levels with LC-MS/MS method. We calculated NMR (molar ratio) and analyzed variations in NMR among groups of smokers. In the whole study group, an average NMR was 4.8 (IQR 3.4-7.3). The group of women below 51 years had significantly greater NMR compared to the rest of the population (6.4; IQR 4.1-8.8 vs. 4.3; IQR 2.8-6.4). No differences were found among group ages of male smokers. This is a first study to describe variations in nicotine metabolism among Polish smokers. Our findings indicate that young women metabolize nicotine faster than the rest of population. This finding is consistent with the known effects of estrogen to induce CYP2A6 activity. Young women may require higher doses of NRT or non-nicotine medications for most effective smoking cessation treatment. Copyright © 2017 Institute of Pharmacology, Polish Academy of Sciences. Published by Elsevier B.V. All rights reserved.

  19. What the cerveau isolé preparation tells us nowadays about sleep-wake mechanisms?

    Science.gov (United States)

    Gottesmann, C

    1988-01-01

    The intercollicular transected preparation opened a rich field for investigations of sleep-wake mechanisms. Initial results showed that brain stem ascending influences are essential for maintaining an activated cortex. It was subsequently shown that the forebrain also develops activating influences, since EEG desynchronization of the cortex reappears in the chronic cerveau isolé preparation, and continuous or almost continuous theta rhythm is able to occur in the acute cerveau isolé preparation. A brief "intermediate stage" of sleep occurs during natural sleep just prior to and after paradoxical sleep. It is characterized by cortical spindle bursts, hippocampal low frequency theta activity (two patterns of the acute cerveau isolé preparation) and is accompanied by a very low thalamic transmission level, suggesting a cerveau isolé-like state. The chronic cerveau isolé preparation also demonstrates that the executive processes of paradoxical sleep are located in the lower brain stem, while the occurrence of this sleep stage seems to be modulated by forebrain structures.

  20. Upgrade of pipelines operated in a Polish conditions in accordance with European Standards

    International Nuclear Information System (INIS)

    Witek, M.

    2007-01-01

    This paper presents some aspects of changing polish technical requirements concerning high pressure gas pipelines in accordance with European Norm 1594 '' Gas supply system. Pipelines with maximum operating pressure over 16 bar. Functional requirements ''. An additional class location of the steel pipelines was analyzed and supported by the results on numerous pipeline cases in Poland. Minimum distances between pipelines and buildings are given as a proposal to upgrading polish technical law in the area of the gas grid. Special attention in analysis was given to the polish existing high pressure gas network and calculation examples of existing types of steel used in pipeline construction in the past. (author)

  1. Apparatus and method for deterministic control of surface figure during full aperture polishing

    Science.gov (United States)

    Suratwala, Tayyab Ishaq; Feit, Michael Dennis; Steele, William Augustus

    2013-11-19

    A polishing system configured to polish a lap includes a lap configured to contact a workpiece for polishing the workpiece; and a septum configured to contact the lap. The septum has an aperture formed therein. The radius of the aperture and radius the workpiece are substantially the same. The aperture and the workpiece have centers disposed at substantially the same radial distance from a center of the lap. The aperture is disposed along a first radial direction from the center of the lap, and the workpiece is disposed along a second radial direction from the center of the lap. The first and second radial directions may be opposite directions.

  2. Transmission Electron Microscopy (TEM) Sample Preparation of Si(1-x)Gex in c-Plane Sapphire Substrate

    Science.gov (United States)

    Kim, Hyun Jung; Choi, Sang H.; Bae, Hyung-Bin; Lee, Tae Woo

    2012-01-01

    The National Aeronautics and Space Administration-invented X-ray diffraction (XRD) methods, including the total defect density measurement method and the spatial wafer mapping method, have confirmed super hetero epitaxy growth for rhombohedral single crystalline silicon germanium (Si1-xGex) on a c-plane sapphire substrate. However, the XRD method cannot observe the surface morphology or roughness because of the method s limited resolution. Therefore the authors used transmission electron microscopy (TEM) with samples prepared in two ways, the focused ion beam (FIB) method and the tripod method to study the structure between Si1-xGex and sapphire substrate and Si1?xGex itself. The sample preparation for TEM should be as fast as possible so that the sample should contain few or no artifacts induced by the preparation. The standard sample preparation method of mechanical polishing often requires a relatively long ion milling time (several hours), which increases the probability of inducing defects into the sample. The TEM sampling of the Si1-xGex on sapphire is also difficult because of the sapphire s high hardness and mechanical instability. The FIB method and the tripod method eliminate both problems when performing a cross-section TEM sampling of Si1-xGex on c-plane sapphire, which shows the surface morphology, the interface between film and substrate, and the crystal structure of the film. This paper explains the FIB sampling method and the tripod sampling method, and why sampling Si1-xGex, on a sapphire substrate with TEM, is necessary.

  3. Professional Training of Economists at Polish Universities

    Science.gov (United States)

    Ogienko, Olena

    2016-01-01

    Polish experience in professional training of economists at university has been generalized. Structural, content and procedural peculiarities of the training have been defined. It has been proved that key factors for reforming economic education in Poland are globalization, internationalization, integration, technologization and informatization.…

  4. Mechanical properties of multi-walled carbon nanotube (MWCNT)/polymethyl methacrylite (PMMA) nanocomposite prepared via the coagulation method

    Science.gov (United States)

    Ismail, Noor Mazni; Aziz, Azizan; Jaafar, Mariatti

    2012-06-01

    Multi-walled carbon nanotube (MWCNT) is well known as one of the best candidates for reinforcing the next generation of high performance nanocomposites due to its excellent mechanical properties. In this study, MWCNTs were dispersed in polymethyl methacrylite (PMMA) matrix to enhance its mechanical strength. MWCNT/PMMA were prepared by simple coagulation method and then hot-pressed to create nanocomposite film consists of rich nanotubes. Samples were prepared in respect to various high filler loading (1%, 3%, 5%, 7% and 10% wt.). Standard ASTM D790 flexural test was used to evaluate the mechanical properties of the composites. The morphology and surface fracture were observed via scanning electron microscope. The properties of the composites where found to be better than the neat PMMA. Flexural strength & flexural modulus of MWCNT/PMMA nanocomposite showed an improvement up to 24.1% and 107.7% compared to the neat PMMA, respectively. These studies therefore demonstrate that MWCNT/PMMA prepared by coagulation method able to successfully improve mechanical properties of PMMA.

  5. Fibre-Related Dietary Patterns: Socioeconomic Barriers to Adequate Fibre Intake in Polish Adolescents. A Short Report.

    Science.gov (United States)

    Krusinska, Beata; Kowalkowska, Joanna; Wadolowska, Lidia; Wuenstel, Justyna Weronika; Slowinska, Malgorzata Anna; Niedzwiedzka, Ewa

    2017-06-10

    There is no complete explanation for the association between socioeconomic status (SES), fibre, and whole diet described by dietary patterns. The aim of this short report was to increase the understanding of adolescent dietary patterns related to fibre in their social context. A cross-sectional study was conducted involving 1176 adolescents aged 13-18 years from central and north-eastern Poland. The overall SES was composed of five single factors: place of residence, self-declared economic situation of family, self-declared economic situation of household, paternal and maternal education. The consumption frequency of nine dietary fibre sources was collected using Block's questionnaire and was expressed in points. Fibre dietary patterns (DPs) were drawn by cluster analysis and odds ratios (ORs) adjusted for age, sex, and BMI were calculated. Three fibre-related DPs were identified: "High-fibre" (mean frequency of total fibre intake 22.7 points; range: 0-36), "Average-fibre" (17.7 points), "Low-fibre" (14.6 points). The "High-fibre" DP was characterized by a relatively higher frequency consumption of white bread, fruit, fruit or vegetable juices, potatoes, green salad and prepared vegetables, and a moderate frequency consumption of high-fibre or bran cereals and wholegrain bread compared to the "Low-fibre" DP. The "Average-fibre" DP was characterized by a relatively higher frequency consumption of wholegrain bread and high-fibre or bran cereals and a moderate frequency consumption of fruit, fruit or vegetable juices, green salad and prepared vegetables compared to the "Low-fibre" DP. Less likely to adhere to the "High-fibre" DP were adolescents with low SES (OR: 0.55, 95% CI: 0.39-0.77) or average SES (0.58, 95% CI: 0.41-0.81) in comparison with high SES (reference) as a result of elementary or secondary paternal or maternal education, rural residence, and lower household economic situation. Similar associations were found for the "Average-fibre" DP. Low and average

  6. Polish media and public opinion on NPP Mochovce commissioning

    International Nuclear Information System (INIS)

    Latek, Stanislaw

    1999-01-01

    The so called 'Mochovce Problem' was one of the major topics in Polish media in the period from May to July 1998. The nuclear power plant commissioning caused an unexpectedly strong reaction, especially in the newspapers, slightly less so in electronic media. Faced with clearly hostile media reaction to Mochovce NPP, the National Atomic Energy Agency representatives, together with atomic and nuclear experts, undertook to change these attitudes. In numerous interviews, letters to the editors and talks with journalists, they attempted to correct the mistakes, explain the true safety situation in the nuclear power plant, by whom it was constructed, who supervised and tested the systems and so on. The completion of Mochovce NPP construction improved significantly the electricity balance in Slovakia, thus decreasing the pressure for continuing the operation of older Bohunice V1 units beyond their design lifetime. For this reason, as well as in view of striving for improvement in environmental factors beyond Polish southern border, especially after Kyoto/97 decisions on greenhouse gases emissions, the public opinion in Poland should support the Mochovce NPP construction. In 1996 Poland has signed with Slovakia a bilateral inter-governmental agreement on the prompt notification on nuclear accidents and on the cooperation in the nuclear safety and radiological protection matters. On the basis of this agreement the experts from Polish National Atomic Energy Agency are in perpetual contact with Slovakian Nuclear Regulatory Body and in each and every moment can obtain full and comprehensive information on the plant parameters iportant for nuclear safety. The experts explanations, together with the NAEA top management visit to the plant itself, brought some results. The media became less aggressive, and Polish public and authorities - contrary to the Austrians - do not protest loudly against the commissioning of this newest European NPP. Now, in December 1998, the tune of

  7. Rapid ILs-polishing Processes Toward Flexible Nanostructured Paper with Dually High Transparency and Haze.

    Science.gov (United States)

    Ou, Yanghao; Chen, Jinbo; Lu, Pengbo; Cheng, Fan; Lin, Meiyan; Su, Lingfeng; Li, Jun; Liu, Detao

    2017-07-31

    Biodegradable highly nanostructured paper has received great interest in past years due to its excellent optical properties which facilitate its wide applications in green flexible electronics and devices. However, energy and/or time-consuming procedure during the process of fabricating most nanostructured transparent paper are presently the main obstacle to their scalable production. In this work, we demonstrated a novel nanostructured paper with dually high transparency (∼91%) and high haze (∼89%) that was directly fabricated from original paper with rapid ILs-polishing processes. The whole fabricating time only requires 10 min. Compared to the previously reported nanopaper made of the isolated cellulose nanofibers by pure mechanical and/or chemical approaches, this work presented herein is devoted to use green ILs to polish directly the micrometer-sized fibrous paper into the nanostructured paper. This new method brings a rapid fabrication of transparent nanostructured paper while also retaining dual intriguing properties both in optical transmittance and haze. This work is capable of fabricating next-generation flexible and highly transparent and haze paper by a high-speed roll-to-roll manufacturing process with a much lower cost.

  8. POLISH FARM MACHINERY MARKET AFTER ACCESSION TO THE EUROPEAN UNION – PRODUCTION AND SUPPLY OF MEANS OF AGRICULTURAL MECHANIZATION

    Directory of Open Access Journals (Sweden)

    Jan Pawlak

    2014-09-01

    Full Text Available After accession to the EU in 2004 the demand for tractors and agricultural implements has grown, followed by increasing supply of them. In 2012, the supply of brand-new agricultural tractors was by 185.4% higher than in 2003. In 2012 the value of renovation index of tractor fleet in agriculture was by 149.3% higher than in 2003. In spite of significant increase of demand for tractors, their production in 2012 was by 39.2% lower than in 2003. The downfall in number of tractors produced in Poland caused that imported tractors achieved more and more important share in supplies on Polish market. In 2003 the share of imports amounted to 64.0% and in 2012 – 91.4%. Production of most other means of agricultural mechanization was in 2012 higher than in 2003. The increase in a case of manure spreaders amounted to 704.3%; self loading or unloading agricultural tractor trailers – 362.1%; fertilizer spreader – 335.2%; field sowing machines – 245.3%; pick-up balers – 222.1% and harvester threshers – 194.8. Decreases were noted in cases of steamers – by 73.3%; potato planters – by 49.7%; harrows other than disc ones – by 42.2%; potato diggers – by 31.2% and rototillers – by 22.8%.

  9. Effect of porcelain polishing addition of waste in properties blocks ceramic

    International Nuclear Information System (INIS)

    Santana, G.L.; Barbosa Neto, M.C.; Campos, L.F.; Macedo, D.A; Dutra, R.P.S.

    2016-01-01

    This work has as objective the study of the technological properties of ceramic blocks with addition of residue porcelain polishing. The test samples are produced with clay base, where the waste is introduced in concentrations of 10% and 20% by mass, to evaluate its influence on the properties of the ceramic block. All these materials were characterized by determining their chemical composition (XRF) and X-ray diffraction Sintering was performed at temperatures of 850 ° C, 950 ° C and 1100 ° C with a heating rate of 2 ° C / me and 60 minutes of landing. After this, there was obtained the technological properties of the samples such as: Loss on fire, the burning linear shrinkage, water absorption, porosity and density, as well as, mechanical strength properties through the flexural strength test. The results show that the addition of waste influenced both the technological properties, the mechanical properties evaluated in this study. (author)

  10. On the generation of surface depressions in polishing polycrystalline diamond compacts

    International Nuclear Information System (INIS)

    Tang, Fengzai; Chen, Yiqing; Zhang, Liangchi

    2014-01-01

    This paper investigates the surface depressions generated during the polishing of the (1 1 1) surfaces of polycrystalline diamond (PCD) compacts when using the dynamic friction polishing (DFP) method. It was found that surface depressions of six-sided faces along octahedral planes were the typical features created by the DFP. Although the size of the well-developed depressions can vary significantly, the rectilinear edges are always aligned with the directions. Pronounced {1 1 1} planar defects (i.e., twins) were revealed underneath a depression apex. The interception of the defect plane with the polished surface accounts for the generation of the aligned depressions and for the discernible asymmetry of the pyramidal faces with respect to the (1 1 1) plane. It was revealed that the attached debris layer on the PCD surfaces contained sp 2 -bounded amorphous carbon and nano-sized crystals. (paper)

  11. Detection of Giardia intestinalis infections in Polish soldiers deployed to Afghanistan.

    Science.gov (United States)

    Korzeniewski, Krzysztof; Konior, Monika; Augustynowicz, Alina; Lass, Anna; Kowalska, Ewa

    2016-01-01

    Members of the Polish Military Contingent (PMC) have been stationed in Afghanistan since 2002. They typically serve in areas characterised by low standards of sanitation which often leads to the development of food- and waterborne diseases. The aim of the study was to evaluate the prevalence of Giardia intestinalis infections among Polish soldiers deployed to Afghanistan. The research study was conducted as part of a programme for prevention of parasitic diseases of the gastrointestinal tract run by the Polish Armed Forces. The study was carried out in August 2011; it involved 630 asymptomatic Polish soldiers serving in the Forward Operational Base (FOB) Ghazni in eastern Afghanistan. Stool specimens obtained from members of the PMC were first tested in FOB Ghazni (detection of Giardia intestinalis by Rida Quick Giardia immunochromatographic tests and Ridascreen Giardia immunoenzymatic tests - single samples). Next, the same biological material and two other faecal specimens fixed in 10% formalin were transported to the Military Institute of Medicine in Poland, where they were tested for Giardia intestinalis under light microscopy (direct smear, decantation in distilled water). Parasitological tests performed under light microscopy showed that 2.7% (17/630) of the study group were infected with Giardia intestinalis. Some of these results were confirmed by immunochromatographic tests (6/630). In contrast, immunoenzymatic tests (ELISA) demonstrated a significantly higher detection rate reaching 18.1% (114/630). Immunoenzymatic tests confirmed all the positive results given by light microscopy and by immunochromatographic tests. The prevalence rate of Giardia intestinalis infections in Polish soldiers deployed to Afghanistan was found to be high. Microscopic methods exhibit low sensitivity and therefore may result in the underestimation of the true parasite prevalence. Immunoenzymatic tests (ELISA) showing a much higher sensitivity in comparison to light microscopy

  12. [Health literacy as an element of the Polish occupational health system].

    Science.gov (United States)

    Dobras, Maciej

    Nowadays it is believed that a comprehensive approach towards one's health requires the development and subsequent mastering of health literacy. Although this term has no Polish equivalent, it applies to the ability of individuals to access, analyze and understand information necessary to make informed health decisions. In this publication it is suggested that 'biegłość zdrowotna' can be used as a corresponding Polish term. This publication is based on the review of the available literature (in Polish and in English) on health literacy. To illustrate the hypothetical level of health literacy among Polish employers and employees reports of the Chief Labour Inspectorate and individual items from the Second European Survey of Enterprises on New and Emerging Risks (ESENER-2) were used. The analysis proves that health literacy is a multidimensional concept which has been studied and investigated so far only in relation to chosen nosological units, but practically it does not appear in relation to occupational health. There are reasons to believe that in Poland the low level of health literacy among both employers and employees, lies at the forefront of a passive approach towards the safeguarding of workers health. The concept of health literacy needs further dissemination in Poland, whereas the main area of future research should be the design of the Polish tool for assessing health literacy. The national system of occupational health seems to offer a possible ground for implementing such a concept, especially bearing in mind that within the current system there are several entities and services, which have the legal mandate to undertake informative and advisory duties - exactly those, which help build and master health literacy skills. Med Pr 2016;67(5):681-689. This work is available in Open Access model and licensed under a CC BY-NC 3.0 PL license.

  13. Preparation of multi-layer film consisting of hydrogen-free DLC and nitrogen-containing DLC for conductive hard coating

    Science.gov (United States)

    Iijima, Yushi; Harigai, Toru; Isono, Ryo; Degai, Satoshi; Tanimoto, Tsuyoshi; Suda, Yoshiyuki; Takikawa, Hirofumi; Yasui, Haruyuki; Kaneko, Satoru; Kunitsugu, Shinsuke; Kamiya, Masao; Taki, Makoto

    2018-01-01

    Conductive hard-coating films have potential application as protective films for contact pins used in the electrical inspection process for integrated circuit chips. In this study, multi-layer diamond-like carbon (DLC) films were prepared as conductive hard-coating films. The multi-layer DLC films consisting of DLC and nitrogen-containing DLC (N-DLC) film were prepared using a T-shape filtered arc deposition method. Periodic DLC/N-DLC four-layer and eight-layer films had the same film thickness by changing the thickness of each layer. In the ball-on-disk test, the N-DLC mono-layer film showed the highest wear resistance; however, in the spherical polishing method, the eight-layer film showed the highest polishing resistance. The wear and polishing resistance and the aggressiveness against an opponent material of the multi-layer DLC films improved by reducing the thickness of a layer. In multi-layer films, the soft N-DLC layer between hard DLC layers is believed to function as a cushion. Thus, the tribological properties of the DLC films were improved by a multi-layered structure. The electrical resistivity of multi-layer DLC films was approximately half that of the DLC mono-layer film. Therefore, the periodic DLC/N-DLC eight-layer film is a good conductive hard-coating film.

  14. TiTaCN-Co cermets prepared by mechanochemical technique: microstructure and mechanical properties

    OpenAIRE

    Fides, Martin; Hvizdoš, P.; Balko, Ján; Chicardi, E.; Gotor, F.J.

    2016-01-01

    Microstructure and mechanical characterization of (Ti,Ta)(C,N)-Co based solid solution cermets prepared by two mechanochemical synthesis processes (one- and two-step milling) and a pressureless sintering in protective helium atmosphere. Materials with composition of TixTa1- xC0.5N0.5-20%Co with two different Ti/Ta ratios (x = 0.9 and x = 0.95) were developed to prepare four groups of experimental materials. Microstructures were observed using confocal microscopy and grain size was ev...

  15. Evaluation of the Appearance of Nail Polish Following Daily Treatment of Ex Vivo Human Fingernails With Topical Solutions of Tavaborole or Efinaconazole.

    Science.gov (United States)

    Vlahovic, Tracey C; Coronado, Dina; Chanda, Sanjay; Merchant, Tejal; Zane, Lee T

    2016-01-01

    Patients with onychomycosis may mask infected nails with polish. Tavaborole topical solution, 5% is a boron-based, small-molecule pharmaceutical approved for the treatment of toenail onychomycosis caused by Trichophyton rubrum and Trichophyton mentagrophytes; efinaconazole topical solution, 10% is approved for the same indication. Nail polish appearance after application of tavaborole (dropper) or efinaconazole (brush); respective applicator appearance; presence of color transfer from respective applicators; and color transfer to remaining solutions after dosing of polished nails were evaluated. Twelve ex vivo human cadaver fingernails were cleaned, polished with two coats of L'Oréal® Nail Color, Devil Wears Red #420, and mounted on floral foam. Nails were treated with tavaborole or efinaconazole solutions once daily for 7 days. Dropper and brush applicators were applied to white watercolor paper immediately after dosing to evaluate color transfer from polished nails. On day 7, remaining solutions were transferred to clear glass vials to evaluate color transfer from applicators to solutions. Nails, applicators, and papers were photographed daily following application; remaining solutions were photographed after 7 days of dosing. Tavaborole-treated polished nails showed no polish discoloration, and tavaborole applicators did not change in appearance during treatment. No color transfer from polished nails was evident to applicator, paper, or remaining solution. Efinaconazole-treated polished nails showed substantial polish changes after the first day of treatment, with polish appearance and discoloration progressively worsening over 7 days of treatment. Color transfer from nails was evident to applicator, paper, and remaining solution. Daily dropper application of tavaborole to ex vivo polished nails did not alter polish appearance. Brush application of efinaconazole produced visible changes in polish appearance and color transfer to applicators, paper, and

  16. Mechanical performance of styrene-butadiene-rubber filled with carbon nanoparticles prepared by mechanical mixing

    Energy Technology Data Exchange (ETDEWEB)

    Saatchi, M.M. [Department of Chemical and Petroleum Engineering, Sharif University of Technology, P.O. Box 11155-9465, Tehran (Iran, Islamic Republic of); Shojaei, A., E-mail: akbar.shojaei@sharif.edu [Department of Chemical and Petroleum Engineering, Sharif University of Technology, P.O. Box 11155-9465, Tehran (Iran, Islamic Republic of)

    2011-09-15

    Highlights: {yields} We compare influence of carbon blacks and carbon nanotube on properties of SBR. {yields} We model mechanical behavior of SBR nanocomposites by the micromechanical model. {yields} Mechanical properties of carbon black/SBR is greatly dominated by bound rubber. {yields} Mechanical properties of SBR/nanotube is governed by big aspect ratio of nanotube. - Abstract: Reinforcement of styrene-butadiene-rubber (SBR) was investigated using two different carbon blacks (CBs) with similar particle sizes, including highly structured CB and conventional CB, as well as multi-walled carbon nanotube (MWCNT) prepared by mechanical mixing. The attempts were made to examine reinforcing mechanism of these two different classes of carbon nanoparticles. Scanning electron microscopy and electrical conductivity measurement were used to investigate morphology. Tensile, cyclic tensile and stress relaxation analyses were performed. A modified Halpin-Tsai model based on the concept of an equivalent composite particle, consisting of rubber bound, occluded rubber and nanoparticle, was proposed. It was found that properties of CB filled SBR are significantly dominated by rubber shell and occluded rubber in which molecular mobility is strictly restricted. At low strains, these rubber constituents can contribute in hydrodynamic effects, leading to higher elastic modulus. However, at higher strains, they contribute in stress hardening resulting in higher elongation at break and higher tensile strength. These elastomeric regions can also influence stress relaxation behaviors of CB filled rubber. For SBR/MWCNT, the extremely great inherent mechanical properties of nanotube along with its big aspect ratio were postulated to be responsible for the reinforcement while their interfacial interaction was not so efficient.

  17. Farmers' pensions and the Polish economic crisis.

    Science.gov (United States)

    Simanis, J G

    1983-04-01

    The Polish Government, in 1977, inaugurated a new pension program that made old-age and invalidity benefits available for the first time to most farmers in that country. The evolution and eventual failure of that program were closely intertwined with a growing national economic crisis, manifested in widespread popular unrest and culminating in emergence of the Solidarity movement. The farmers' pension program was originally presented as both a social security measure and a vehicle for improving agricultural efficiency. The economic situation was expected to benefit as farms of older owners were passed to younger, presumably more efficient, successors, with the state sometimes acting as intermediary. A further step to bind the social security concept to agricultural efficiency came through relating the pension amount to the quantity of produce the individual farmer sold to the state over a number of years. The failure of these provisions and other unpopular features of the new program was aggravated by inflation and continuing deterioration of the Polish economy.

  18. Experimental Evaluation of Optically Polished Aluminum Panels on the Deep Space Network's 34 Meter Antenna

    Science.gov (United States)

    Vilnrotter, V.

    2011-01-01

    The potential development of large aperture ground?based "photon bucket" optical receivers for deep space communications has received considerable attention recently. One approach currently under investigation is to polish the aluminum reflector panels of 34?meter microwave antennas to high reflectance, and accept the relatively large spotsize generated by state of?the?art polished aluminum panels. Theoretical analyses of receiving antenna pointing, temporal synchronization and data detection have been addressed in previous papers. Here we describe the experimental effort currently underway at the Deep Space Network (DSN) Goldstone Communications Complex in California, to test and verify these concepts in a realistic operational environment. Two polished aluminum panels (a standard DSN panel polished to high reflectance, and a custom designed aluminum panel with much better surface quality) have been mounted on the 34 meter research antenna at Deep?Space Station 13 (DSS?13), and a remotely controlled CCD camera with a large CCD sensor in a weather?proof container has been installed next to the subreflector, pointed directly at the custom polished panel. The point?spread function (PSF) generated by the Vertex polished panel has been determined to be smaller than the sensor of the CCD camera, hence a detailed picture of the PSF can be obtained every few seconds, and the sensor array data processed to determine the center of the intensity distribution. In addition to estimating the center coordinates, expected communications performance can also been evaluated with the recorded data. The results of preliminary pointing experiments with the Vertex polished panel receiver using the planet Jupiter to simulate the PSF generated by a deep?space optical transmitter are presented and discussed in this paper.

  19. Effect of grinding and polishing on near-surface phase transformations in zirconia

    International Nuclear Information System (INIS)

    Reed, J.S.; Lejus, A.M.

    1977-01-01

    The transformation of near-surface material on grinding and polishing has been investigated in sintered zirconia of 1 μm grain size and 99 percent density containing 4.5 and 7.0 mole percent Y 2 O 3 . Rough wet and dry grinding transformed about 20 percent cubic phase into 18 percent tetragonal and 2 percent monoclinic in material initially 47 percent cubic and 53 percent tetragonal (4.5 mole percent Y 2 O 3 ) but no change of phase in material that was fully cubic (7.0 mole percent Y 2 O 3 ). Annealing and polishing reduced lattice strain but only polishing reduced the concentration of monoclinic and tetragonal phases. Microhardness studies indicated that lattice strain and the phase transformations increased the penetration hardness to a depth of about 4 μm

  20. Treatment guidelines for Circadian Rhythm Sleep - Wake Disorders of the Polish Sleep Research Society and the Section of Biological Psychiatry of the Polish Psychiatric Association. Part II. Diagnosis and treatment.

    Science.gov (United States)

    Wichniak, Adam; Jankowski, Konrad S; Skalski, Michał; Skwarło-Sońta, Krystyna; Zawilska, Jolanta B; Żarowski, Marcin; Poradowska, Ewa; Jernajczyk, Wojciech

    2017-10-29

    Circadian rhythm sleep-wake disorders (CRSWD) are a group of disorders, in which the timing of sleep and wakefulness significantly differs from a patient's expectations or socially acceptable times. The aimof the article is to present the current principles for the diagnosis and treatment of CRSWD in adults and children. Guidelines proposed as CRSWD treatment standard are based on the recommendations from the scientific societies involved in the sleep research and medicine. Researchers participating in the guidelines preparation were invited by the Polish Sleep Research Society and the Section of Biological Psychiatry of the Polish Psychiatric Association based on their significant contribution to the circadian rhythm research and/or clinical experience in the treatment of these disorders. Finally, the guidelines were adjusted to the questions and comments given by the members of both Societies. Patients with endogenous CRSWD are often misdiagnosed and treated for insomnia or hypersomnia. Therefore, each patient reporting sleep-wake disorders should be interviewed about the quality of sleep and its timing during free days (e.g. weekends, holidays). Avalid CRSWD diagnosis can be also established by using sleep diaries/logs and actigraphy. The treatment of choice for CRSWD is chronotherapy, which involves melatonin application, light therapy, and behavioral interventions. Sleep disorders associated with shift work and time zone changes are a growing health problem. Interventions for these disorders should primarily focus on prevention. The main problem in the treatment of CRSWD is an invalid diagnosis. Hypnotics and/or psychostimulants are often used instead of chronotherapeutic interventions, what can alleviate symptoms but is not an effective treatment.

  1. Edges in CNC polishing: from mirror-segments towards semiconductors, paper 1: edges on processing the global surface.

    Science.gov (United States)

    Walker, David; Yu, Guoyu; Li, Hongyu; Messelink, Wilhelmus; Evans, Rob; Beaucamp, Anthony

    2012-08-27

    Segment-edges for extremely large telescopes are critical for observations requiring high contrast and SNR, e.g. detecting exo-planets. In parallel, industrial requirements for edge-control are emerging in several applications. This paper reports on a new approach, where edges are controlled throughout polishing of the entire surface of a part, which has been pre-machined to its final external dimensions. The method deploys compliant bonnets delivering influence functions of variable diameter, complemented by small pitch tools sized to accommodate aspheric mis-fit. We describe results on witness hexagons in preparation for full size prototype segments for the European Extremely Large Telescope, and comment on wider applications of the technology.

  2. Plaque, gingival bleeding and calculus formation after supragingival scaling with and without polishing: a randomised clinical trial.

    Science.gov (United States)

    Zanatta, Fabricio Batistin; Pinto, Tatiana Militz; Kantorski, Karla Zanini; Rösing, Cassiano Kuchenbecker

    2011-01-01

    The aim of this study was to compare the effect of polishing after scaling and root planing on supragingival plaque, calculus formation, and gingival bleeding. The study was designed as a split-mouth randomised clinical trial. Seventy-six patients were submitted to supragingival scaling on the six mandibular anterior teeth with manual curettes until a smooth surface was achieved. Subsequently, quadrants were randomly selected to be polished (test) or not (control) with a rubber cup and pumice. One, two and three weeks following treatment, a blinded examiner evaluated the visible plaque index, gingival bleeding index and the presence of supragingival calculus on the lingual tooth surfaces. The results showed that unpolished surfaces exhibited higher mean percentages of visible plaque in the third week. No statistically significant differences were observed between unpolished and polished sites related to gingival bleeding. Calculus formation was higher on unpolished sites than on polished sites at 2 and 3 weeks. Dental polishing after supragingival scaling contributed to reducing plaque and calculus formation. Polishing exerts an inhibitory effect on plaque and calculus formation.

  3. Sample Preparation for Electron Probe Microanalysis—Pushing the Limits

    Science.gov (United States)

    Geller, Joseph D.; Engle, Paul D.

    2002-01-01

    There are two fundamental considerations in preparing samples for electron probe microanalysis (EPMA). The first one may seem obvious, but we often find it is overlooked. That is, the sample analyzed should be representative of the population from which it comes. The second is a direct result of the assumptions in the calculations used to convert x-ray intensity ratios, between the sample and standard, to concentrations. Samples originate from a wide range of sources. During their journey to being excited under the electron beam for the production of x rays there are many possibilities for sample alteration. Handling can contaminate samples by adding extraneous matter. In preparation, the various abrasives used in sizing the sample by sawing, grinding and polishing can embed themselves. The most accurate composition of a contaminated sample is, at best, not representative of the original sample; it is misleading. Our laboratory performs EPMA analysis on customer submitted samples and prepares over 250 different calibration standards including pure elements, compounds, alloys, glasses and minerals. This large variety of samples does not lend itself to mass production techniques, including automatic polishing. Our manual preparation techniques are designed individually for each sample. The use of automated preparation equipment does not lend itself to this environment, and is not included in this manuscript. The final step in quantitative electron probe microanalysis is the conversion of x-ray intensities ratios, known as the “k-ratios,” to composition (in mass fraction or atomic percent) and/or film thickness. Of the many assumptions made in the ZAF (where these letters stand for atomic number, absorption and fluorescence) corrections the localized geometry between the sample and electron beam, or takeoff angle, must be accurately known. Small angular errors can lead to significant errors in the final results. The sample preparation technique then becomes very

  4. An Exploratory Study of the Factors That May Affect Female Consumers’ Buying Decision of Nail Polishes

    Directory of Open Access Journals (Sweden)

    Chen Sun

    2015-06-01

    Full Text Available The objective of this study was to determine what factors female consumers valued more when they buy nail polish. Ninety-eight female consumers participated in a nail polish consumer study at the Sensory Analysis Center, Kansas State University. A questionnaire containing a check-all-that-apply (CATA question, behavior questions and demographic questions was presented to each consumer. In the CATA question, the factors that may affect consumers’ decision to buy a nail polish were asked, including both sensory and non-sensory factors. The frequency in percent for the factors was calculated. Sensory appeal, price and convenience of usage were the top factors that affected consumers’ buying decisions. Consumers valued sensory appeal and convenience of usage; this suggested that a nail polish company’s product development and advertising departments may want to focus on these two areas, primarily. The information presented in this study could help a nail polish company understand more about consumer segmentation and advertising strategy.

  5. Polish Foreign Trade: The Quality Catch-up and the Influence of Foreign Direct Investments

    DEFF Research Database (Denmark)

    Nielsen, Jørgen Ulff-Møller

    2000-01-01

    This paper investigates how the Polish transition process has expressed itself in export and inward foreign direct investments (FDI), and the relations between export and FDI. Since 1988, the number of Polish products able to compee in export markets has steadily increased. The quality level...

  6. Careers of young Polish chemists

    OpenAIRE

    Kosmulski, Marek

    2014-01-01

    Typical young Polish scientist is an alumnus of doctoral studies at the same university and department where he/she completed his/her Master degree. The career is continued by receiving a habilitation at the same university and department. Then a holder of habilitation is promoted to a tenured position at the same university and department. Detailed analysis of scientific careers of 154 recent Ph.D. recipients and of 16 habilitation candidates in chemistry from University of Warsaw is present...

  7. APPROACHES TO STANDARDIZATION OF STUDENTS INFORMATION AND COMMUNICATION COMPETENCE DEVELOPMENT: THE POLISH EXPERIENCE

    Directory of Open Access Journals (Sweden)

    Mariya P. Leshchenko

    2014-09-01

    Full Text Available In the article foreign, in particular, the Polish experience of diagnostic of students information and communication competencies is characterized. Foreign scientists focuse their modern searches on identifying students skills of using the Internet. Standards defined by Polish researchers are multifunctional and enable the determination of the cognitive, appraisal, creative and social students skills to function in the network. Structure of seven standards (literate and successful information search, critical evaluation of information, creation, transformation and presentation of information content, legal principles of creation and distribution of information content, empathy and imagemaking, security and privacy; participation in the online communities, their components and related parameters are characterized. General results of Polish scientists researches are presented.

  8. Poland, a workforce in transition: Exploring leadership styles and effectiveness of Polish vs. Western expatriate managers

    OpenAIRE

    Eisenberg, Jacob; Pieczonka, Artur; Eisenring, Martin; Mironski, Jacek

    2015-01-01

    Given the cultural differences between Western Europe and Poland, differences are expected in leadership styles and behaviours between Polish and Western managers. Our study explored Polish employees’ perceptions and attitudes toward expatriate Western versus local managers. The main method was surveying Polish employees working under Western managers in three mid-size companies. We supplemented the survey with in-depth interviews with five West European middle managers working in Poland. We ...

  9. Air-powder polishing on self-ligating brackets after clinical use: effects on debris levels.

    Science.gov (United States)

    Aragón, Mônica L S Castro; Lima, Leandro Santiago; Normando, David

    2016-01-01

    Debris buildup on brackets and arch surfaces is one of the main factors that can influence the intensity of friction between bracket and orthodontic wire. This study sought to evaluate the effect of air-powder polishing cleaning on debris levels of self-ligating ceramic brackets at the end of orthodontic treatment, compared to the behavior of conventional brackets. Debris levels were evaluated in metal conventional orthodontic brackets (n = 42) and ceramic self-ligating brackets (n = 42) on canines and premolars, arranged in pairs. There were brackets with and without air-powder polishing. At the end of orthodontic treatment, a hemiarch served as control and the contralateral hemiarch underwent prophylaxis with air-powder polishing. Debris buildup in bracket slots was assessed through images, and Wilcoxon test was used to analyze the results. The median debris levels were statistically lower in the conventional metal brackets compared to self-ligating ones (p = 0.02), regarding brackets not submitted to air-powder polishing. Polishing significantly reduced debris buildup to zero in both systems, without differences between groups. Ceramic self-ligating brackets have a higher debris buildup in comparison to conventional metal brackets in vivo, but prophylaxis with sodium bicarbonate jet was effective in reducing debris levels in self-ligating and also in conventional brackets.

  10. Abrasive wear mechanisms and surface layer structure of refractory materials after mechanical working

    International Nuclear Information System (INIS)

    Milman, Y.V.; Lotsko, D.V.

    1989-01-01

    The mechanisms of abrasive wear and surface layer structure formation after different kinds of mechanical working are considered in terms of fracture and plastic deformation mechanisms for various refractory materials. The principles for classification of abrasive wear mechanisms are proposed, the four types of wear mechanisms are distinguished for various combinations of fractures and plastic deformation types. The concept of characteristic deformation temperature t * (knee temperature) is used. Detailed examples are given of investigating the surface layer structures in grinded crystals of sapphire and molybdenum. The amorphisation tendency of the thinnest surface layer while mechanical polishing is discussed separately. 19 refs., 11 figs., 2 tabs. (Author)

  11. Knowledge of chronic total occlusion among Polish interventional cardiologists.

    Science.gov (United States)

    Bryniarski, Krzysztof L; Zabojszcz, Michał; Dębski, Grzegorz; Marchewka, Jakub; Legutko, Jacek; Surowiec, Sławomir; Siudak, Zbigniew; Żmudka, Krzysztof; Dudek, Dariusz; Bryniarski, Leszek

    2015-01-01

    Chronic total occlusion (CTO) recanalization is indicated in patients with symptoms and evidence of ischemia, but in most cases those types of lesions are still treated medically. In the last few years CTO angioplasty technique has changed dramatically due to considerable advances in techniques and dedicated equipment. An attempt to assess the state of knowledge of technical aspects of CTO angioplasty of coronary arteries among Polish interventional cardiologists. Questionnaire survey performed during two major Polish invasive cardiology workshops. In the study there participated 113 physicians with an average length of work experience of 13 years, most of them cardiologists certified as independent primary operators. The majority of respondents recognized the need of prevention of thrombotic complications through control of activated coagulation time during the CTO procedures. Prevention of renal complications and X-ray protection are also recognized as a significant part of the procedures. The benefits from the use of over-the-wire microcatheters and balloons, the proper choice of dedicated guidewires, contralateral injections and retrograde technique are underestimated. Despite satisfactory knowledge about indications and qualification for the CTO procedure, the awareness of procedural aspects (particularly the retrograde technique) as well as the dedicated CTO equipment among Polish interventional cardiologists is still insufficient.

  12. Density determination of nail polishes and paint chips using magnetic levitation

    Science.gov (United States)

    Huang, Peggy P.

    Trace evidence is often small, easily overlooked, and difficult to analyze. This study describes a nondestructive method to separate and accurately determine the density of trace evidence samples, specifically nail polish and paint chip using magnetic levitation (MagLev). By determining the levitation height of each sample in the MagLev device, the density of the sample is back extrapolated using a standard density bead linear regression line. The results show that MagLev distinguishes among eight clear nail polishes, including samples from the same manufacturer; separates select colored nail polishes from the same manufacturer; can determine the density range of household paint chips; and shows limited levitation for unknown paint chips. MagLev provides a simple, affordable, and nondestructive means of determining density. The addition of co-solutes to the paramagnetic solution to expand the density range may result in greater discriminatory power and separation and lead to further applications of this technique.

  13. Use of mep HyperCel for polishing of human serum albumin.

    Science.gov (United States)

    McCann, Karl B; Vucica, Yvonne; Wu, John; Bertolini, Joseph

    2014-10-15

    The manufacture of human serum albumin by chromatographic procedures involves gel filtration chromatography as a final polishing step. Despite this step being essential to remove high molecular weight impurity proteins and thus ensure a stable and safe final product, it is relatively inefficient. This paper explores the use of hydrophobic charge induction chromatographic media, MEP HyperCel as an alternative to Sephacryl S200HR gel filtration for the polishing of human serum albumin derived by ion exchange chromatographic purification of Cohn Supernatant I. The use of MEP HyperCel results in a product with a higher purity than achieved with gel filtration and in a less time consuming manner and with potential resource savings. MEP HyperCel appears to have great potential for incorporation into downstream processes in the plasma fractionation industry as an efficient means of achieving polishing of intermediates or capture of proteins of interest. Copyright © 2014 Elsevier B.V. All rights reserved.

  14. Plasma electrolytic polishing of metalized carbon fibers

    Directory of Open Access Journals (Sweden)

    Falko Böttger-Hiller

    2016-02-01

    Full Text Available Efficient lightweight structures require intelligent materials that meet versatile functions. Especially, carbon-fiber-reinforced polymers (CFRPs are gaining relevance. Their increasing use aims at reducing energy consumption in many applications. CFRPs are generally very light in weight, while at the same time being extremely stiff and strong (specific strength: CFRPs: 1.3 Nm kg–1, steel: 0.27 Nm kg–1; specific stiffness: CFRPs: 100 Nm kg–1, steel: 25 Nm kg–1. To increase performance and especially functionality of CFRPs, the integration of microelectronic components into CFRP parts is aspired. The functionalization by sensors, actuators and electronics can enable a high lightweight factor and a new level of failure-safety. The integration of microelectronic components for this purpose requires a working procedure to provide electrical contacts for a reliable connection to energy supply and data interfaces. To overcome this challenge, metalized carbon fibers are used. Metalized fibers are, similar to the usual reinforcing fibers, able to be soldered and therefore easy to incorporate into CFRPs. Unfortunately, metalized fibers have to be pre-treated by flux-agents. Until now, there is no flux which is suitable for mass production without destroying the polymer of the CFRP. The process of plasma electrolytic polishing (PeP could be an option, but is so far not available for copper. Thus, in this study, plasma electrolytic polishing is transferred to copper and its alloys. To achieve this, electrolytic parameters as well as the electrical setup are adapted. It can be observed that the gloss and roughness can be adjusted by means of this procedure. Finally, plasma electrolytic polishing is used to treat thin copper layers on carbon fibers.

  15. Terminologia anatomica in the past and the future from perspective of 110th anniversary of Polish Anatomical Terminology.

    Science.gov (United States)

    Gielecki, J; Zurada, A; Osman, N

    2008-05-01

    Professional terminology is commonplace, particularly in the fields of mathematics, medicine, veterinary and natural sciences. The use of the terminology can be international, as it is with Anatomical Terminology (AT). In the early age of modern education, anatomists adopted Latin as the international language for AT. However, at the end of the 20th century, the English language became more predominant around the world. It can be said that the AT is a specific collection of scientific terms. One of the major flaws in early AT was that body structures were described by varying names, while some of the terms was irrational in nature, and confusing. At this time, different international committees were working on preparing a unified final version of the AT, which in the end consisted of 5,640 terms (4,286 originally from the Basle Nomina Anatomica, BNA). Also, each country wanted to have its own nomenclature. In order to accomplish this, each country based their nomenclature on the international AT, and then translated it into their own language. The history of the Polish Anatomical Terminology (PAT) is unique, and follows the events of history. It was first published in 1898, at a time when its neighbours partitioned the territory of Poland. During 150 years, the Polish culture and language was under the Russification and Germanization policy. It is important to note, that even with such difficult circumstances, the PAT was the first national AT in the world. The PAT was a union of the accepted first BNA in Latin and the original Polish anatomical equivalents. This union formed the basis for theoretical and clinical medicine in Poland.

  16. The beginnings of the reception of Ludwik Fleck’s ideas in Polish (1936-1989

    Directory of Open Access Journals (Sweden)

    Paweł Jarnicki

    2016-12-01

    Full Text Available The article discusses the beginnings of the reception of Ludwik Fleck’s theory of thought styles and thought collectives in Polish, from his first polemics with Izydora Dąmbska in 1936 to the first edition of the Polish translation of Entstehung und Entwicklung

  17. Tax harmonization of UCI – opportunities and threats for EU and Polish entities since 2011

    Directory of Open Access Journals (Sweden)

    Rafał Płókarz

    2011-12-01

    Full Text Available The article presents the new tax and legal framework for Polish and international undertakings for collective investments (UCI that came into force in January 2011. Prior to 2011, there were some tax obstacles within the Polish tax system that made competitive advantage in favor of domestic UCIs, and therefore were not in line with European regulations. The tax harmonization voted at the end of 2010 by Polish Parliament lifted those barriers, but at the same time gave birth to new threats and opportunities.

  18. [Bogdan Suchodolski--initiator and editor-in-chief of the publication History of Polish Science].

    Science.gov (United States)

    Kuźnicka, Barbara; Kuźnicki, Leszek

    2011-01-01

    Among numerous and distinguished author's and editorial works of Bogdan Suchodolski a particular value presents a publication in eight volumes entitled 'History of Polish Science' (including two biographical and bibliographic volumes), which was published in the years 1970-1992 on Professor's own initiative and edited by himself. This is the first synthesis of the history of science in Poland, from the beginning of the Middle Ages till the present time (to 1952). In the conception of the initiator and editor the work presents the development of scientific thought and achievements of the scholars in relation to national culture and in connexions with the trends in science in the world. 'History of Polish Science' is the work written by several dozen authors, representing different domains of the knowledge. Scientific, organizational and editorial patronate was possible by dint of History of Science and Technology Establishment of Polish Academy of Sciences (presently the Institute for the History of Science of Polish Academy of Sciences), which was managed by Bogdan Suchodolski.

  19. Tracking Performance of Upgraded "Polished Panel" Optical Receiver on NASA's 34 Meter Research Antenna

    Science.gov (United States)

    Vilnrotter, Victor

    2013-01-01

    There has been considerable interest in developing and demonstrating a hybrid "polished panel" optical receiver concept that would replace the microwave panels on the Deep Space Network's (DSN) 34 meter antennas with highly polished aluminum panels, thus enabling simultaneous opticaland microwave reception. A test setup has been installed on the 34 meter research antenna at DSS-13 (Deep Space Station 13) at NASA's Goldstone Deep Space Communications Complex in California in order to assess the feasibility of this concept. Here we describe the results of a recent effort todramatically reduce the dimensions of the point-spread function (PSF) generated by a custom polished panel, thus enabling improved optical communications performance. The latest results are compared to the previous configuration in terms of quantifiable PSF improvement. In addition, the performance of acquisition and tracking algorithms designed specifically for the polished panel PSF are evaluated and compared, based on data obtained from real-time tracking of planets and bright stars with the 34 meter research antenna at DSS-13.

  20. The determinants of the changes in polish food industry based on the financial results

    Directory of Open Access Journals (Sweden)

    Malwina Białas

    2016-07-01

    Full Text Available For the last two decades Polish food sector has undergone significant transformations. It was one of the quickest branch to reborn after the crisis related to the changes of political system in Poland. Since then, it has become an important stimulator of economic growth. Due to  the constant technical, technological and organizational development of the sector, Poland has become one of the leading modern and innovative European food producers. The food industry development contributed to the remarkable export success of Polish businesses. The considerable growth of the sector was triggered by the need for adaptation of Polish companies to the EU standards, as well as joining to the EU structures. The above research paper was created on the basis of the comparative analysis and it summerises the financial results of the financial industry in selected areas, which have been discussed owing to the changes in the functioning of Polish economy.

  1. Employability management practices in the Polish ICT sector

    NARCIS (Netherlands)

    Marzec, I.; van der Heijden, Beatrice; Scholarios, D.; van der Schoot, E.; Jedrzejowicz, P.; Bozionelos, N.; Epitropaki, O.; Knauth, P.; Mikkelsen, A.; van der Heijde, C.M.

    2009-01-01

    This article examines current career thinking and employability management practices within the Polish Information and Communication Technology (ICT) sector. The aim of this contribution is to identify career management problems and to determine obstacles for implementing employability management

  2. Laser polishing of niobium for application to superconducting radio frequency cavities

    International Nuclear Information System (INIS)

    Singaravelu, Senthil; Klopf, John Michael; Xu, Chen; Krafft, Geoffrey; Kelley, Michael J.

    2012-01-01

    Superconducting radio frequency niobium cavities are at the heart of an increasing number of particle accelerators. Their performance is dominated by a several nanometer thick layer at the interior surface. Maximizing the smoothness of this surface is critical, and aggressive chemical treatments are now employed to this end. The authors describe laser-induced surface melting as an alternative 'greener' approach. Selection of laser parameters guided by modeling achieved melting that reduced the surface roughness from the fabrication process. The resulting topography was examined by scanning electron microscope and atomic force microscope (AFM). Plots of power spectral density computed from the AFM data give further insight into the effect of laser melting on the topography of the mechanically polished (only) niobium

  3. Polish Adult Reading Test (PART) - construction of Polish test for estimating the level of premorbid intelligence in schizophrenia.

    Science.gov (United States)

    Karakuła-Juchnowicz, Hanna; Stecka, Mariola

    2017-08-29

    In view of unavailability in Poland of the standardized methods to measure PIQ, the aim of the work was to develop a Polish test to assess the premorbid level of intelligence - PART(Polish AdultReading Test) and to measureits psychometric properties, such as validity, reliability as well as standardization in the group of schizophrenia patients. The principles of PART construction were based on the idea of popular worldwide National Adult Reading Test by Hazel Nelson. The research comprised a group of 122 subjects (65 schizophrenia patients and 57 healthy people), aged 18-60 years, matched for age and gender. PART appears to be a method with high internal consistency and reliability measured by test-retest, inter-rater reliability, and the method with acceptable diagnostic and prognostic validity. The standardized procedures of PART have been investigated and described. Considering the psychometric values of PART and a short time of its performance, the test may be a useful diagnostic instrument in the assessment of premorbid level of intelligence in a group of schizophrenic patients.

  4. An Influence of Strategic Awareness on Management Control: Evidence from Polish Micro, Small and Medium-sized Enterprises

    Directory of Open Access Journals (Sweden)

    Joanna Dyczkowska

    2015-03-01

    Full Text Available Purpose: The paper investigates whether employees’ strategic awareness influences the shape of management control systems (MCSs in Polish micro, small and medium-sized enterprises (mSMEs. Methodology: The study is based on data obtained from 223 companies between November 2010 and January 2012. The quantitative analysis used a set of variables which depicted MCSs: ‘goalsetting process’, ‘control framework’ and ‘organisation of control’. Strategic awareness was considered an independent variable. Findings: The results showed positive correlations between strategic awareness and considered variables. Regression models developed by the authors proved statistically valid. The study evidences, that increasing employees’ strategic awareness stimulates their participation in goal-setting, contributes to the development of more comprehensive MCSs, or may even imply formalisation of management control. Research limitations: The study does not include an analysis of the extent to which employees find MCSs useful in their work. This will be considered in future research. Another possible extension of the project is to identify factors which enable the capturing of the dynamic character of MCSs and their changes over time. Practical implications: The knowledge of MCSs does not explain whether or not the strategic orientation of a company stimulates a need for MCS. Such knowledge may be important to managers who have to face Polish employees’ general aversion to control. Originality: The study contributes to the limited body of knowledge in a scope of relations between employees’ strategic awareness and control mechanisms in Polish mSMEs.

  5. Mathematical modelling of a self-polishing antifouling paint exposed to seawater: A parameter study

    DEFF Research Database (Denmark)

    Kiil, Søren; Pedersen, M. S.; Dam-Johansen, Kim

    2002-01-01

    , and to suggest ways of controlling biocide release rates. A case study with an antifouling paint based on the well-known tributyltin self-polishing copolymer system showed that the rate of paint polishing was influenced, to various degrees, by the following parameters: seawater pH and concentration of Na...

  6. Formation of the InAs-, InSb-, GaAs-, and GaSb-polished surface

    Science.gov (United States)

    Levchenko, Iryna; Tomashyk, Vasyl; Stratiychuk, Iryna; Malanych, Galyna; Korchovyi, Andrii; Kryvyi, Serhii; Kolomys, Oleksandr

    2018-04-01

    The features of the InAs, InSb, GaAs, and GaSb ultra-smooth surface have been investigated using chemical-mechanical polishing with the (NH4)2Cr2O7-HBr-CH2(OH)CH2(OH)-etching solutions. The etching rate of the semiconductors has been measured as a function of the solution saturation by organic solvent (ethylene glycol). It was found that mechanical effect significantly increases the etching rate from 1.5 to 57 µm/min, and the increase of the organic solvent concentration promotes the decrease of the damaged layer-removing rate. According to AFM, RS, HRXRD results, the treatment with the (NH4)2Cr2O7-HBr-ethylene glycol solutions produces the clean surface of the nanosize level (R a < 0.5 nm).

  7. A diagnosis of strangeness. Brzozowski’s Anti-Sienkiewicz campaign as a dispute about Polish identity

    Directory of Open Access Journals (Sweden)

    Krzysztof Fiołek

    2016-03-01

    Full Text Available This essay discusses the Anti-Sienkiewicz campaign briefly. The affair happened in 1903 in the Warsaw weekly magazine “Głos”, but was written up in many Polish newspapers. Stanisław Brzozowski (1878-1911, the beginning publicist, literary critic and novelist spoke against Sienkiewicz’s famous works. Sienkiewicz’s main novels have been criticised for their conservatism and lack of social progressiveness, although they display great narrative power and contain vivid characterisations in a style full of imagery. The lack of national independence created greater reverence towards national history in 19th century Polish literature. Sienkiewicz felt a close bond with the Polish nobility. Brzozowski tried to put his own philosophy of culture and his concept of the “philosophy of labour” into practice. He spoke as am exponent of Polish modernism and socialism.

  8. Corporate Politics on Polish Millennials

    OpenAIRE

    Natalia Roślik

    2017-01-01

    In the very beginning of this particular paper, an author is trying to determine and describe who Millennials actually are. Then, the basis of Millennials definition is analysing corporation’s activity over the past years regarding this age group. The main goal of the thesis is to bring their specific futures out and describe what corporations on Polish job market are doing to encourage them to work in their offices. Especially in Poland within the last years, it is observed that big multinat...

  9. The use of abrasive polishing and laser processing for developing polyurethane surfaces for controlling fibroblast cell behaviour

    Energy Technology Data Exchange (ETDEWEB)

    Irving, Michael; Murphy, Mark F; Lilley, Francis; French, Paul W; Burton, David R [General Engineering Research Institute, Liverpool John Moores University, Liverpool, L3 3AF (United Kingdom); Dixon, Simon [Biomer Technology LTD, 10 Seymour Court, Tudor Road, Manor Park, Runcorn, Cheshire, WA7 1SY (United Kingdom); Sharp, Martin C [General Engineering Research Institute, Liverpool John Moores University, Liverpool, L3 3AF (United Kingdom)

    2017-02-01

    Studies have shown that surfaces having micro and nano-scale features can be used to control cell behaviours including; cell proliferation, migration and adhesion. The aim of this work was to compare the use of laser processing and abrasive polishing to develop micro/nano-patterned polyurethane substrates for controlling fibroblast cell adhesion, migration and proliferation. Laser processing in a directional manner resulted in polyurethane surfaces having a ploughed field effect with micron-scale features. In contrast, abrasive polishing in a directional and random manner resulted in polyurethane surfaces having sub-micron scale features orientated in a linear or random manner. Results show that when compared with flat (non-patterned) polymer, both the laser processed and abrasive polished surface having randomly organised features, promoted significantly greater cell adhesion, while also enhancing cell proliferation after 72 h. In contrast, the abrasive polished surface having linear features did not enhance cell adhesion or proliferation when compared to the flat surface. For cell migration, the cells growing on the laser processed and abrasively polished random surface showed decreased levels of migration when compared to the flat surface. This study shows that both abrasive polishing and laser processing can be used to produce surfaces having features on the nano-scale and micron-scale, respectively. Surfaces produced using both techniques can be used to promote fibroblast cell adhesion and proliferation. Thus both methods offer a viable alternative to using lithographic techniques for developing patterned surfaces. In particular, abrasive polishing is an attractive method due to it being a simple, rapid and inexpensive method that can be used to produce surfaces having features on a comparable scale to more expensive, multi-step methods. - Highlights: • Abrasive polishing can generate nano-scratches on stainless steel to cast polymer films for cell

  10. The use of abrasive polishing and laser processing for developing polyurethane surfaces for controlling fibroblast cell behaviour

    International Nuclear Information System (INIS)

    Irving, Michael; Murphy, Mark F; Lilley, Francis; French, Paul W; Burton, David R; Dixon, Simon; Sharp, Martin C

    2017-01-01

    Studies have shown that surfaces having micro and nano-scale features can be used to control cell behaviours including; cell proliferation, migration and adhesion. The aim of this work was to compare the use of laser processing and abrasive polishing to develop micro/nano-patterned polyurethane substrates for controlling fibroblast cell adhesion, migration and proliferation. Laser processing in a directional manner resulted in polyurethane surfaces having a ploughed field effect with micron-scale features. In contrast, abrasive polishing in a directional and random manner resulted in polyurethane surfaces having sub-micron scale features orientated in a linear or random manner. Results show that when compared with flat (non-patterned) polymer, both the laser processed and abrasive polished surface having randomly organised features, promoted significantly greater cell adhesion, while also enhancing cell proliferation after 72 h. In contrast, the abrasive polished surface having linear features did not enhance cell adhesion or proliferation when compared to the flat surface. For cell migration, the cells growing on the laser processed and abrasively polished random surface showed decreased levels of migration when compared to the flat surface. This study shows that both abrasive polishing and laser processing can be used to produce surfaces having features on the nano-scale and micron-scale, respectively. Surfaces produced using both techniques can be used to promote fibroblast cell adhesion and proliferation. Thus both methods offer a viable alternative to using lithographic techniques for developing patterned surfaces. In particular, abrasive polishing is an attractive method due to it being a simple, rapid and inexpensive method that can be used to produce surfaces having features on a comparable scale to more expensive, multi-step methods. - Highlights: • Abrasive polishing can generate nano-scratches on stainless steel to cast polymer films for cell

  11. Sustained release of doxorubicin from zeolite-magnetite nanocomposites prepared by mechanical activation

    International Nuclear Information System (INIS)

    Arruebo, Manuel; Fernandez-Pacheco, Rodrigo; Irusta, Silvia; Arbiol, Jordi; Ibarra, M Ricardo; SantamarIa, Jesus

    2006-01-01

    Nanocomposites consisting of magnetite and FAU zeolite with a high surface area and adsorption capacity have been prepared by mechanical activation using high-energy milling at room temperature. FTIR results, as well as HRTEM, EFTEM, and XPS measurements, show that the resulting magnetic nanoparticles are covered by a thin aluminosilicate coating. A saturation magnetization as high as 16 emu g -1 and 94.2 Oe of coercivity were observed for the obtained composites. The main advantages of this synthesis procedure are (i) simplicity of the preparation procedure (ii) prevention of agglomeration of the magnetite nanoparticles to a large extent, and (iii) absence of free magnetite outside the zeolitic matrix. In addition, in vitro experiments revealed that the nanoparticles prepared were able to store and release substantial amounts of doxorubicin. In view of these advantages, these magnetic nanoparticles can be considered as potential candidates for drug-delivery applications

  12. Material removal and surface figure during pad polishing of fused silica

    Energy Technology Data Exchange (ETDEWEB)

    Suratwala, T I; Feit, M D; Steele, W A

    2009-05-04

    The material removal and surface figure after ceria pad polishing of fused silica glass have been measured and analyzed as a function of kinematics, loading conditions, and polishing time. Also, the friction at the workpiece/lap interface, the slope of the workpiece relative to the lap plane, and lap viscoelastic properties have been measured and correlated to material removal. The results show that the relative velocity between the workpiece & lap (determined by the kinematics) and the pressure distribution determine the spatial and temporal material removal and hence the final surface figure of the workpiece. In the case where the applied loading and relative velocity distribution over the workpiece are spatially uniform, a significant non-uniform spatial material removal from the workpiece surface is observed. This is due to a non-uniform pressure distribution resulting from: (1) a moment caused by a pivot point and interface friction forces; (2) viscoelastic relaxation of the polyurethane lap; and (3) a physical workpiece/lap interface mismatch. Both the kinematics and these contributions to the pressure distribution are quantitatively described, and then combined to form a spatial and temporal Preston model & code for material removal (called Surface Figure or SurF{copyright}). The surface figure simulations are consistent with the experiment for a wide variety of polishing conditions. This study is an important step towards deterministic full-aperture polishing, which would allow optical glass fabrication to be performed in a more repeatable, less iterative, and hence more economical manner.

  13. Apparatus and method for deterministic control of surface figure during full aperture pad polishing

    Science.gov (United States)

    Suratwala, Tayyab Ishaq; Feit, Michael Douglas; Steele, William Augustus

    2017-10-10

    A polishing system configured to polish a lap includes a lap configured to contact a workpiece for polishing the workpiece; and a septum configured to contact the lap. The septum has an aperture formed therein. The radius of the aperture and radius the workpiece are substantially the same. The aperture and the workpiece have centers disposed at substantially the same radial distance from a center of the lap. The aperture is disposed along a first radial direction from the center of the lap, and the workpiece is disposed along a second radial direction from the center of the lap. The first and second radial directions may be opposite directions.

  14. Knowledge Mobilisation in the Polish Education System

    Science.gov (United States)

    Fazlagic, Jan; Erkol, Arif

    2015-01-01

    Poland has made substantial progress in improving the quality of its education system in recent years. This paper aims to describe the situation of the Polish education system from a knowledge management perspective and, to some extent, through innovation policies in education. The many challenges, this paper argues, can be tackled only through…

  15. An Exploratory Study of the Factors That May Affect Female Consumers’ Buying Decision of Nail Polishes

    OpenAIRE

    Sun, Chen; Adhikari, Koushik; Koppel, Kadri

    2015-01-01

    The objective of this study was to determine what factors female consumers valued more when they buy nail polish. Ninety-eight female consumers participated in a nail polish consumer study at the Sensory Analysis Center, Kansas State University. A questionnaire containing a check-all-that-apply (CATA) question, behavior questions and demographic questions was presented to each consumer. In the CATA question, the factors that may affect consumers’ decision to buy a nail polish were asked, incl...

  16. Fungal Biomass Protein Production from Trichoderma harzianum Using Rice Polishing.

    Science.gov (United States)

    Ahmed, Sibtain; Mustafa, Ghulam; Arshad, Muhammad; Rajoka, Muhammad Ibrahim

    2017-01-01

    Industrially important enzymes and microbial biomass proteins have been produced from fungi for more than 50 years. High levels of crude protein as much as 45% are present in fungal biomass with balanced essential amino acids. The aim of this study was to access the potential of Trichoderma harzianum to produce fungal biomass protein from rice polishings. Maximum biomass yield was obtained at 5% (w/v) rice polishings after 72 h of incubation at 28°C at pH 4. Carbon and nitrogen ratio of 20 : 1 gave significantly higher production of fungal biomass protein. The FBP in the 75 L fermenter contained 49.50% crude protein, 32.00% true protein, 19.45% crude fiber, 9.62% ash, 11.5% cellulose content, and 0.325% RNA content. The profile of amino acids of final FBP exhibited that all essential amino acids were present in great quantities. The FBP produced by this fungus has been shown to be of good nutritional value for supplementation to poultry. The results presented in this study have practical implications in that the fungus T. harzianum could be used successfully to produce fungal biomass protein using rice polishings.

  17. A Comparative Analysis of Polish and Czech International New Ventures

    Directory of Open Access Journals (Sweden)

    Lidia Danik

    2016-06-01

    Full Text Available The goal of this paper is to compare the characteristics of Polish and Czech companies which follow the Born Global internationalization model. More concretely, the analysis aims to discover the differences or similarities in terms of the internationalization paths of Polish and Czech SMEs in the characteristics of their managers in terms of the so-called “international vision” and in their innovativeness level. The introductory part of article provides a description of this internationalization model and the International New Ventures traits (INV and summarizes the recent studies on this topic conducted in Poland and Czech Republic. In the empirical part, the International New Ventures from the two countries are compared. The Polish sample includes 105 companies which were surveyed with use of computer assisted telephone interviews in autumn 2014. For the Czech Republic, the sample consists of 54 small and medium-sized companies, which were surveyed using the computer assisted web interviews from November 2013 till January 2014. The surveyed companies in both countries fulfilled the definition of Born Globals. Descriptive statistics, cross-tabulation analysis and non-parametric tests are applied to accomplish the goals of the paper.

  18. The use of tenses and moods, translation of fixed expressions, idioms, metaphorical phrasal constructs and changes in the Polish version of 'I dodici abati di Challant'. How to overcome the limitations of a linguistic system?

    Directory of Open Access Journals (Sweden)

    Claudio Salmeri

    2013-01-01

    Full Text Available The purpose of this paper is to present a comparative analysis of a novel written in Italian by Laura Mancinelli, 'The Twelve Abbots of Challant', and its translation into Polish prepared by Maciej Brzozowski. Focusing on a few examples, such as the use of grammatical tenses and moods, differences in the syntax, and the problems of translating idiomatic and metaphoric expressions, the paper aims to compare the author’s style with that of the translator. The task undertaken by the translator seems very hard indeed from the very beginning due to considerable differences between the Italian and Polish languages in the use of tenses and moods. While the Italian grammar uses four moods, eight simple and seven compound tenses, the frugal Polish grammar makes with just three modes, one compound and three simple tenses. The discussion will clearly illustrate that thanks to some modifications it was possible to translate and preserve the meaning and the spirit of the Italian grammar and style.

  19. Effect of finishing and polishing on the color stability of a composite resin immersed in staining solutions

    Directory of Open Access Journals (Sweden)

    Maiara Justo Polli

    2015-01-01

    Full Text Available Objective: To evaluate the influence of finishing/polishing methods and staining solutions using different immersion periods on the color stability of a microhybrid composite resin. Materials and Methods: Ninety specimens were fabricated using a stainless steel mold and polyester strips. The samples were randomly divided into five groups according to the finishing and polishing performed: Control group (no surface treatment was performed, Diamond Pro group, Diamond burs group, Enhance group, and SiC paper group. After finishing and polishing, six samples from each group were immersed in coffee, red wine, or water for 30 days. The color measurements were obtained using digital photography before immersion and after 7, 15, and 30 days of immersion. The red, green, and blue values provided by the Adobe Photoshop software were converted into CIELab values. A three-way analysis of variance and Tukey's test were used for statistical analysis (P ≤ 0.05. Results: The finishing and polishing methods, staining solutions, immersion times, and their interaction had statistically significant effects on the color change (P = 0.00. Coffee and red wine caused intense staining. Among the polishing methods, the highest color change value was observed in the control group (P < 0.05 and the Diamond Pro disks provided the most stain-resistant surfaces (P ≤ 0.05. Conclusion: The finishing and polishing method, staining solution, and immersion time influences the color stability. Finishing and polishing should be applied to obtain a more stain-resistant surface.

  20. VERSHINA – A POLISH VILLAGE IN SIBERIA. FACTORS INFLUENCING LANGUAGE MAINTENANCE UNDER CHANGING SOCIAL, CULTURAL, ECONOMIC AND POLITICAL CONDITIONS

    Directory of Open Access Journals (Sweden)

    Michał Głuszkowski

    2011-01-01

    Full Text Available The article discusses factors influencing language maintenance under changing social, cultural, economic and political conditions of Polish minority in Siberia. The village of Vershina was founded in 1910 by Polish voluntary settlers from Little Poland.During its first three decades Vershina preserved Polish language,traditions, farming methods and machines and also the Roman Catholic religion. The changes came to a village in taiga in the1930s. Vershina lost its ethnocultural homogeneity because of Russian and Buryat workers in the local kolkhoz. Nowadays the inhabitants of Vershina regained their minority rights: religious, educational and cultural. However, during the years of sovietization and ateization, their culture and customs became much more similar to other Siberian villages. Polish language in Vershina is under strong influence of Russian, which is the language of education,administration, and surrounding villages. Children from Polish-Russian families become monolingual and use Polish very rare, only asa school subject and in contacts with grandparents. The process of abandoning mother tongue in Vershina is growing rapidly. However,there are some factors which may hinder the actual changes:the activity of local Polish organisations and Roman Catholic parish as well as folk group “Jazhumbek”.