WorldWideScience

Sample records for polycrystalline cvd diamond

  1. CVD polycrystalline diamond. A novel neutron detector and applications

    International Nuclear Information System (INIS)

    Mongkolnavin, R.

    1998-01-01

    Chemical Vapour Deposition (CVD) Polycrystalline Diamond film has been investigated as a low noise sensor for beta particles, gammas and neutrons using High Energy Physics technologies. Its advantages and disadvantages have been explored in comparison with other particle detectors such as silicon detector and other plastic scintillators. The performance and characteristic of the diamond detector have been fully studied and discussed. These studies will lead to a better understanding of how CVD diamonds perform as a detector and how to improve their performance under various conditions. A CVD diamond detector model has been proposed which is an attempt to explain the behaviour of such an extreme detector material. A novel neutron detector is introduced as a result of these studies. A good thermal and fast neutron detector can be fabricated with CVD diamond with new topologies. This detector will perform well without degradation in a high neutron radiation environment, as diamond is known to be radiation hard. It also offers better neutrons and gammas discrimination for high gamma background applications compared to other semiconductor detectors. A full simulation of the detector has also been done using GEANT, a Monte-Carlo simulation program for particle detectors. Simulation results show that CVD diamond detectors with this novel topology can detect neutrons with great directionality. Experimental work has been done on this detector in a nuclear reactor environment and accelerator source. A novel neutron source which offers a fast pulse high-energy neutrons has also been studied. With this detector, applications in neutron spectrometer for low-Z material have been pursued with various neutron detection techniques. One of these is a low-Z material identification system. The system has been designed and simulated for contraband luggage interrogation using the detector and the novel neutron source. Also other neutron related applications have been suggested. (author)

  2. CVD polycrystalline diamond. A novel neutron detector and applications

    International Nuclear Information System (INIS)

    Mongkolnavin, R.

    1998-07-01

    Chemical Vapour Deposition (CVD) Polycrystalline Diamond film has been investigated as a low noise sensor for beta particles, gammas and neutrons using High Energy Physics technologies. Its advantages and disadvantages have been explored in comparison with other particle detectors such as silicon detector and other plastic scintillators. The performance and characteristic of the diamond detector have been fully studied and discussed. These studies will lead to a better understanding of how CVD diamonds perform as a detector and how to improve their performance under various conditions. A CVD diamond detector model has been proposed which is an attempt to explain the behaviour of such an extreme detector material. A novel neutron detector is introduced as a result of these studies. A good thermal and fast neutron detector can be fabricated with CVD diamond with new topologies. This detector will perform well without degradation in a high neutron radiation environment, as diamond is known to be radiation-hard. It also offers better neutrons and gammas discrimination for high gamma background applications compared to other semiconductor detectors. A full simulation of the detector has also been done using GEANT, a Monte Carlo simulation program for particle detectors. Simulation results show that CVD diamond detectors with this novel topology can detect neutrons with great directionality. Experimental work has been done on this detector in a nuclear reactor environment and accelerator source. A novel neutron source which offers a fast pulse high-energy neutrons has also been studied. With this detector, applications in neutron spectrometry for low-Z material have been pursued with various neutron detection techniques. One of these is a low-Z material identification system. The system has been designed and simulated for contraband luggage interrogation using the detector and the novel neutron source. (author)

  3. Polycrystalline CVD diamond device level modeling for particle detection applications

    Science.gov (United States)

    Morozzi, A.; Passeri, D.; Kanxheri, K.; Servoli, L.; Lagomarsino, S.; Sciortino, S.

    2016-12-01

    Diamond is a promising material whose excellent physical properties foster its use for radiation detection applications, in particular in those hostile operating environments where the silicon-based detectors behavior is limited due to the high radiation fluence. Within this framework, the application of Technology Computer Aided Design (TCAD) simulation tools is highly envisaged for the study, the optimization and the predictive analysis of sensing devices. Since the novelty of using diamond in electronics, this material is not included in the library of commercial, state-of-the-art TCAD software tools. In this work, we propose the development, the application and the validation of numerical models to simulate the electrical behavior of polycrystalline (pc)CVD diamond conceived for diamond sensors for particle detection. The model focuses on the characterization of a physically-based pcCVD diamond bandgap taking into account deep-level defects acting as recombination centers and/or trap states. While a definite picture of the polycrystalline diamond band-gap is still debated, the effect of the main parameters (e.g. trap densities, capture cross-sections, etc.) can be deeply investigated thanks to the simulated approach. The charge collection efficiency due to β -particle irradiation of diamond materials provided by different vendors and with different electrode configurations has been selected as figure of merit for the model validation. The good agreement between measurements and simulation findings, keeping the traps density as the only one fitting parameter, assesses the suitability of the TCAD modeling approach as a predictive tool for the design and the optimization of diamond-based radiation detectors.

  4. Polycrystalline CVD diamond device level modeling for particle detection applications

    International Nuclear Information System (INIS)

    Morozzi, A.; Passeri, D.; Kanxheri, K.; Servoli, L.; Lagomarsino, S.; Sciortino, S.

    2016-01-01

    Diamond is a promising material whose excellent physical properties foster its use for radiation detection applications, in particular in those hostile operating environments where the silicon-based detectors behavior is limited due to the high radiation fluence. Within this framework, the application of Technology Computer Aided Design (TCAD) simulation tools is highly envisaged for the study, the optimization and the predictive analysis of sensing devices. Since the novelty of using diamond in electronics, this material is not included in the library of commercial, state-of-the-art TCAD software tools. In this work, we propose the development, the application and the validation of numerical models to simulate the electrical behavior of polycrystalline (pc)CVD diamond conceived for diamond sensors for particle detection. The model focuses on the characterization of a physically-based pcCVD diamond bandgap taking into account deep-level defects acting as recombination centers and/or trap states. While a definite picture of the polycrystalline diamond band-gap is still debated, the effect of the main parameters (e.g. trap densities, capture cross-sections, etc.) can be deeply investigated thanks to the simulated approach. The charge collection efficiency due to β -particle irradiation of diamond materials provided by different vendors and with different electrode configurations has been selected as figure of merit for the model validation. The good agreement between measurements and simulation findings, keeping the traps density as the only one fitting parameter, assesses the suitability of the TCAD modeling approach as a predictive tool for the design and the optimization of diamond-based radiation detectors.

  5. CVD diamond windows for infrared synchrotron applications

    International Nuclear Information System (INIS)

    Sussmann, R.S.; Pickles, C.S.J.; Brandon, J.R.; Wort, C.J.H.; Coe, S.E.; Wasenczuk, A.; Dodge, C.N.; Beale, A.C.; Krehan, A.J.; Dore, P.; Nucara, A.; Calvani, P.

    1998-01-01

    This paper describes the attributes that make diamond a unique material for infrared synchrotron beam experiments. New developments in diamond synthesised by Chemical Vapour Deposition (CVD) promise to extend the range of applications which have been hitherto limited by the availability and cost of large-size single-crystal diamond. Polycrystalline CVD diamond components such as large (100 mm) diameter windows with extremely good transparency over a wide spectral range are now commercially available. Properties of CVD diamond of relevance to optical applications, such as mechanical strength, thermal conductivity and absolute bulk absorption, are discussed. It is shown that although some of the properties of CVD diamond (similar to other polycrystalline industrial ceramics) are affected by the grain structure, currently produced CVD diamond optical components have the quality and performance required for numerous demanding applications

  6. New developments in CVD diamond for detector applications

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Dulinski, W.; Doroshenko, J.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented.

  7. New developments in CVD diamond for detector applications

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W. [HEPHY, Vienna (Austria); Berdermann, E. [GSI, Darmstadt (Germany); Bergonzo, P.; Brambilla, A. [LETI/DEIN/SPE/CEA Saclay (France); Boer, W. de [Universitaet Karlsruhe, Karlsruhe (Germany); Bogani, F. [LENS, Florence (Italy); Borchi, E.; Bruzzi, M. [University of Florence (Italy); Colledani, C.; Dulinski, W. [LEPSI, IN2P3/CNRS-ULP, Strasbourg (France); Conway, J.; Doroshenko, J. [Rutgers University, Piscataway (United States); D' Angelo, P.; Furetta, C. [INFN, Milano (Italy); Dabrowski, W. [UMM, Cracow (Poland); Delpierre, P.; Fallou, A. [CPPM, Marseille (France); Eijk, B. van [NIKHEF, Amsterdam (Netherlands); Fischer, P. [Universitaet Bonn, Bonn (Germany); Fizzotti, F. [University of Torino (Italy); Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-07-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  8. New developments in CVD diamond for detector applications

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Brambilla, A.; Boer, W. de; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Dulinski, W.; Conway, J.; Doroshenko, J.; D'Angelo, P.; Furetta, C.; Dabrowski, W.; Delpierre, P.; Fallou, A.; Eijk, B. van; Fischer, P.; Fizzotti, F.; Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-01-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  9. High vacuum tribology of polycrystalline diamond coatings

    Indian Academy of Sciences (India)

    Polycrystalline diamond coatings; hot filament CVD; high vacuum tribology. 1. Introduction .... is a characteristic of graphite. We mark the (diamond ... coefficient of friction due to changes in substrate temperature. The average coefficient of.

  10. CVD diamond for nuclear detection applications

    CERN Document Server

    Bergonzo, P; Tromson, D; Mer, C; Guizard, B; Marshall, R D; Foulon, F

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-i...

  11. CVD diamond for nuclear detection applications

    International Nuclear Information System (INIS)

    Bergonzo, P.; Brambilla, A.; Tromson, D.; Mer, C.; Guizard, B.; Marshall, R.D.; Foulon, F.

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-industrial basis, CVD diamond detectors have been fabricated for nuclear industry applications in hostile environments. Such devices can operate in harsh environments and overcome limitations encountered with the standard semiconductor materials. Of these, this paper presents devices for the monitoring of the alpha activity in corrosive nuclear waste solutions, such as those encountered in nuclear fuel assembly reprocessing facilities, as well as diamond-based thermal neutron detectors exhibiting a high neutron to gamma selectivity. All these demonstrate the effectiveness of a demanding industrial need that relies on the remarkable resilience of CVD diamond

  12. Recent Results from Beam Tests of 3D and Pad pCVD Diamond Detectors

    CERN Document Server

    Wallny, Rainer

    2017-01-01

    Results from prototypes of a detector using chemical vapor deposited (CVD) diamond with embedded resistive electrodes in the bulk forming a 3D diamond device are presented. A detector system consisting of 3D devices based on poly-crystalline CVD (pCVD) diamond was connected to a multi-channel readout and successfully tested in a 120 GeV/c proton beam at CERN proving for the first time the feasibility of the 3D detector concept in pCVD for particle tracking applications. We also present beam test results on the dependence of signal size on incident particle rate in charged particle detectors based on poly-crystalline CVD diamond. The detectors were tested in a 260 MeV/c pion beam over a range of particle fluxes from 2 kHz/cm2 to 10 MHz/cm2 . The pulse height of the sensors was measured with pad readout electronics at a peaking time of 7 ns. Our data from the 2015 beam tests at PSI indicate that the pulse height of poly-crystalline CVD diamond sensor irradiated to 5×1014 neq/cm2 is independent of particle flux...

  13. Investigation of defects in CVD diamond: Influence for radiotherapy applications

    International Nuclear Information System (INIS)

    Guerrero, M.J.; Tromson, D.; Bergonzo, P.; Barrett, R.

    2005-01-01

    In this study we present the potentialities of CVD diamond as an ionisation chamber for radiotherapy applications. Trapping levels present in CVD diamond are characterised using Thermally Stimulated Current (TSC) method with X-ray sources. The influence of the corresponding defects on the detector response is investigated and compared to those observed in natural diamond. Also, their spatial distribution across a large area polycrystalline diamond ionisation chamber is discussed. Results show the relative influence of two different populations of trapping levels in CVD diamond whose effect is crucial for radiotherapy applications. To partially overcome the defect detrimental effects, we propose to use CVD diamond ionisation chambers at moderate temperatures from 70 to 100 deg. C that could be provided by self heating of the device, for a dramatically improved stability and reproducibility

  14. CVD Diamond Sensors In Detectors For High Energy Physics

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00334150; Trischuk, William

    At the end of the next decade an upgrade of the Large Hadron Collider (LHC) to High Luminosity LHC (HL-LHC) is planned which requires the development of new radiation tolerant sensor technology. Diamond is an interesting material for use as a particle detector in high radiation environments. The large band gap ($5.47\\,\\text{eV}$) and the large displacement energy suggest that diamond is a radiation tolerant detector material. In this Thesis the capability of Chemical Vapor Deposition (CVD) diamond as such a sensor technology is investigated. The radiation damage constant for $800\\,\\text{MeV}$ protons is measured using single crystalline CVD (scCVD) and polycrystalline CVD (pCVD) diamonds irradiated to particle fluences up to $12 \\times 10^{15}\\,\\text{p/cm}^2$. In addition the signal response of a pCVD diamond detector after an irradiation to $12 \\times 10^{15}\\,\\text{p/cm}^2$ is investigated to determine if such a detector can be operated efficiently in the expected HL-LHC environment. By using electrodes em...

  15. Polycrystalline Diamond Schottky Diodes and Their Applications.

    Science.gov (United States)

    Zhao, Ganming

    In this work, four-hot-filament CVD techniques for in situ boron doped diamond synthesis on silicon substrates were extensively studied. A novel tungsten filament shape and arrangement used to obtain large-area, uniform, boron doped polycrystalline diamond thin films. Both the experimental results and radiative heat transfer analysis showed that this technique improved the uniformity of the substrate temperature. XRD, Raman and SEM studies indicate that large area, uniform, high quality polycrystalline diamond films were obtained. Schottky diodes were fabricated by either sputter deposition of silver or thermal evaporation of aluminum or gold, on boron doped diamond thin films. High forward current density and a high forward-to-reverse current ratio were exhibited by silver on diamond Schottky diodes. Schottky barrier heights and the majority carrier concentrations of both aluminum and gold contacted diodes were determined from the C-V measurements. Furthermore, a novel theoretical C-V-f analysis of deep level boron doped diamond Schottky diodes was performed. The analytical results agree well with the experimental results. Compressive stress was found to have a large effect on the forward biased I-V characteristics of the diamond Schottky diodes, whereas the effect on the reverse biased characteristics was relatively small. The stress effect on the forward biased diamond Schottky diode was attributed to piezojunction and piezoresistance effects. The measured force sensitivity of the diode was as high as 0.75 V/N at 1 mA forward bias. This result shows that CVD diamond device has potential for mechanical transducer applications. The quantitative photoresponse characteristics of the diodes were studied in the spectral range of 300 -1050 nm. Semi-transparent gold contacts were used for better photoresponse. Quantum efficiency as high as 50% was obtained at 500 nm, when a reverse bias of over 1 volt was applied. The Schottky barrier heights between either gold or

  16. Radiation monitoring with CVD diamonds and PIN diodes at BaBar

    Energy Technology Data Exchange (ETDEWEB)

    Bruinsma, M. [University of California Irvine, Irvine, CA 92697 (United States); Burchat, P. [Stanford University, Stanford, CA 94305-4060 (United States); Curry, S. [University of California Irvine, Irvine, CA 92697 (United States)], E-mail: scurry@slac.stanford.edu; Edwards, A.J. [Stanford University, Stanford, CA 94305-4060 (United States); Kagan, H.; Kass, R. [Ohio State University, Columbus, OH 43210 (United States); Kirkby, D. [University of California Irvine, Irvine, CA 92697 (United States); Majewski, S.; Petersen, B.A. [Stanford University, Stanford, CA 94305-4060 (United States)

    2007-12-11

    The BaBar experiment at the Stanford Linear Accelerator Center has been using two polycrystalline chemical vapor deposition (pCVD) diamonds and 12 silicon PIN diodes for radiation monitoring and protection of the Silicon Vertex Tracker (SVT). We have used the pCVD diamonds for more than 3 years, and the PIN diodes for 7 years. We will describe the SVT and SVT radiation monitoring system as well as the operational difficulties and radiation damage effects on the PIN diodes and pCVD diamonds in a high-energy physics environment.

  17. CVD diamond deposition onto dental burs

    International Nuclear Information System (INIS)

    Ali, N.; Sein, H.

    2001-01-01

    A hot-filament chemical vapor deposition (HFCVD) system has been modified to enable non-planar substrates, such as metallic wires and dental burs, to be uniformly coated with thin polycrystalline diamond films. Initially, diamond deposition was carried out on titanium and tantalum wires in order to test and optimize the system. High growth rates of the order of approx. 8 /hr were obtained when depositing diamond on titanium wires using the vertical filament arrangement. However, lower growth rates of the order of 4-5meu m/hr were obtained with diamond deposition on tantalum wires. To extend the work towards a practical biomedical application tungsten carbide dental burs were coated with diamond films. The as-grown films were found to be polycrystalline and uniform over the cutting tip. Finally, the costs relating to diamond CVD onto dental burs have been presented in this paper. The costs relating to coating different number of burs at a time and the effect of film thickness on costs have been included in this investigation. (author)

  18. RF characteristic of MESFET on H-terminated DC arc jet CVD diamond film

    International Nuclear Information System (INIS)

    Liu, J.L.; Li, C.M.; Zhu, R.H.; Guo, J.C.; Chen, L.X.; Wei, J.J.; Hei, L.F.; Wang, J.J.; Feng, Z.H.; Guo, H.; Lv, F.X.

    2013-01-01

    Diamond has been considered to be a potential material for high-frequency and high-power electronic devices due to the excellent electrical properties. In this paper, we reported the radio frequency (RF) characteristic of metal-semiconductor field effect transistor (MESFET) on polycrystalline diamond films prepared by direct current (DC) arc jet chemical vapor deposition (CVD). First, 4 in polycrystalline diamond films were deposited by DC arc jet CVD in gas recycling mode with the deposition rate of 14 μm/h. Then the polished diamond films were treated by microwave hydrogen plasma and the 0.2 μm-gate-length MESFET was fabricated by using Au mask photolithography and electron beam (EB) lithography. The surface conductivity of the H-terminated diamond film and DC and RF performances of the MESFET were characterized. The results demonstrate that, the carrier mobility of 24.6 cm 2 /V s and the carrier density of 1.096 × 10 13 cm −2 are obtained on the surface of H-terminated diamond film. The FET shows the maximum transition frequency (f T ) of 5 GHz and the maximum oscillation frequency (f max ) of 6 GHz at V GS = −0.5 V and V DS = −8 V, which indicates that H-terminated DC arc jet CVD polycrystalline diamond is suitable for the development of high frequency devices.

  19. Response of CVD diamond detectors to alpha radiation

    Energy Technology Data Exchange (ETDEWEB)

    Souw, E.-K. [Brookhaven National Lab., Upton, NY (United States); Meilunas, R.J. [Northrop-Grumman Corporation, Bethpage, NY 11714-3582 (United States)

    1997-11-21

    This article describes some results from an experiment with CVD diamond films used as {alpha} particle detectors. It demonstrates that bulk polarization can be effectively stopped within a reasonable time interval. This will enable detector calibration and quantitative measurement. A possible mechanism for the observed polarization quenching is discussed. It involves two types of carrier traps and a tentative band-gap model derived from the results of photoconductive current measurements. The experiment was set up mainly to investigate {alpha} detection properties of polycrystalline diamond films grown by the technique of microwave plasma enhanced chemical vapor deposition. For comparison, two commercially purchased diamond wafers were also investigated, i.e., one grown by the DC arc jet method, and the other, a type-IIa natural diamond wafer (not preselected). The best response to {alpha} particles was obtained using diamond thin-films grown by the microwave PECVD method, followed by the type-IIa natural diamond, and finally, the CVD diamond grown by the DC arc jet technique. (orig.). 43 refs.

  20. Thermoluminescence properties of undoped diamond films deposited using HF CVD technique

    Directory of Open Access Journals (Sweden)

    Paprocki K.

    2018-03-01

    Full Text Available Natural diamond has been considered as a perspective material for clinical radiation dosimetry due to its tissuebiocompatibility and chemical inertness. However, the use of natural diamond in radiation dosimetry has been halted by the high market price. The recent progress in the development of CVD techniques for diamond synthesis, offering the capability of growing high quality diamond layers, has renewed the interest in using this material in radiation dosimeters having small geometricalsizes. Polycrystalline CVD diamond films have been proposed as detectors and dosimeters of β and α radiation with prospective applications in high-energy photon dosimetry. In this work, we present a study on the TL properties of undoped diamond film samples grown by the hot filament CVD (HF CVD method and exposed to β and α radiation. The glow curves for both types of radiation show similar character and can be decomposed into three components. The dominant TL peaks are centered at around 610 K and exhibit activation energy of the order of 0.90 eV.

  1. Thermoluminescent properties of CVD diamond: applications to ionising radiation dosimetry

    International Nuclear Information System (INIS)

    Petitfils, A.

    2007-09-01

    Remarkable properties of synthetic diamond (human soft tissue equivalence, chemical stability, non-toxicity) make this material suitable for medical application as thermoluminescent dosimeter (TLD). This work highlights the interest of this material as radiotherapy TLD. In the first stage of this work, we looked after thermoluminescent (TL) and dosimetric properties of polycrystalline diamond made by Chemically Vapor Deposited (CVD) synthesis. Dosimetric characteristics are satisfactory as TLD for medical application. Luminescence thermal quenching on diamond has been investigated. This phenomenon leads to a decrease of dosimetric TL peak sensitivity when the heating rate increases. The second part of this work analyses the use of synthetic diamond as TLD in radiotherapy. Dose profiles, depth dose distributions and the cartography of an electron beam obtained with our samples are in very good agreement with results from an ionisation chamber. It is clearly shown that CVD) diamond is of interest to check beams of treatment accelerators. The use of these samples in a control of treatment with Intensity Modulated Radiation Therapy underlines good response of synthetic diamond in high dose gradient areas. These results indicate that CVD diamond is a promising material for radiotherapy dosimetry. (author)

  2. Natural and CVD type diamond detectors as dosimeters in hadrontherapy applications

    International Nuclear Information System (INIS)

    Cirrone, G.A.P.; Cuttone, G.; Rafaele, L.; Sabini, M.G.; De Angelis, C.; Onori, S.; Pacilio, M.; Bucciolini, M.; Bruzzi, M.; Sciortino, S.

    2003-01-01

    Diamond is potentially a suitable material for use as radiation dosimeter; the wide band gap results in low dark currents and low sensitivity to visible light, the high carrier mobility can give rapid response, the very high density of strong bonds in the crystal structure make diamond very resistant to radiation damage; moreover it is tissue equivalent. The more recent advances in the synthesis of polycrystalline diamond by chemical vapour deposition (CVD) techniques have allowed the synthesis of material with electronic properties suitable for dosimetric application. In this paper we will report the results obtained in the study of the response of a natural diamond dosimeter and a CVD one irradiated with 62 AMeV proton beams to demonstrate their possible application in protontherapy

  3. Hydrogen termination of CVD diamond films by high-temperature annealing at atmospheric pressure

    NARCIS (Netherlands)

    Seshan, V.; Ullien, D.; Castellanos-Gomez, A.; Sachdeva, S.; Murthy, D.H.K.; Savenije, T.J.; Ahmad, H.A.; Nunney, T.S.; Janssens, S.D.; Haenen, K.; Nesládek, M.; Van der Zant, H.S.J.; Sudhölter, E.J.R.; De Smet, L.C.P.M.

    2013-01-01

    A high-temperature procedure to hydrogenate diamond films using molecular hydrogen at atmospheric pressure was explored. Undoped and doped chemical vapour deposited (CVD) polycrystalline diamond films were treated according to our annealing method using a H2 gas flow down to ?50 ml/min (STP) at

  4. High collection efficiency CVD diamond alpha detectors

    International Nuclear Information System (INIS)

    Bergonzo, P.; Foulon, F.; Marshall, R.D.; Jany, C.; Brambilla, A.; McKeag, R.D.; Jackman, R.B.

    1998-01-01

    Advances in Chemical Vapor Deposited (CVD) diamond have enabled the routine use of this material for sensor device fabrication, allowing exploitation of its unique combination of physical properties (low temperature susceptibility (> 500 C), high resistance to radiation damage (> 100 Mrad) and to corrosive media). A consequence of CVD diamond growth on silicon is the formation of polycrystalline films which has a profound influence on the physical and electronic properties with respect to those measured on monocrystalline diamond. The authors report the optimization of physical and geometrical device parameters for radiation detection in the counting mode. Sandwich and co-planar electrode geometries are tested and their performances evaluated with regard to the nature of the field profile and drift distances inherent in such devices. The carrier drift length before trapping was measured under alpha particles and values as high as 40% of the overall film thickness are reported. Further, by optimizing the device geometry, they show that a gain in collection efficiency, defined as the induced charge divided by the deposited charge within the material, can be achieved even though lower bias values are used

  5. Development of CVD Diamond for Industrial Applications Final Report CRADA No. TC-2047-02

    Energy Technology Data Exchange (ETDEWEB)

    Caplan, M. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Olstad, R. [General Atomics, San Diego, CA (United States); Jory, H. [Communications and Power Industries, Palo Alto, CA (United States); Vikharov, A. L. [Russian Academy of Sciences (RAS), Moscow (Russian Federation)

    2017-09-08

    This project was a collaborative effort to develop and demonstrate a new millimeter microwave assisted chemical vapor deposition(CVD) process for manufacturing large diamond disks with greatly reduced processing times and costs from those now available. In the CVD process, carbon based gases (methane) and hydrogen are dissociated into plasma using microwave discharge and then deposited layer by layer as polycrystalline diamond onto a substrate. The available low frequency (2.45GHz) microwave sources used elsewhere (De Beers) result in low density plasmas and low deposition rates: 4 inch diamond disks take 6-8 weeks to process. The new system developed in this project uses a high frequency 30GHz Gyrotron as the microwave source and a quasi-optical CVD chamber resulting in a much higher density plasma which greatly reduced the diamond processing times (1-2 weeks)

  6. Thermoluminescent properties of CVD diamond: applications to ionising radiation dosimetry; Proprietes thermoluminescentes du diamant CVD: applications a la dosimetrie des rayonnements ionisants

    Energy Technology Data Exchange (ETDEWEB)

    Petitfils, A

    2007-09-15

    Remarkable properties of synthetic diamond (human soft tissue equivalence, chemical stability, non-toxicity) make this material suitable for medical application as thermoluminescent dosimeter (TLD). This work highlights the interest of this material as radiotherapy TLD. In the first stage of this work, we looked after thermoluminescent (TL) and dosimetric properties of polycrystalline diamond made by Chemically Vapor Deposited (CVD) synthesis. Dosimetric characteristics are satisfactory as TLD for medical application. Luminescence thermal quenching on diamond has been investigated. This phenomenon leads to a decrease of dosimetric TL peak sensitivity when the heating rate increases. The second part of this work analyses the use of synthetic diamond as TLD in radiotherapy. Dose profiles, depth dose distributions and the cartography of an electron beam obtained with our samples are in very good agreement with results from an ionisation chamber. It is clearly shown that CVD) diamond is of interest to check beams of treatment accelerators. The use of these samples in a control of treatment with Intensity Modulated Radiation Therapy underlines good response of synthetic diamond in high dose gradient areas. These results indicate that CVD diamond is a promising material for radiotherapy dosimetry. (author)

  7. Diamond detector technology: status and perspectives

    CERN Document Server

    Kagan, Harris; Artuso, M; Bachmair, F; Bäni, L; Bartosik, M; Beacham, J; Beck, H P; Bellini,, V; Belyaev, V; Bentele, B; Berdermann, E; Bergonzo, P; Bes, A; Brom, J-M; Bruzzi, M; Cerv, M; Chiodini, G; Chren, D; Cindro, V; Claus, G; Collot, J; Cumalat, J; Dabrowski, A; D'Alessandro, R; De Boer, W; Dehning, B; Dorfer, C; Dunser, M; Eremin, V; Eusebi, R; Forcolin, G; Forneris, J; Frais-Kölbl, H; Gan, K K; Gastal, M; Giroletti, C; Goffe, M; Goldstein, J; Golubev, A; Gorišek, A; Grigoriev, E; Grosse-Knetter, J; Grummer, A; Gui, B; Guthoff, M; Haughton, I; Hiti, B; Hits, D; Hoeferkamp, M; Hofmann, T; Hosslet, J; Hostachy, J-Y; Hügging, F; Hutton, C; Jansen, H; Janssen, J; Kanxheri, K; Kasieczka, G; Kass, R; Kassel, F; Kis, M; Kramberger, G; Kuleshov, S; Lacoste, A; Lagomarsino, S; Lo Giudice, A; Lukosi, E; Maazouzi, C; Mandic, I; Mathieu, C; Mcfadden, N; Menichelli, M; Mikuž, M; Morozzi, A; Moss, J; Mountain, R; Murphy, S; Muškinja, M; Oh, A; Oliviero, P; Passeri, D; Pernegger, H; Perrino, R; Picollo, F; Pomorski, M; Potenza, R; Quadt, A; Re, A; Reichmann, M; Riley, G; Roe, S; Sanz, D; Scaringella, M; Schaefer, D; Schmidt, C J; Schnetzer, S; Schreiner, T; Sciortino, S; Scorzoni, A; Seidel, S; Servoli, L; Sopko, B; Sopko, V; Spagnolo, S; Spanier, S; Stenson, K; Stone, R; Sutera, C; Taylor, Aaron; Traeger, M; Tromson, D; Trischuk, W; Tuve, C; Uplegger, L; Velthuis, J; Venturi, N; Vittone, E; Wagner, Stephen; Wallny, R; Wang, J C; Weingarten, J; Weiss, C; Wengler, T; Wermes, N; Yamouni, M; Zavrtanik, M

    2017-01-01

    The status of material development of poly-crystalline chemical vapor deposition (CVD) diamond is presented. We also present beam test results on the independence of signal size on incident par-ticle rate in charged particle detectors based on un-irradiated and irradiated poly-crystalline CVD diamond over a range of particle fluxes from 2 kHz/cm2 to 10 MHz/cm2. The pulse height of the sensors was measured with readout electronics with a peaking time of 6 ns. In addition the first beam test results from 3D detectors made with poly-crystalline CVD diamond are presented. Finally the first analysis of LHC data from the ATLAS Diamond Beam Monitor (DBM) which is based on pixelated poly-crystalline CVD diamond sensors bump-bonded to pixel readout elec-tronics is shown.

  8. Performance of CVD diamond as an optically and thermally stimulated luminescence dosemeter

    International Nuclear Information System (INIS)

    Preciado-Flores, S.; Schreck, M.; Melendrez, R.; Chernov, V.; Bernal, R.; Cruz-Vazquez, C.; Cruz-Zaragoza, E.; Barboza-Flores, M.

    2006-01-01

    Diamond is a material with extreme physical properties. Its radiation hardness, chemical inertness and tissue equivalence qualify it as an ideal material for radiation dosimetry. In the present work, the optically stimulated luminescence (OSL) and thermoluminescence (TL) characteristics of a 10 μm thick CVD diamond (polycrystalline diamond films prepared by chemical vapor deposition) film were studied in order to test its performance as a beta radiation dosemeter. The TL response is composed of four main TL glow peaks; two of these are in the range of 150-200 deg. C and two additional peaks in the 250-400 deg. C temperature range. The integrated TL as a function of radiation dose is linear up to 100 Gy and increases with increasing dose exposure. The dose dependence of the integrated OSL exhibits a similar behavior. The observed OSL/TL behavior for the CVD diamond film clearly demonstrate its capability for applications in radiation dosimetry with special relevance in medical dosimetry owing to the diamond's intrinsic material properties. (authors)

  9. Polycrystalline diamond on self-assembled detonation nanodiamond: a viable route for fabrication of all-diamond preformed microcomponents

    International Nuclear Information System (INIS)

    Terranova, M L; Orlanducci, S; Tamburri, E; Guglielmotti, V; Toschi, F; Hampai, D; Rossi, M

    2008-01-01

    Surface assisted self-assembly of detonation nanodiamond particles (with typical sizes in the range 4-10 nm) has been obtained using different fractions of colloidal aqueous dispersions as starting material. The relationship between dispersion properties and structure/geometry of the aggregates deposited on Si or glass plates has been investigated. A series of differently shaped free-standing nanodiamond structures has been prepared, analysed and used as templates for the growth of polycrystalline diamond layers by the chemical vapour deposition (CVD) technique. The possibility of obtaining textured coating with a relatively strong preferred orientation (within a solid angle of about 0.6 srad) is also reported. Overall, the coupling of nanodiamond self-assembling to the CVD diamond growth enables one to produce specimens with complex 3D architectures. The proposed microfabrication methodology could represent a viable route for the production of free-standing all-diamond microcomponents, with tailored shapes and predefined crystalline features, to be used for advanced electronic applications

  10. Polycrystalline diamond on self-assembled detonation nanodiamond: a viable route for fabrication of all-diamond preformed microcomponents

    Energy Technology Data Exchange (ETDEWEB)

    Terranova, M L; Orlanducci, S; Tamburri, E; Guglielmotti, V; Toschi, F [Dipartimento di Scienze e Tecnologie Chimiche, MINASlab, Universita di Roma ' Tor Vergata' , Via della Ricerca Scientifica, 00133 Roma (Italy); Hampai, D [INFN-LNF Via E Fermi 40, Frascati (Italy); Rossi, M [Dipartimento di Energetica, Universita di Roma ' Sapienza' , Via Antonio Scarpa 16, 00161 Roma (Italy)

    2008-10-15

    Surface assisted self-assembly of detonation nanodiamond particles (with typical sizes in the range 4-10 nm) has been obtained using different fractions of colloidal aqueous dispersions as starting material. The relationship between dispersion properties and structure/geometry of the aggregates deposited on Si or glass plates has been investigated. A series of differently shaped free-standing nanodiamond structures has been prepared, analysed and used as templates for the growth of polycrystalline diamond layers by the chemical vapour deposition (CVD) technique. The possibility of obtaining textured coating with a relatively strong <110> preferred orientation (within a solid angle of about 0.6 srad) is also reported. Overall, the coupling of nanodiamond self-assembling to the CVD diamond growth enables one to produce specimens with complex 3D architectures. The proposed microfabrication methodology could represent a viable route for the production of free-standing all-diamond microcomponents, with tailored shapes and predefined crystalline features, to be used for advanced electronic applications.

  11. Cyclic voltammetry response of an undoped CVD diamond electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Fabisiak, K., E-mail: kfab@ukw.edu.pl [Institute of Physics, Kazimierz Wielki University, Powstancow Wielkopolskich 2, 85-090 Bydgoszcz (Poland); Torz-Piotrowska, R. [Faculty of Chemical Technology and Engineering, UTLS Seminaryjna 3, 85-326 Bydgoszcz (Poland); Staryga, E. [Institute of Physics, Technical University of Lodz, Wolczanska 219, 90-924 Lodz (Poland); Szybowicz, M. [Faculty of Technical Physics, Poznan University of Technology, Nieszawska 13A, 60-965 Poznan (Poland); Paprocki, K.; Popielarski, P.; Bylicki, F. [Institute of Physics, Kazimierz Wielki University, Powstancow Wielkopolskich 2, 85-090 Bydgoszcz (Poland); Wrzyszczynski, A. [Institute of Physics, Technical University of Lodz, Wolczanska 219, 90-924 Lodz (Poland)

    2012-09-01

    Highlights: Black-Right-Pointing-Pointer Correlation was found between diamond quality and its electrochemical performance. Black-Right-Pointing-Pointer The electrode sensitivity depends on the content of sp{sup 2} carbon phase in diamond layer. Black-Right-Pointing-Pointer The sp{sup 2} carbon phase content has little influence on the CV peak separation ({Delta}E{sub p}). - Abstract: The polycrystalline undoped diamond layers were deposited on tungsten wire substrates by using hot filament chemical vapor deposition (HFCVD) technique. As a working gas the mixture of methanol in excess of hydrogen was used. The morphologies and quality of as-deposited films were monitored by means of scanning electron microscopy (SEM), X-ray diffraction (XRD) and Raman spectroscopy respectively. The electrochemical activity of the obtained diamond layers was monitored by using cyclic voltammetry measurements. Analysis of the ferrocyanide-ferricyanide couple at undoped diamond electrode suggests that electrochemical reaction at diamond electrode has a quasireversibile character. The ratio of the anodic and cathodic peak currents was always close to unity. In this work we showed that the amorphous carbon admixture in the CVD diamond layer has a crucial influence on its electrochemical performance.

  12. Application of CVD diamond film for radiation detection

    International Nuclear Information System (INIS)

    Zhou Haiyang; Zhu Xiaodong; Zhan Rujuan

    2005-01-01

    With the development of diamond synthesis at low pressure, the CVD diamond properties including electronic characteristics have improved continuously. Now the fabrication of electronic devices based on the CVD diamond has been one of hot research subjects in this field. Due to many unique advantages, such as high signal-noise ratio, fast time response, and normal output in extremely harsh surrounding, the CVD diamond radiation detector has attracted more and more interest. In this paper, we have reviewed the development and status of the CVD diamond radiation detector. The prospect of this detector is described. (authors)

  13. An assessment of radiotherapy dosimeters based on CVD grown diamond

    International Nuclear Information System (INIS)

    Ramkumar, S.; Buttar, C.M.; Conway, J.; Whitehead, A.J.; Sussman, R.S.; Hill, G.; Walker, S.

    2001-01-01

    Diamond is potentially a very suitable material for use as a dosimeter for radiotherapy. Its radiation hardness, the near tissue equivalence and chemical inertness are some of the characteristics of diamond, which make it well suited for its application as a dosimeter. Recent advances in the synthesis of diamond by chemical vapour deposition (CVD) technology have resulted in the improvement in the quality of material and increased its suitability for radiotherapy applications. We report in this paper, the response of prototype dosimeters based on two different types (CVD1 and CVD2) of CVD diamond to X-rays. The diamond devices were assessed for sensitivity, dependence of response on dose and dose rate, and compared with a Scanditronix silicon photon diode and a PTW natural diamond dosimeter. The diamond devices of CVD1 type showed an initial increase in response with dose, which saturates after ∼6 Gy. The diamond devices of CVD2 type had a response at low fields ( 1162.8 V/cm), the CVD2-type devices showed polarisation and dose-rate dependence. The sensitivity of the CVD diamond devices varied between 82 and 1300 nC/Gy depending upon the sample type and the applied voltage. The sensitivity of CVD diamond devices was significantly higher than that of natural diamond and silicon dosimeters. The results suggest that CVD diamond devices can be fabricated for successful use in radiotherapy applications

  14. Undoped CVD diamond films for electrochemical applications

    International Nuclear Information System (INIS)

    Mosinska, Lidia; Fabisiak, Kazimierz; Paprocki, Kazimierz; Kowalska, Magdalena; Popielarski, Pawel; Szybowicz, Miroslaw

    2013-01-01

    By using different deposition conditions, the CVD diamond films with different qualities and orientation were grown by the hot-filament CVD technique. The object of this article is to summarize and discuss relation between structural, physical and electrochemical properties of different diamond electrodes. The physical properties of the Hot Filament CVD microcrystalline diamond films are analyzed by scanning electron microscopy and Raman spectroscopy. In presented studies two different electrodes were used of the diamond grain sizes around 200 nm and 10 μm, as it was estimated from SEM picture. The diamond layers quality was checked on basis of FWHM (Full width at Half Maximum) of 1332 cm −1 diamond Raman peak. The ratio of sp 3 /sp 2 carbon bonds was determined by 1550 cm −1 G band and 1350 cm −1 D band in the Raman spectrum. The electrochemical properties were analyzed using (CV) cyclic voltammetry measurements in aqueous solutions. The sensitivity of undoped diamond electrodes depends strongly on diamond film quality and concentration of amorphous carbon phase in the diamond layer

  15. Micro-strip sensors based on CVD diamond

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D. E-mail: dirk.meier@cern.ch; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M

    2000-10-11

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  16. Micro-strip sensors based on CVD Diamond

    CERN Document Server

    Adam, W; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Koeth, T W; Krammer, Manfred; Lo Giudice, A; Lü, R; MacLynne, L; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Perera, L P; Pirollo, S; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S R; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Wetstein, M; White, C; Zeuner, W; Zoeller, M M

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  17. Micro-strip sensors based on CVD diamond

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation

  18. Micro-strip sensors based on CVD diamond

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2000-10-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  19. Surface modification on 304 SS by plasma-immersed ion implantation to improve the adherence of a CVD diamond film

    Energy Technology Data Exchange (ETDEWEB)

    Nono, M.C.A.; Corat, E.J. (Instituto Nacional de Pesquisas Espaciais, Sao Jose dos Campos, SP (Brazil)); Ueda, M.; Stellati, C.; Barroso, J.J.; Conrad, J.R.; Shamim, M.; Fetherston, P.; Sridharan, K.

    1999-02-01

    The weak adherence of chemical vapor deposited (CVD) diamond films on steel substrates is an important factor that limits the technological applications of these materials. We are interested in enhancing the film-to-substrate adherence by using substrate surfaces with a previous modification by plasma-immersed ion implantation (PIII). In this work we present and discuss the preliminary results on phase formation, microstructure and adherence evaluations. CVD diamond films were deposited on 304 SS, the surface of which was modified by implanted carbon ions. The samples were first submitted to implantation with 30 keV carbon ions at different doses. Later, these surfaces were examined by Auger spectroscopy (SAM), scanning electron microscopy (SEM) and X-ray diffraction. We observed a metastable carbide phase formed from carbon and iron, which is considered to be a good polycrystalline material for the nucleation of CVD diamond crystals. The CVD diamond nucleation and film growth were observed by SEM and Raman spectroscopy. These results are discussed with the emphasis on the carbon diffusion barrier on the substrate surfaces. The preliminary results of diamond growth were encouraging. (orig.) 7 refs.

  20. Modifying thin film diamond for electronic applications

    International Nuclear Information System (INIS)

    Baral, B.

    1999-01-01

    The unique combination of properties that diamond possesses are being exploited in both electronic and mechanical applications. An important step forward in the field has been the ability to grow thin film diamond by chemical vapour deposition (CVD) methods and to control parameters such as crystal orientation, dopant level and surface roughness. An extensive understanding of the surface of any potential electronic material is vital to fully comprehend its behaviour within device structures. The surface itself ultimately controls key aspects of device performance when interfaced with other materials. This study has provided insight into important chemical reactions on polycrystalline CVD diamond surfaces, addressing how certain surface modifications will ultimately affect the properties of the material. A review of the structure, bonding, properties and potential of diamond along with an account of the current state of diamond technology and CVD diamond growth is provided. The experimental chapter reviews bulk material and surface analytical techniques employed in this work and is followed by an investigation of cleaning treatments for polycrystalline CVD diamond aimed at removing non-diamond carbon from the surface. Selective acid etch treatments are compared and contrasted for efficacy with excimer laser irradiation and hydrogen plasma etching. The adsorption/desorption kinetics of potential dopant-containing precursors on polycrystalline CVD diamond surfaces have been investigated to compare their effectiveness at introducing dopants into the diamond during the growth stage. Both boron and sulphur-containing precursor compounds have been investigated. Treating polycrystalline CVD diamond in various atmospheres / combination of atmospheres has been performed to enhance electron field emission from the films. Films which do not emit electrons under low field conditions can be modified such that they emit at fields as low as 10 V/μm. The origin of this enhancement

  1. Recent results on CVD diamond radiation sensors

    Science.gov (United States)

    Weilhammer, P.; Adam, W.; Bauer, C.; Berdermann, E.; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; v. d. Eijk, R.; van Eijk, B.; Fallou, A.; Fish, D.; Fried, M.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Knopfle, K. T.; Krammer, M.; Manfredi, P. F.; Meier, D.; LeNormand; Pan, L. S.; Pernegger, H.; Pernicka, M.; Plano, R.; Re, V.; Riester, J. L.; Roe, S.; Roff; Rudge, A.; Schieber, M.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R. J.; Tesarek, R.; Thomson, G. B.; Trawick, M.; Trischuk, W.; Turchetta, R.; RD 42 Collaboration

    1998-02-01

    CVD diamond radiation sensors are being developed for possible use in trackers in the LHC experiments. The diamond promises to be radiation hard well beyond particle fluences that can be tolerated by Si sensors. Recent results from the RD 42 collaboration on charge collection distance and on radiation hardness of CVD diamond samples will be reported. Measurements with diamond tracking devices, both strip detectors and pixel detectors, will be discussed. Results from beam tests using a diamond strip detector which was read out with fast, 25 ns shaping time, radiation-hard pipeline electronics will be presented.

  2. CVD diamond detectors and dosimeters

    International Nuclear Information System (INIS)

    Manfredotti, C.; Fizzotti, F.; LoGiudice, A.; Paolini, C.; Oliviero, P.; Vittone, E.; Torino Univ., Torino

    2002-01-01

    Natural diamond, because of its well-known properties of tissue-equivalence, has recorded a wide spreading use in radiotherapy planning with electron linear accelerators. Artificial diamond dosimeters, as obtained by Chemical Vapour Deposition (CVD) could be capable to offer the same performances and they can be prepared in different volumes and shapes. The dosimeter sensitivity per unit volume may be easily proved to be better than standard ionization microchamber. We have prepared in our laboratory CVD diamond microchamber (diamond tips) in emispherical shape with an external diameter of 200 μm, which can be used both as X-ray beam profilometers and as microdosimeters for small field applications like stereotaxy and also for in vivo applications. These dosimeters, which are obtained on a wire substrate that could be either metallic or SiC or even graphite, display good performances also as ion or synchrotron X-rays detectors

  3. Development of CVD diamond radiation detectors

    CERN Document Server

    Adam, W; Berdermann, E; Bogani, F; Borchi, E; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fisch, D; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E A; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Knöpfle, K T; Krammer, Manfred; Manfredi, P F; Meier, D; Mishina, M; Le Normand, F; Pan, L S; Pernegger, H; Pernicka, Manfred; Pirollo, S; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Tapper, R J; Tesarek, R J; Thomson, G B; Trawick, M L; Trischuk, W; Turchetta, R; Walsh, A M; Wedenig, R; Weilhammer, Peter; Ziock, H J; Zoeller, M M

    1998-01-01

    Diamond is a nearly ideal material for detecting ionizing radiation. Its outstanding radiation hardness, fast charge collection and low leakage current allow a diamond detector to be used in high ra diation, high temperature and in aggressive chemical media. We have constructed charged particle detectors using high quality CVD diamond. Characterization of the diamond samples and various detect ors are presented in terms of collection distance, $d=\\mu E \\tau$, the average distance electron-hole pairs move apart under the influence of an electric field, where $\\mu$ is the sum of carrier mo bilities, $E$ is the applied electric field, and $\\tau$ is the mobility weighted carrier lifetime. Over the last two years the collection distance increased from $\\sim$ 75 $\\mu$m to over 200 $\\mu$ m. With this high quality CVD diamond a series of micro-strip and pixel particle detectors have been constructed. These devices were tested to determine their position resolution and signal to n oise performance. Diamond detectors w...

  4. Diamond Pixel Detectors and 3D Diamond Devices

    International Nuclear Information System (INIS)

    Venturi, N.

    2016-01-01

    Results from detectors of poly-crystalline chemical vapour deposited (pCVD) diamond are presented. These include the first analysis of data of the ATLAS Diamond Beam Monitor (DBM). The DBM module consists of pCVD diamond sensors instrumented with pixellated FE-I4 front-end electronics. Six diamond telescopes, each with three modules, are placed symmetrically around the ATLAS interaction point. The DBM tracking capabilities allow it to discriminate between particles coming from the interaction point and background particles passing through the ATLAS detector. Also, analysis of test beam data of pCVD DBM modules are presented. A new low threshold tuning algorithm based on noise occupancy was developed which increases the DBM module signal to noise ratio significantly. Finally first results from prototypes of a novel detector using pCVD diamond and resistive electrodes in the bulk, forming a 3D diamond device, are discussed. 3D devices based on pCVD diamond were successfully tested with test beams at CERN. The measured charge is compared to that of a strip detector mounted on the same pCVD diamond showing that the 3D device collects significantly more charge than the planar device.

  5. Polycrystalline diamond detectors with three-dimensional electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Lagomarsino, S., E-mail: lagomarsino@fi.infn.it [University of Florence, Department of Physics, Via Sansone 1, 50019 Sesto Fiorentino (Italy); INFN Firenze, Via B. Rossi 1, 50019 Sesto Fiorentino (Italy); Bellini, M. [INO-CNR Firenze, Largo E. Fermi 6, 50125 Firenze (Italy); Brianzi, M. [INFN Firenze, Via B. Rossi 1, 50019 Sesto Fiorentino (Italy); Carzino, R. [Smart Materials-Nanophysics, Istituto Italiano di Tecnologia, Genova, Via Morego 30, 16163 Genova (Italy); Cindro, V. [Joseph Stefan Institute, Jamova Cesta 39, 1000 Ljubljana (Slovenia); Corsi, C. [University of Florence, Department of Physics, Via Sansone 1, 50019 Sesto Fiorentino (Italy); LENS Firenze, Via N. Carrara 1, 50019 Sesto Fiorentino (Italy); Morozzi, A.; Passeri, D. [INFN Perugia, Perugia (Italy); Università degli Studi di Perugia, Dipartimento di Ingegneria, via G. Duranti 93, 06125 Perugia (Italy); Sciortino, S. [University of Florence, Department of Physics, Via Sansone 1, 50019 Sesto Fiorentino (Italy); INFN Firenze, Via B. Rossi 1, 50019 Sesto Fiorentino (Italy); Servoli, L. [INFN Perugia, Perugia (Italy)

    2015-10-01

    The three-dimensional concept in diamond detectors has been applied, so far, to high quality single-crystal material, in order to test this technology in the best available conditions. However, its application to polycrystalline chemical vapor deposited diamond could be desirable for two reasons: first, the short inter-electrode distance of three-dimensional detectors should improve the intrinsically lower collection efficiency of polycrystalline diamond, and second, at high levels of radiation damage the performances of the poly-crystal material are not expected to be much lower than those of the single crystal one. We report on the fabrication and test of three-dimensional polycrystalline diamond detectors with several inter-electrode distances, and we demonstrate that their collection efficiency is equal or higher than that obtained with conventional planar detectors fabricated with the same material. - Highlights: • Pulsed laser fabrication of polycristalline diamond detectors with 3D electrodes. • Measurement of the charge collection efficiency (CCE) under beta irradiation. • Comparation between the CCE of 3D and conventional planar diamond sensors. • A rationale for the behavior of three-dimensional and planar sensors is given.

  6. A CVD diamond beam telescope for charged particle tracking

    CERN Document Server

    Adam, W; Bergonzo, P; de Boer, Wim; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Dulinski, W; Doroshenko, J; Doucet, M; van Eijk, B; Fallou, A; Fischer, P; Fizzotti, F; Kania, D R; Gan, K K; Grigoriev, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kaplon, J; Kass, R; Keil, M; Knöpfle, K T; Koeth, T W; Krammer, Manfred; Meuser, S; Lo Giudice, A; MacLynne, L; Manfredotti, C; Meier, D; Menichelli, D; Mishina, M; Moroni, L; Noomen, J; Oh, A; Pan, L S; Pernicka, Manfred; Perera, L P; Riester, J L; Roe, S; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Trischuk, W; Tromson, D; Vittone, E; Weilhammer, Peter; Wermes, N; Wetstein, M; Zeuner, W; Zöller, M

    2002-01-01

    CVD diamond is a radiation hard sensor material which may be used for charged particle tracking near the interaction region in experiments at high luminosity colliders. The goal of the work described here is to investigate the use of several detector planes made of CVD diamond strip sensors for charged particle tracking. Towards this end a tracking telescope composed entirely of CVD diamond planes has been constructed. The telescope was tested in muon beams and its tracking capability has been investigated.

  7. Diamond detectors for high energy physics experiments

    Science.gov (United States)

    Bäni, L.; Alexopoulos, A.; Artuso, M.; Bachmair, F.; Bartosik, M.; Beacham, J.; Beck, H.; Bellini, V.; Belyaev, V.; Bentele, B.; Berdermann, E.; Bergonzo, P.; Bes, A.; Brom, J.-M.; Bruzzi, M.; Cerv, M.; Chiodini, G.; Chren, D.; Cindro, V.; Claus, G.; Collot, J.; Cumalat, J.; Dabrowski, A.; D'Alessandro, R.; Dauvergne, D.; de Boer, W.; Dorfer, C.; Dünser, M.; Eremin, V.; Eusebi, R.; Forcolin, G.; Forneris, J.; Frais-Kölbl, H.; Gallin-Martel, L.; Gallin-Martel, M. L.; Gan, K. K.; Gastal, M.; Giroletti, C.; Goffe, M.; Goldstein, J.; Golubev, A.; Gorišek, A.; Grigoriev, E.; Grosse-Knetter, J.; Grummer, A.; Gui, B.; Guthoff, M.; Haughton, I.; Hiti, B.; Hits, D.; Hoeferkamp, M.; Hofmann, T.; Hosslet, J.; Hostachy, J.-Y.; Hügging, F.; Hutton, C.; Jansen, H.; Janssen, J.; Kagan, H.; Kanxheri, K.; Kasieczka, G.; Kass, R.; Kassel, F.; Kis, M.; Konovalov, V.; Kramberger, G.; Kuleshov, S.; Lacoste, A.; Lagomarsino, S.; Lo Giudice, A.; Lukosi, E.; Maazouzi, C.; Mandic, I.; Mathieu, C.; Menichelli, M.; Mikuž, M.; Morozzi, A.; Moss, J.; Mountain, R.; Murphy, S.; Muškinja, M.; Oh, A.; Oliviero, P.; Passeri, D.; Pernegger, H.; Perrino, R.; Picollo, F.; Pomorski, M.; Potenza, R.; Quadt, A.; Re, A.; Reichmann, M.; Riley, G.; Roe, S.; Sanz, D.; Scaringella, M.; Schaefer, D.; Schmidt, C. J.; Schnetzer, S.; Sciortino, S.; Scorzoni, A.; Seidel, S.; Servoli, L.; Smith, S.; Sopko, B.; Sopko, V.; Spagnolo, S.; Spanier, S.; Stenson, K.; Stone, R.; Sutera, C.; Tannenwald, B.; Taylor, A.; Traeger, M.; Tromson, D.; Trischuk, W.; Tuve, C.; Uplegger, L.; Velthuis, J.; Venturi, N.; Vittone, E.; Wagner, S.; Wallny, R.; Wang, J. C.; Weingarten, J.; Weiss, C.; Wengler, T.; Wermes, N.; Yamouni, M.; Zavrtanik, M.

    2018-01-01

    Beam test results of the radiation tolerance study of chemical vapour deposition (CVD) diamond against different particle species and energies is presented. We also present beam test results on the independence of signal size on incident particle rate in charged particle detectors based on un-irradiated and irradiated poly-crystalline CVD diamond over a range of particle fluxes from 2 kHz/cm2 to 10 MHz/cm2. The pulse height of the sensors was measured with readout electronics with a peaking time of 6 ns. In addition functionality of poly-crystalline CVD diamond 3D devices was demonstrated in beam tests and 3D diamond detectors are shown to be a promising technology for applications in future high luminosity experiments.

  8. Origin, state of the art and some prospects of the diamond CVD

    CERN Document Server

    Spitsyn, B V; Alexenko, A E

    2000-01-01

    A short review on the diamond CVD origin, together with its state of the art and some prospects was given. New hybrid methods of the diamond CVD permit to gain 1.2 to 6 times of growth rate in comparison with ordinary diamond CVD's. Recent results on n-type diamond film synthesis through phosphorus doping in the course of the CVD process are briefly discussed. In comparison with high-pressure diamond synthesis, the CVD processes open new facets of the diamond as ultimate crystal for science and technology evolution. It was stressed that, mainly on the basis of new CVDs of diamond, the properties of natural diamond are not only reproduced, but can be surpassed. As examples, mechanical (fracture resistance), physical (thermal conductivity), and chemical (oxidation stability) properties are mentioned. Some present issues in the field are considered.

  9. Status of the R&D activity on diamond particle detectors

    Science.gov (United States)

    Adam, W.; Bellini, B.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Doroshenko, J.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Karl, C.; Kass, R.; Keil, M.; Knöpfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Marshall, R. D.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Perera, L.; Pernicka, M.; Polesello, P.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2003-09-01

    Chemical Vapor Deposited (CVD) polycrystalline diamond has been proposed as a radiation-hard alternative to silicon in the extreme radiation levels occurring close to the interaction region of the Large Hadron Collider. Due to an intense research effort, reliable high-quality polycrystalline CVD diamond detectors, with up to 270 μm charge collection distance and good spatial uniformity, are now available. The most recent progress on the diamond quality, on the development of diamond trackers and on radiation hardness studies are presented and discussed.

  10. Recent results with CVD diamond trackers

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; White, C.; Ziock, H.; Zoeller, M

    1999-08-01

    We present recent results on the use of Chemical Vapor Deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1 x 1 cm{sup 2} diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 {mu}s shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2 x 4 cm{sup 2} diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch.

  11. Recent results with CVD diamond trackers

    CERN Document Server

    Adam, W; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Runólfsson, O; Russ, J; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trawick, M L; Trischuk, W; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; White, C; Ziock, H J; Zöller, M

    1999-01-01

    We present recent results on the use of chemical vapor deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1*1 cm/sup 2/ diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 mu s shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2*4 cm/sup 2/ diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch. (6 refs).

  12. Friction Properties of Polished Cvd Diamond Films Sliding against Different Metals

    Science.gov (United States)

    Lin, Zichao; Sun, Fanghong; Shen, Bin

    2016-11-01

    Owing to their excellent mechanical and tribological properties, like the well-known extreme hardness, low coefficient of friction and high chemical inertness, chemical vapor deposition (CVD) diamond films have found applications as a hard coating for drawing dies. The surface roughness of the diamond films is one of the most important attributes to the drawing dies. In this paper, the effects of different surface roughnesses on the friction properties of diamond films have been experimentally studied. Diamond films were fabricated using hot filament CVD. The WC-Co (Co 6wt.%) drawing dies were used as substrates. A gas mixture of acetone and hydrogen gas was used as the feedstock gas. The CVD diamond films were polished using mechanical polishing. Polished diamond films with three different surface roughnesses, as well as the unpolished diamond film, were fabricated in order to study the tribological performance between the CVD diamond films and different metals with oil lubrication. The unpolished and polished CVD diamond films are characterized with scanning electron microscope (SEM), atomic force microscope (AFM), surface profilometer, Raman spectrum and X-ray diffraction (XRD). The friction examinations were carried out by using a ball-on-plate type reciprocating friction tester. Low carbide steel, stainless steel, copper and aluminum materials were used as counterpart balls. Based on this study, the results presented the friction coefficients between the polished CVD films and different metals. The friction tests demonstrate that the smooth surface finish of CVD diamond films is beneficial for reducing their friction coefficients. The diamond films exhibit low friction coefficients when slid against the stainless steel balls and low carbide steel ball, lower than that slid against copper ball and aluminum ball, attributed to the higher ductility of copper and aluminum causing larger amount of wear debris adhering to the sliding interface and higher adhesive

  13. Status of the R and D activity on diamond particle detectors

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Bellini, B.; Berdermann, E.; Bergonzo, P.; Boer, W. de; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M. E-mail: bruzzi@fi.infn.it; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Doroshenko, J.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Karl, C.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Perera, L.; Pernicka, M.; Polesello, P.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M

    2003-09-21

    Chemical Vapor Deposited (CVD) polycrystalline diamond has been proposed as a radiation-hard alternative to silicon in the extreme radiation levels occurring close to the interaction region of the Large Hadron Collider. Due to an intense research effort, reliable high-quality polycrystalline CVD diamond detectors, with up to 270 {mu}m charge collection distance and good spatial uniformity, are now available. The most recent progress on the diamond quality, on the development of diamond trackers and on radiation hardness studies are presented and discussed.

  14. Comparative evaluation of CVD diamond technologies

    Energy Technology Data Exchange (ETDEWEB)

    Anthony, T.R. [General Electric Corporate Research & Development Center, Schenectady, NY (United States)

    1993-01-01

    Chemical vapor deposition (CVD) of diamonds occurs from hydrogen-hydrocarbon gas mixtures in the presence of atomic hydrogen at subatmospheric pressures. Most CVD methods are based on different means of generating and transporting atomic hydrogen in a particular system. Evaluation of these different techniques involves their capital costs, material costs, energy costs, labor costs and the type and quality of diamond that they produce. Currently, there is no universal agreement on which is the best technique and technique selection has been largely driven by the professional background of the user as well as the particular application of interest. This article discusses the criteria for evaluating a process for low-pressure deposition of diamond. Next, a brief history of low-pressure diamond synthesis is reviewed. Several specific processes are addressed, including the hot filament process, hot filament electron-assisted chemical vapor deposition, and plasma generation of atomic hydrogen by glow discharge, microwave discharge, low pressure radio frequency discharge, high pressure DC discharge, high pressure microwave discharge jets, high pressure RF discharge, and high and low pressure flames. Other types of diamond deposition methods are also evaluated. 101 refs., 15 figs.

  15. CVD diamond pixel detectors for LHC experiments

    Energy Technology Data Exchange (ETDEWEB)

    Wedenig, R.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Wagner, A.; Walsh, A.M.; Weilhammer, P.; White, C.; Zeuner, W.; Ziock, H.; Zoeller, M.; Blanquart, L.; Breugnion, P.; Charles, E.; Ciocio, A.; Clemens, J.C.; Dao, K.; Einsweiler, K.; Fasching, D.; Fischer, P.; Joshi, A.; Keil, M.; Klasen, V.; Kleinfelder, S.; Laugier, D.; Meuser, S.; Milgrome, O.; Mouthuy, T.; Richardson, J.; Sinervo, P.; Treis, J.; Wermes, N

    1999-08-01

    This paper reviews the development of CVD diamond pixel detectors. The preparation of the diamond pixel sensors for bump-bonding to the pixel readout electronics for the LHC and the results from beam tests carried out at CERN are described.

  16. CVD diamond pixel detectors for LHC experiments

    International Nuclear Information System (INIS)

    Wedenig, R.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Wagner, A.; Walsh, A.M.; Weilhammer, P.; White, C.; Zeuner, W.; Ziock, H.; Zoeller, M.; Blanquart, L.; Breugnion, P.; Charles, E.; Ciocio, A.; Clemens, J.C.; Dao, K.; Einsweiler, K.; Fasching, D.; Fischer, P.; Joshi, A.; Keil, M.; Klasen, V.; Kleinfelder, S.; Laugier, D.; Meuser, S.; Milgrome, O.; Mouthuy, T.; Richardson, J.; Sinervo, P.; Treis, J.; Wermes, N.

    1999-01-01

    This paper reviews the development of CVD diamond pixel detectors. The preparation of the diamond pixel sensors for bump-bonding to the pixel readout electronics for the LHC and the results from beam tests carried out at CERN are described

  17. CVD diamonds as thermoluminescent detectors for medical applications

    International Nuclear Information System (INIS)

    Marczewska, B.; Olko, P.; Nesladek, M.; Waligorski, M.P.R.; Kerremans, Y.

    2002-01-01

    Diamond is believed to be a promising material for medical dosimetry due to its tissue equivalence, mechanical and radiation hardness, and lack of solubility in water or in disinfecting agents. A number of diamond samples, obtained under different growth conditions at Limburg University, using the chemical vapour deposition (CVD) technique, was tested as thermoluminescence dosemeters. Their TL glow curve, TL response after doses of gamma rays, fading, and so on were studied at dose levels and for radiation modalities typical for radiotherapy. The investigated CVD diamonds displayed sensitivity comparable with that of MTS-N (Li:Mg,Ti) detectors, signal stability (reproducibility after several readouts) below 10% (1 SD) and no fading was found four days after irradiation. A dedicated CVD diamond plate was grown, cut into 20 detector chips (3x3x0.5 mm) and used for measuring the dose-depth distribution at different depths in a water phantom, for 60 Co and six MV X ray radiotherapy beams. Due to the sensitivity of diamond to ambient light, it was difficult to achieve reproducibility comparable with that of standard LiF detectors. (author)

  18. CVD diamond metallization and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Fraimovitch, D., E-mail: dimitryf@mail.tau.ac.il [Faculty of Engineering, Tel Aviv University, 69978 Tel Aviv (Israel); Adelberd, A.; Marunko, S. [Faculty of Engineering, Tel Aviv University, 69978 Tel Aviv (Israel); Lefeuvre, G. [Micron Semiconductor Ltd. Royal Buildings, Marlborough Road, Lancing Business Park, BN15 8SJ (United Kingdom); Ruzin, A. [Faculty of Engineering, Tel Aviv University, 69978 Tel Aviv (Israel)

    2017-02-11

    In this study we compared three diamond substrate grades: polycrystalline, optical grade single crystal, and electronic grade single crystal for detector application. Beside the bulk type, the choice of contact material, pre-treatment, and sputtering process details have shown to alter significantly the diamond detector performance. Characterization of diamond substrate permittivity and losses indicate grade and crystallinity related, characteristic differences for frequencies in 1 kHz–1 MHz range. Substantial grade related variations were also observed in surface electrostatic characterization performed by contact potential difference (CPD) mode of an atomic force microscope. Study of conductivity variations with temperature reveal that bulk trap energy levels are also dependent on the crystal grade.

  19. CVD diamond metallization and characterization

    International Nuclear Information System (INIS)

    Fraimovitch, D.; Adelberd, A.; Marunko, S.; Lefeuvre, G.; Ruzin, A.

    2017-01-01

    In this study we compared three diamond substrate grades: polycrystalline, optical grade single crystal, and electronic grade single crystal for detector application. Beside the bulk type, the choice of contact material, pre-treatment, and sputtering process details have shown to alter significantly the diamond detector performance. Characterization of diamond substrate permittivity and losses indicate grade and crystallinity related, characteristic differences for frequencies in 1 kHz–1 MHz range. Substantial grade related variations were also observed in surface electrostatic characterization performed by contact potential difference (CPD) mode of an atomic force microscope. Study of conductivity variations with temperature reveal that bulk trap energy levels are also dependent on the crystal grade.

  20. CVD diamond pixel detectors for LHC experiments

    CERN Document Server

    Wedenig, R; Bauer, C; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Runólfsson, O; Russ, J; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trawick, M L; Trischuk, W; Vittone, E; Wagner, A; Walsh, A M; Weilhammer, Peter; White, C; Zeuner, W; Ziock, H J; Zöller, M

    1999-01-01

    This paper reviews the development of CVD diamond pixel detectors. The preparation of the diamond pixel sensors for bump-bonding to the pixel readout electronics for the LHC and the results from beam tests carried out at CERN are described. (9 refs).

  1. Diamond radiation detectors II. CVD diamond development for radiation detectors

    International Nuclear Information System (INIS)

    Kania, D.R.

    1997-01-01

    Interest in radiation detectors has supplied some of the impetus for improving the electronic properties of CVD diamond. In the present discussion, we will restrict our attention to polycrystalhne CVD material. We will focus on the evolution of these materials over the past decade and the correlation of detector performance with other properties of the material

  2. Probing Growth-Induced Anisotropic Thermal Transport in High-Quality CVD Diamond Membranes by Multifrequency and Multiple-Spot-Size Time-Domain Thermoreflectance.

    Science.gov (United States)

    Cheng, Zhe; Bougher, Thomas; Bai, Tingyu; Wang, Steven Y; Li, Chao; Yates, Luke; Foley, Brian M; Goorsky, Mark; Cola, Baratunde A; Faili, Firooz; Graham, Samuel

    2018-02-07

    understanding of thermal conductivity inhomogeneity in high-quality CVD polycrystalline diamond that is important for applications in the thermal management of high-power electronics.

  3. First dose-map measured with a polycrystalline diamond 2D dosimeter under an intensity modulated radiotherapy beam

    Energy Technology Data Exchange (ETDEWEB)

    Scaringella, M., E-mail: scaringella@gmail.com [Università di Firenze, Dipartimento di Ingegneria dell’Informazione, Firenze (Italy); Zani, M. [INFN Sezione di Firenze, Sesto Fiorentino, Firenze (Italy); Università di Firenze, Dipartimento di Scienze Biomediche, Sperimentali e Cliniche, Firenze (Italy); Baldi, A. [Università di Firenze, Dipartimento di Ingegneria Industriale, Firenze (Italy); Bucciolini, M. [INFN Sezione di Firenze, Sesto Fiorentino, Firenze (Italy); Università di Firenze, Dipartimento di Scienze Biomediche, Sperimentali e Cliniche, Firenze (Italy); Pace, E.; Sio, A. de [INFN Sezione di Firenze, Sesto Fiorentino, Firenze (Italy); Università di Firenze, Dipartimento di Fisica e Astronomia, Sesto Fiorentino, Firenze (Italy); Talamonti, C. [INFN Sezione di Firenze, Sesto Fiorentino, Firenze (Italy); Università di Firenze, Dipartimento di Scienze Biomediche, Sperimentali e Cliniche, Firenze (Italy); Bruzzi, M. [INFN Sezione di Firenze, Sesto Fiorentino, Firenze (Italy); Università di Firenze, Dipartimento di Fisica e Astronomia, Sesto Fiorentino, Firenze (Italy)

    2015-10-01

    A prototype of bidimensional dosimeter made on a 2.5×2.5 cm{sup 2} active area polycrystalline Chemical Vapour Deposited (pCVD) diamond film, equipped with a matrix of 12×12 contacts connected to the read-out electronics, has been used to evaluate a map of dose under Intensity Modulated Radiation Therapy (IMRT) fields for a possible application in pre-treatment verifications of cancer treatments. Tests have been performed under a 6–10 MVRX beams with IMRT fields for prostate and breast cancer. Measurements have been taken by measuring the 144 pixels in different positions, obtained by shifting the device along the x/y axes to span a total map of 14.4×10 cm{sup 2}. Results show that absorbed doses measured by our pCVD diamond device are consistent with those calculated by the Treatment Planning System (TPS)

  4. Surface structuring of boron doped CVD diamond by micro electrical discharge machining

    Science.gov (United States)

    Schubert, A.; Berger, T.; Martin, A.; Hackert-Oschätzchen, M.; Treffkorn, N.; Kühn, R.

    2018-05-01

    Boron doped diamond materials, which are generated by Chemical Vapor Deposition (CVD), offer a great potential for the application on highly stressed tools, e. g. in cutting or forming processes. As a result of the CVD process rough surfaces arise, which require a finishing treatment in particular for the application in forming tools. Cutting techniques such as milling and grinding are hardly applicable for the finish machining because of the high strength of diamond. Due to its process principle of ablating material by melting and evaporating, Electrical Discharge Machining (EDM) is independent of hardness, brittleness or toughness of the workpiece material. EDM is a suitable technology for machining and structuring CVD diamond, since boron doped CVD diamond is electrically conductive. In this study the ablation characteristics of boron doped CVD diamond by micro electrical discharge machining are investigated. Experiments were carried out to investigate the influence of different process parameters on the machining result. The impact of tool-polarity, voltage and discharge energy on the resulting erosion geometry and the tool wear was analyzed. A variation in path overlapping during the erosion of planar areas leads to different microstructures. The results show that micro EDM is a suitable technology for finishing of boron doped CVD diamond.

  5. Assessment of CVD diamond as a thermoluminescence dosemeter material

    International Nuclear Information System (INIS)

    Borchi, E.; Furetta, C.; Leroy, C.

    1996-01-01

    Diamond has a low atomic number (Z = 6) and is therefore essentially soft tissue (Z = 7.4) equivalent. As such, diamond is an attractive material for applications in dosimetry in which the radiation absorption in the sensor material should be as close as possible to that of soft tissue. Synthetic diamond prepared by chemical vapour deposition (CVD) offers an attractive option for this application. The aim of the present work is to report results on the thermoluminescence (TL) properties of CVD diamond samples. The annealing procedures, the linearity of the TL response as a function of dose, a short-term fading experiment and some kinetic properties have been investigated and are reported here. (Author)

  6. Deposition and micro electrical discharge machining of CVD-diamond layers incorporated with silicon

    Science.gov (United States)

    Kühn, R.; Berger, T.; Prieske, M.; Börner, R.; Hackert-Oschätzchen, M.; Zeidler, H.; Schubert, A.

    2017-10-01

    In metal forming, lubricants have to be used to prevent corrosion or to reduce friction and tool wear. From an economical and ecological point of view, the aim is to avoid the usage of lubricants. For dry deep drawing of aluminum sheets it is intended to apply locally micro-structured wear-resistant carbon based coatings onto steel tools. One type of these coatings are diamond layers prepared by chemical vapor deposition (CVD). Due to the high strength of diamond, milling processes are unsuitable for micro-structuring of these layers. In contrast to this, micro electrical discharge machining (micro EDM) is a suitable process for micro-structuring CVD-diamond layers. Due to its non-contact nature and its process principle of ablating material by melting and evaporating, it is independent of the hardness, brittleness or toughness of the workpiece material. In this study the deposition and micro electrical discharge machining of silicon incorporated CVD-diamond (Si-CVD-diamond) layers were presented. For this, 10 µm thick layers were deposited on molybdenum plates by a laser-induced plasma CVD process (LaPlas-CVD). For the characterization of the coatings RAMAN- and EDX-analyses were conducted. Experiments in EDM were carried out with a tungsten carbide tool electrode with a diameter of 90 µm to investigate the micro-structuring of Si-CVD-diamond. The impact of voltage, discharge energy and tool polarity on process speed and resulting erosion geometry were analyzed. The results show that micro EDM is a suitable technology for micro-structuring of silicon incorporated CVD-diamond layers.

  7. CVD diamond based soft X-ray detector with fast response

    International Nuclear Information System (INIS)

    Li Fang; Hou Lifei; Su Chunxiao; Yang Guohong; Liu Shenye

    2010-01-01

    A soft X-ray detector has been made with high quality chemical vapor deposited (CVD) diamond and the electrical structure of micro-strip. Through the measurement of response time on a laser with the pulse width of 10 ps, the full width at half maximum of the data got in the oscilloscope was 115 ps. The rise time of the CVD diamond detector was calculated to be 49 ps. In the experiment on the laser prototype facility, the signal got by the CVD diamond detector was compared with that got by a soft X-ray spectrometer. Both signals coincided well. The detector is proved to be a kind of reliable soft X-ray detector with fast response and high signal-to-noise ratio. (authors)

  8. Correlation of CVD Diamond Electron Emission with Film Properties

    Science.gov (United States)

    Bozeman, S. P.; Baumann, P. K.; Ward, B. L.; Nemanich, R. J.; Dreifus, D. L.

    1996-03-01

    Electron field emission from metals is affected by surface morphology and the properties of any dielectric coating. Recent results have demonstrated low field electron emission from p-type diamond, and photoemission measurements have identified surface treatments that result in a negative electron affinity (NEA). In this study, the field emission from diamond is correlated with surface treatment, surface roughness, and film properties (doping and defects). Electron emission measurements are reported on diamond films synthesized by plasma CVD. Ultraviolet photoemission spectroscopy indicates that the CVD films exhibit a NEA after exposure to hydrogen plasma. Field emission current-voltage measurements indicate "threshold voltages" ranging from approximately 20 to 100 V/micron.

  9. Performance of irradiated CVD diamond micro-strip sensors

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; Plano, R.; Somalwar, S.V.; Thomson, G.B.

    2002-01-01

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article, we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a β-source and the performance before and after intense (>10 15 /cm 2 ) proton- and pion-irradiations. We find that low dose irradiation increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiation with protons 2.2x10 15 p/cm 2 lowers the signal-to-noise ratio slightly. Intense irradiation with pions 2.9x10 15 π/cm 2 lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations

  10. Performance of irradiated CVD diamond micro-strip sensors

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; Plano, R.; Somalwar, S. V.; Thomson, G. B.

    2002-01-01

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article, we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a β-source and the performance before and after intense (>10 15/cm 2) proton- and pion-irradiations. We find that low dose irradiation increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiation with protons 2.2×10 15 p/ cm2 lowers the signal-to-noise ratio slightly. Intense irradiation with pions 2.9×10 15 π/ cm2 lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations.

  11. Performance of irradiated CVD diamond micro-strip sensors

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D. E-mail: dirk.meier@cern.ch; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; Plano, R.; Somalwar, S.V.; Thomson, G.B

    2002-01-11

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article, we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a {beta}-source and the performance before and after intense (>10{sup 15}/cm{sup 2}) proton- and pion-irradiations. We find that low dose irradiation increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiation with protons 2.2x10{sup 15} p/cm{sup 2} lowers the signal-to-noise ratio slightly. Intense irradiation with pions 2.9x10{sup 15} {pi}/cm{sup 2} lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations.

  12. Performance of irradiated CVD diamond micro-strip sensors

    CERN Document Server

    Adam, W; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Koeth, T W; Krammer, Manfred; Lo Giudice, A; Lü, R; MacLynne, L; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Noomen, J; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Perera, L P; Pirollo, S; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S R; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Wetstein, M; White, C; Zeuner, W; Zöller, M

    2002-01-01

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a $\\beta$-source and the performance before and after intense ($>10^{15}/{\\rm cm^2}$) proton- and pion-irradiations. We find that low dose irradiations increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiations with protons ($2.2\\times 10^{15}~p/{\\rm cm^2}$) lowers the signal-to-noise ratio slightly. Intense irradiation with pions ($2.9\\times 10^{15}~\\pi/{\\rm cm^2}$) lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations.

  13. Software optimization for electrical conductivity imaging in polycrystalline diamond cutters

    Energy Technology Data Exchange (ETDEWEB)

    Bogdanov, G.; Ludwig, R. [Department of Electrical and Computer Engineering, Worcester Polytechnic Institute, 100 Institute Rd, Worcester, MA 01609 (United States); Wiggins, J.; Bertagnolli, K. [US Synthetic, 1260 South 1600 West, Orem, UT 84058 (United States)

    2014-02-18

    We previously reported on an electrical conductivity imaging instrument developed for measurements on polycrystalline diamond cutters. These cylindrical cutters for oil and gas drilling feature a thick polycrystalline diamond layer on a tungsten carbide substrate. The instrument uses electrical impedance tomography to profile the conductivity in the diamond table. Conductivity images must be acquired quickly, on the order of 5 sec per cutter, to be useful in the manufacturing process. This paper reports on successful efforts to optimize the conductivity reconstruction routine, porting major portions of it to NVIDIA GPUs, including a custom CUDA kernel for Jacobian computation.

  14. TSC response of irradiated CVD diamond films

    CERN Document Server

    Borchi, E; Bucciolini, M; Guasti, A; Mazzocchi, S; Pirollo, S; Sciortino, S

    1999-01-01

    CVD diamond films have been irradiated with electrons, sup 6 sup 0 Co photons and protons in order to study the dose response to exposure to different particles and energies and to investigate linearity with dose. The Thermally Stimulated Current (TSC) has been studied as a function of the dose delivered to polymethilmetacrilate (PMMA) in the range from 1 to 12 Gy with 20 MeV electrons from a linear accelerator. The TSC spectrum has revealed the presence of two components with peak temperatures of about 470 and 520 K, corresponding to levels lying in the diamond band gap with activation energies of the order of 0.7 - 1 eV. After the subtraction of the exponential background the charge emitted during the heating scan has been evaluated and has been found to depend linearly on the dose. The thermally emitted charge of the CVD diamond films has also been studied using different particles. The samples have been irradiated with the same PMMA dose of about 2 Gy with 6 and 20 MeV electrons from a Linac, sup 6 sup 0 ...

  15. Multilayer CVD Diamond Coatings in the Machining of an Al6061-15 Vol % Al2O3 Composite

    Directory of Open Access Journals (Sweden)

    Mohammadmehdi Shabani

    2017-10-01

    Full Text Available Ceramic cutting inserts coated with ten-fold alternating micro- and nanocrystalline diamond (MCD/NCD layers grown by hot filament chemical vapor deposition (CVD were tested in the machining of an Al based metallic matrix composite (MMC containing 15 vol % Al2O3 particles. Inserts with total coating thicknesses of approximately 12 µm and 24 µm were produced and used in turning: cutting speed (v of 250 to 1000 m·min−1; depth of cut (DOC from 0.5 to 3 mm and feed (f between 0.1 and 0.4 mm·rev−1. The main cutting force increases linearly with DOC (ca. 294 N per mm and with feed (ca. 640 N per mm·rev−1. The thicker coatings work within the following limits: DOC up to 1.5 mm and maximum speeds of 750 m·min−1 for feeds up to 0.4 mm·rev−1. Flank wear is predominant but crater wear is also observed due to the negative tool normal rake. Layer-by-layer wear of the tool rake, and not total delamination from the substrate, evidenced one of the advantages of using a multilayer design. The MCD/NCD multilayer diamond coated indexable inserts have longer tool life than most CVD diamond systems and behave as well as most polycrystalline diamond (PCD tools.

  16. CVD Diamond, DLC, and c-BN Coatings for Solid Film Lubrication

    Science.gov (United States)

    Miyoshi, Kazuhisa

    1998-01-01

    When the main criteria for judging coating performance were coefficient of friction and wear rate, which had to be less than 0.1 and 10(exp -6) mm(exp 3)/N-m, respectively, carbon- and nitrogen-ion-implanted, fine-grain CVD diamond and DLC ion beam deposited on fine-grain CVD diamond met the requirements regardless of environment (vacuum, nitrogen, and air).

  17. Growth of high quality AlN films on CVD diamond by RF reactive magnetron sputtering

    Science.gov (United States)

    Chen, Liang-xian; Liu, Hao; Liu, Sheng; Li, Cheng-ming; Wang, Yi-chao; An, Kang; Hua, Chen-yi; Liu, Jin-long; Wei, Jun-jun; Hei, Li-fu; Lv, Fan-xiu

    2018-02-01

    A highly oriented AlN layer has been successfully grown along the c-axis on a polycrystalline chemical vapor deposited (CVD) diamond by RF reactive magnetron sputtering. Structural, morphological and mechanical properties of the heterostructure were investigated by Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Transmission Electron Microscopy (TEM), X-ray diffraction (XRD), Nano-indentation and Four-probe meter. A compact AlN film was demonstrated on the diamond layer, showing columnar grains and a low surface roughness of 1.4 nm. TEM results revealed a sharp AlN/diamond interface, which was characterized by the presence of a distinct 10 nm thick buffer layer resulting from the initial AlN growth stage. The FWHM of AlN (002) diffraction peak and its rocking curve are as low as 0.41° and 3.35° respectively, indicating a highly preferred orientation along the c-axis. AlN sputtered films deposited on glass substrates show a higher bulk resistivity (up to 3 × 1012 Ω cm), compared to AlN films deposited on diamond (∼1010 Ω cm). Finally, the film hardness and Young's modulus of AlN films on diamond are 25.8 GPa and 489.5 GPa, respectively.

  18. Nucleation of microwave plasma CVD diamond on molybdenum (Mo) substrate

    International Nuclear Information System (INIS)

    Inderjeet, K.; Ramesh, S.

    2000-01-01

    Molybdenum is a metal, which is gaining increasing significance in industrial applications. The main use of Mo is as all alloying element added in small amounts to steel, irons and non- ferrous alloys in order to enhance the strength, toughness and wear resistance. Mo is also vastly being employed in the automotive and aircraft industries, mainly due to its low coefficient of friction. Diamond, on be other hand, is a unique material for innumerable applications because of its usual combination of physical and chemical properties. Several potential applications can be anticipated for diamond in many sectors including electronics, optics, as protective corrosion resistant coatings, cutting tools, etc. With the enhancement in science and technology, diamond microcrystals and thin films are now being produced from the vapour phase by a variety of chemical vapour deposition (CVD) techniques; such as microwave plasma CVD. With such technology being made available, it is envisage that diamond-coated molybdenum would further enhance the performance and to open up new avenue for Mo in various industries. Therefore, it is the aim of the present work to study the nucleation and growth of diamond particles on Mo surface by employing microwave plasma CVD (MAPCVD). In the present work, diamond deposition was carried out in several stages by varying the deposition distance. The nucleation and growth rate were studied using scanning electron microscopy (SEM). In addition, the existence of diamond was verified by X-ray diffraction (XRD) analysis. It has been found that the nucleation and growth rate of diamond particles were influenced by the deposition height between the substrate and plasma. Under the optimum condition, well defined diamond crystallites distributed homogeneously throughout the surface, could be obtained. Some of the important parameters controlling the deposition and growth of diamond particles on Mo surface are discussed. (author)

  19. Chemical vapour deposition synthetic diamond: materials, technology and applications

    International Nuclear Information System (INIS)

    Balmer, R S; Brandon, J R; Clewes, S L; Dhillon, H K; Dodson, J M; Friel, I; Inglis, P N; Madgwick, T D; Markham, M L; Mollart, T P; Perkins, N; Scarsbrook, G A; Twitchen, D J; Whitehead, A J; Wilman, J J; Woollard, S M

    2009-01-01

    Substantial developments have been achieved in the synthesis of chemical vapour deposition (CVD) diamond in recent years, providing engineers and designers with access to a large range of new diamond materials. CVD diamond has a number of outstanding material properties that can enable exceptional performance in applications as diverse as medical diagnostics, water treatment, radiation detection, high power electronics, consumer audio, magnetometry and novel lasers. Often the material is synthesized in planar form; however, non-planar geometries are also possible and enable a number of key applications. This paper reviews the material properties and characteristics of single crystal and polycrystalline CVD diamond, and how these can be utilized, focusing particularly on optics, electronics and electrochemistry. It also summarizes how CVD diamond can be tailored for specific applications, on the basis of the ability to synthesize a consistent and engineered high performance product.

  20. Neutron Detection at JET Using Artificial Diamond Detectors

    International Nuclear Information System (INIS)

    Pillon, M.; Angelone, M.; Lattanzi, D.; Milani, E.; Tucciarone, A.; Verona-Rinati, G.; Popovichev, S.; Murari, A.

    2006-01-01

    Three CVD diamond detectors are installed and operated at Joint European Torus, Culham laboratory. Diamond detectors are very promising detectors to be used in fusion environment due to their radiation hardness, gamma discrimination properties, fast response and spectroscopy properties. The aim of this work is to test and qualify artificial diamond detectors as neutron counters and spectrometers on a large fusion device. Two of these detectors are polycrystalline CVD diamond films of thickness 30 mm and 40 mm respectively while the third detector is a monocrystalline CVD of 110 mm thickness. The first polycrystalline diamond is covered with 4 mm of LiF 95 % enriched in 6 Li and enclosed inside a polyethylene moderator cap. This detector is used with a standard electronic chain made with a charge preamplifier, shaping amplifier and threshold discriminator. It is used to measure the time-dependent total neutron yield produced by JET plasma and its signal is compared with JET fission chambers. The second polycrystalline diamond is connected with a fast (1 GHz) preamplifier and a threshold discriminator via a long (about 100 m) double screened cable. This detector is used to detect the 14 MeV neutrons produced by triton burn-up using the reaction 12 C (n, α) 9 Be which occurs in diamond and a proper discriminator threshold. The response of this detector is fast and the electronic is far from the high radiation environment. Its signal is used in comparison with JET silicon diodes. The third monocrystalline diamond is also connected using a standard electronic and is used to demonstrate the feasibility of 14 MeV neutron spectrometry at about 3% peak resolution taking advantage of the spectrometer properties of monocrystalline diamonds. The results obtained are presented in this work. (author)

  1. Crystal growth of CVD diamond and some of its peculiarities

    CERN Document Server

    Piekarczyk, W

    1999-01-01

    Experiments demonstrate that CVD diamond can form in gas environments that are carbon undersaturated with respect to diamond. This fact is, among others, the most serious violation of principles of chemical thermodynamics. In this $9 paper it is shown that none of the principles is broken when CVD diamond formation is considered not a physical process consisting in growth of crystals but a chemical process consisting in accretion of macro-molecules of polycyclic $9 saturated hydrocarbons belonging to the family of organic compounds the smallest representatives of which are adamantane, diamantane, triamantane and so forth. Since the polymantane macro-molecules are in every respect identical with $9 diamond single crystals with hydrogen-terminated surfaces, the accretion of polymantane macro- molecules is a process completely equivalent to the growth of diamond crystals. However, the accretion of macro-molecules must be $9 described in a way different from that used to describe the growth of crystals because so...

  2. Nitrogen and hydrogen related infrared absorption in CVD diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Titus, E. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal)]. E-mail: elby@mec.ua.pt; Ali, N. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Cabral, G. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Madaleno, J.C. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Neto, V.F. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Gracio, J. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Ramesh Babu, P [Materials Ireland, Polymer research Centre, School of Physics, Dublin (Ireland); Sikder, A.K. [Department of Physics, Indian Institute of Technology (IIT), Bombay (India); Okpalugo, T.I. [Northern Ireland Bio-Engineering Centre, NIBEC, University of Ulster (United Kingdom); Misra, D.S. [Department of Physics, Indian Institute of Technology (IIT), Bombay (India)

    2006-09-25

    In this paper, we investigate on the presence of hydrogen and nitrogen related infrared absorptions in chemical vapour deposited (CVD) diamond films. Investigations were carried out in cross sections of diamond windows, deposited using hot filament CVD (HFCVD). The results of Scanning Electron Microscopy (SEM), Fourier Transform Infrared (FTIR) and Raman spectroscopy carried out in a cross section of self-standing diamond sheets are presented. The FTIR spectra showed several features that have not been reported before. In order to confirm the frequency of nitrogen related vibrations, ab-initio calculations were carried out using GAMESS program. The investigations showed the presence of several C-N related peaks in one-phonon (1000-1333 cm{sup -1}). The deconvolution of the spectra in the three-phonon region (2700-3150 cm{sup -1}) also showed a number of vibration modes corresponding to sp {sup m}CH {sub n} phase of carbon. Elastic recoil detection analysis (ERDA) was employed to compare the H content measured using FTIR technique. Using these measurements we point out that the oscillator strength of the different IR modes varies depending upon the structure and H content of CVD diamond sheets.

  3. CVD diamond substrates for electronic devices

    International Nuclear Information System (INIS)

    Holzer, H.

    1996-03-01

    In this study the applicability of chemical vapor deposition (CVD) diamond as a material for heat spreaders was investigated. Economical evaluations on the production of heat spreaders were also performed. For the diamond synthesis the hot-filament and microwave method were used respectively. The deposition parameters were varied in a way that free standing diamond layers with a thickness of 80 to 750 microns and different qualities were obtained. The influence of the deposition parameters on the relevant film properties was investigated and discussed. With both the hot-filament and microwave method it was possible to deposit diamond layers having a thermal conductivity exceeding 1200 W/mK and therefore to reach the quality level for commercial uses. The electrical resistivity was greater than 10 12 Ωcm. The investigation of the optical properties was done by Raman-, IR- and cathodoluminescence spectroscopy. Because of future applications of diamond-aluminium nitride composites as highly efficient heat spreaders diamond deposition an AIN was investigated. An improved substrate pretreatment prior to diamond deposition showed promising results for better performance of such composite heat spreaders. Both free standing layers and diamond-AIN composites could be cut by a CO2 Laser in Order to get an exact size geometry. A reduction of the diamond surface roughness was achieved by etching with manganese powder or cerium. (author)

  4. Commissioning and first operation of the pCVD diamond ATLAS Beam Conditions Monitor

    CERN Document Server

    Dobos, D

    2009-01-01

    The main aim of the ATLAS Beam Conditions Monitor is to protect the ATLAS Inner Detector silicon trackers from high radiation doses caused by LHC beam incidents, e.g. magnet failures. The BCM uses in total 16 1x1 cm2 500 μm thick polycrystalline chemical vapor deposition (pCVD) diamond sensors. They are arranged in 8 positions around the ATLAS LHC interaction point. Time difference measurements with sub nanosecond resolution are performed to distinguish between particles from a collision and spray particles from a beam incident. An abundance of the latter leads the BCM to provoke an abort of the LHC beam. A FPGA based readout system with a sampling rate of 2.56 GHz performs the online data analysis and interfaces the results to ATLAS and the beam abort system. The BCM diamond sensors, the detector modules and their readout system are described. Results of the operation with the first LHC beams are reported and results of commissioning and timing measurements (e.g. with cosmic muons) in preparation for first ...

  5. Thermally stimulated currents in polycrystalline diamond films and their application to ultraviolet dosimetry

    International Nuclear Information System (INIS)

    Trajkov, E.; Prawer, S.

    1999-01-01

    Quantifying individual exposure to solar ultraviolet radiation (UVR) is imperative to understanding the epidemiology of UVR related skin cancer. The development of personal UVR dosimeters is hence essential for obtaining data regarding individual UVR exposure, which can then be used to establish appropriate protective measures for occupational and recreational exposure. Because diamond is a tissue equivalent material and has a wide band-gap, CVD polycrystalline diamond has been proposed for use in solar-blind UV dosimetry. It has been reported that the photoconductivity in polycrystalline diamond films is enhanced after UV illumination Photo-generated carriers can be trapped at some deep levels after illumination. Because these levels are deep the thermal release of carriers is a slow process at room temperature. Therefore the new carrier distribution reached after illumination can result in a metastable state because the temperature is too low to restore the initial equilibrium. The sample can be bought back to initial equilibrium by heating. If the current is recorded during heating of the samples one can observe current peaks corresponding to the thermal release of trapped carriers, the so-called thermally stimulated currents (TSC). From first-order kinetics, we find that the TSC intensity is proportional to the initial density of trapped carriers, n to . Since n to varies with the radiation dose, the measurement of TSC can find an application in radiation dosimetry since the measurement of TSC gives a direct measure of that dose. Nitrogen can be used to introduce deep traps in diamond. This investigation will involve examining the affect of the nitrogen concentration on the irradiation response of the films. Furthermore, we will analyse the fading rate of the TSC signal. If diamond films are to have a practical application in UVR dosimetry, then ideally we require a linear relationship between the dose response and the TSC, and we also require a low fading rate

  6. Stress analysis of CVD diamond window for ECH system

    International Nuclear Information System (INIS)

    Takahashi, Koji

    2001-03-01

    The stress analysis of a chemical vapor deposition (CVD) diamond window for Electron Cyclotron Heating and Current Drive (ECH/ECCD) system of fusion reactors is described. It was found that the real size diamond window (φ aper =70mm, t=2.25mm) withstood 14.5 atm. (1.45 MPa). The calculation results of the diamond window by ABAQUS code agree well with the results of the pressure test. The design parameters of the torus diamond window for a vacuum and a safety barrier were also obtained. (author)

  7. Natural occurrence of pure nano-polycrystalline diamond from impact crater

    Science.gov (United States)

    Ohfuji, Hiroaki; Irifune, Tetsuo; Litasov, Konstantin D.; Yamashita, Tomoharu; Isobe, Futoshi; Afanasiev, Valentin P.; Pokhilenko, Nikolai P.

    2015-10-01

    Consolidated bodies of polycrystalline diamond with grain sizes less than 100 nm, nano-polycrystalline diamond (NPD), has been experimentally produced by direct conversion of graphite at high pressure and high temperature. NPD has superior hardness, toughness and wear resistance to single-crystalline diamonds because of its peculiar nano-textures, and has been successfully used for industrial and scientific applications. Such sintered nanodiamonds have, however, not been found in natural mantle diamonds. Here we identified natural pure NPD, which was produced by a large meteoritic impact about 35 Ma ago in Russia. The impact diamonds consist of well-sintered equigranular nanocrystals (5-50 nm), similar to synthetic NPD, but with distinct [111] preferred orientation. They formed through the martensitic transformation from single-crystal graphite. Stress-induced local fragmentation of the source graphite and subsequent rapid transformation to diamond in the limited time scale result in multiple diamond nucleation and suppression of the overall grain growth, producing the unique nanocrystalline texture of natural NPD. A huge amount of natural NPD is expected to be present in the Popigai crater, which is potentially important for applications as novel ultra-hard material.

  8. The adhesion and tribology analysis of polycrystalline diamond coated on Si3N4 substrate

    International Nuclear Information System (INIS)

    Hamzah, E.; Purniawan, A.

    2007-01-01

    Cauliflower and octahedral structure of polycrystalline diamond was deposited on silicon nitride (Si 3 N 4 ) substrate by microwave plasma assisted chemical vapor deposition (MPACVD). In our earlier work, the effects of deposition parameters namely, % Methane (CH 4 ) diluted in hydrogen (H 2 ), microwave power and chamber pressure on surface morphology were studied. In the present work the polycrystalline diamond coating adhesion and tribology behaviour were investigated. Rockwell C hardness tester and pin-on-disk tribometer were used to determine the adhesion and tribology properties on diamond coating, respectively. The morphology of the diamond before and after indentation was observed using field emission scanning electron microscopy (FESEM). Based on the adhesion analysis results, it was found that octahedral morphology has better adhesion than cauliflower structure. It was indicated by few cracks and less peel-off than cauliflower structure of polycrystalline diamond after indentation. Based on tribology analysis, polycrystalline diamond coated on substrate has better tribology properties than uncoated substrate. (author)

  9. Polycrystalline diamond film UV detectors for excimer lasers

    International Nuclear Information System (INIS)

    Ralchenko, V G; Savel'ev, A V; Konov, Vitalii I; Mazzeo, G; Spaziani, F; Conte, G; Polyakov, V I

    2006-01-01

    Photoresistive metal-semiconductor-metal detectors based on polycrystalline diamond films are fabricated for recording cw and pulsed UV radiation. The detectors have a high spectral selectivity (the UV-to-VIS response ratio is ∼10 5 ) and a temporal resolution of the order of 10 9 s. 'Solar-blind' photostable diamond detectors are promising for applications in UV lithography, laser micromachining, medicine, and space research. (letters)

  10. An electrical conductivity inspection methodology of polycrystalline diamond cutters

    Science.gov (United States)

    Bogdanov, G.; Wiggins, J.; Bertagnolli, K.; Ludwig, R.

    2012-05-01

    The polycrystalline diamond cutter (PDC) is widely used in oil and gas drilling operations. It is manufactured by sintering diamond powder onto a tungsten carbide substrate at 6 GPa and 1500 C. During sintering, molten cobalt from the substrate infiltrates the diamond table. The residual metal content correlates with cutter performance. We present an instrument that employs electrical impedance tomography capable of imaging the 3D metal content distribution in the diamond table. These images can be used to predict cutter performance as well as detect flaws.

  11. Ion beam induced surface graphitization of CVD diamond for x-ray beam position monitor applications

    International Nuclear Information System (INIS)

    Liu, Chian; Shu, D.; Kuzay, T.M.; Wen, L.; Melendres, C.A.; Argonne National Lab., IL

    1996-01-01

    The Advanced Photon Source at ANL is a third-generation synchrotron facility that generates powerful x-ray beams on its undulator beamlines. It is important to know the position and angle of the x- ray beam during experiments. Due to very high heat flux levels, several patented x-ray beam position monitors (XBPM) exploiting chemical vapor deposition (CVD) diamond have been developed. These XBPMs have a thin layer of low-atomic-mass metallic coating so that photoemission from the x rays generate a minute but measurable current for position determination. Graphitization of the CVD diamond surface creates a very thin, intrinsic and conducting layer that can stand much higher temperatures and minimal x-ray transmission losses compared to the coated metallic layers. In this paper, a laboratory sputter ion source was used to transform selected surfaces of a CVD diamond substrate into graphite. The effect of 1-5 keV argon ion bombardment on CVD diamond surfaces at various target temperatures from 200 to 500 C was studied using Auger electron spectroscopy and in-situ electrical resistivity measurements. Graphitization after the ion bombardment has been confirmed and optimum conditions for graphitization studied. Raman spectroscopy was used to identify the overall diamond structure in the bulk of CVD diamond substrate after the ion bombardments. It was found that target temperature plays an important role in stability and electrical conductivity of the irradiated CVD diamonds

  12. A CVD Diamond Detector for (n,a) Cross-Section Measurements

    CERN Document Server

    Weiss, Christina; Griesmayer, Erich; Guerrero, Carlos

    A novel detector based on the chemical vapor deposition (CVD) diamond technology has been developed in the framework of this PhD, for the experimental determination of (n,a) cross-sections at the neutron time-of-flight facility n_TOF at CERN. The 59Ni(n,a)56Fe cross-section, which is relevant for astrophysical questions as well as for risk-assessment studies in nuclear technology, has been measured in order to validate the applicability of the detector for such experiments. The thesis is divided in four parts. In the introductory part the motivation for measuring (n,a) cross-sections, the experimental challenges for such measurements and the reasons for choosing the CVD diamond technology for the detector are given. This is followed by the presentation of the n_TOF facility, an introduction to neutron-induced nuclear reactions and a brief summary of the interaction of particles with matter. The CVD diamond technology and the relevant matters related to electronics are given as well in this first part of the t...

  13. Proton Irradiation of CVD Diamond Detectors for High Luminosity Experiments at the LHC

    CERN Document Server

    Meier, D; Bauer, C; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E A; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Jany, C; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Knöpfle, K T; Krammer, Manfred; Manfredi, P F; Marshall, R D; Mishina, M; Le Normand, F; Pan, L S; Palmieri, V G; Pernegger, H; Pernicka, Manfred; Peitz, A; Pirollo, S; Pretzl, Klaus P; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Tapper, R J; Tesarek, R J; Thomson, G B; Trawick, M L; Trischuk, W; Turchetta, R; Walsh, A M; Wedenig, R; Weilhammer, Peter; Ziock, H J; Zöller, M

    1999-01-01

    CVD diamond shows promising properties for use as a position sensitive detector for experiments in the highest radiation areas at the Large Hadron Collider. In order to study the radiation hardn ess of diamond we exposed CVD diamond detector samples to 24~GeV/$c$ and 500~MeV protons up to a fluence of $5\\times 10^{15}~p/{\\rm cm^2}$. We measured the charge collection distance, the ave rage distance electron hole pairs move apart in an external electric field, and leakage currents before, during, and after irradiation. The charge collection distance remains unchanged up to $1\\ times 10^{15}~p/{\\rm cm^2}$ and decreases by $\\approx$40~\\% at $5\\times 10^{15}~p/{\\rm cm^2}$. Leakage currents of diamond samples were below 1~pA before and after irradiation. The particle indu ced currents during irradiation correlate well with the proton flux. In contrast to diamond, a silicon diode, which was irradiated for comparison, shows the known large increase in leakage curren t. We conclude that CVD diamond detectors are radia...

  14. Morphology of Diamond Layers Grown on Different Facets of Single Crystal Diamond Substrates by a Microwave Plasma CVD in CH4-H2-N2 Gas Mixtures

    Directory of Open Access Journals (Sweden)

    Evgeny E. Ashkinazi

    2017-06-01

    Full Text Available Epitaxial growth of diamond films on different facets of synthetic IIa-type single crystal (SC high-pressure high temperature (HPHT diamond substrate by a microwave plasma CVD in CH4-H2-N2 gas mixture with the high concentration (4% of nitrogen is studied. A beveled SC diamond embraced with low-index {100}, {110}, {111}, {211}, and {311} faces was used as the substrate. Only the {100} face is found to sustain homoepitaxial growth at the present experimental parameters, while nanocrystalline diamond (NCD films are produced on other planes. This observation is important for the choice of appropriate growth parameters, in particular, for the production of bi-layer or multilayer NCD-on-microcrystalline diamond (MCD superhard coatings on tools when the deposition of continuous conformal NCD film on all facet is required. The development of the film morphology with growth time is examined with SEM. The structure of hillocks, with or without polycrystalline aggregates, that appear on {100} face is analyzed, and the stress field (up to 0.4 GPa within the hillocks is evaluated based on high-resolution mapping of photoluminescence spectra of nitrogen-vacancy NV optical centers in the film.

  15. Effect of doping on electronic states in B-doped polycrystalline CVD diamond films

    International Nuclear Information System (INIS)

    Elsherif, O S; Vernon-Parry, K D; Evans-Freeman, J H; May, P W

    2012-01-01

    High-resolution Laplace deep-level transient spectroscopy (LDLTS) and thermal admittance spectroscopy (TAS) have been used to determine the effect of boron (B) concentration on the electronic states in polycrystalline chemical vapour deposition diamond thin films grown on silicon by the hot filament method. A combination of high-resolution LDLTS and direct-capture cross-sectional measurements was used to investigate whether the deep electronic states present in the layers originated from point or extended defects. There was good agreement between data on deep electronic levels obtained from DLTS and TAS experiments. Two hole traps, E1 (0.29 eV) and E2 (0.53 eV), were found in a film with a boron content of 1 × 10 19 cm −3 . Both these levels and an additional level, E3 (0.35 eV), were found when the B content was increased to 4 × 10 19 cm −3 . Direct capture cross-sectional measurements of levels E1 and E2 show an unusual dependence on the fill-pulse duration which is interpreted as possibly indicating that the levels are part of an extended defect. The E3 level found in the more highly doped film consisted of two closely spaced levels, both of which show point-like defect characteristics. The E1 level may be due to B-related extended defects within the grain boundaries, whereas the ionization energy of the E2 level is in agreement with literature values from ab initio calculations for B–H complexes. We suggest that the E3 level is due to isolated B-related centres in bulk diamond. (paper)

  16. Effect of pulse biasing on the morphology of diamond films grown by hot filament CVD

    International Nuclear Information System (INIS)

    Beake, B.D.; Hussain, I.U.; Rego, C.; Ahmed, W.

    1999-01-01

    There has been considerable interest in the chemical vapour deposition (CVD) of diamond due to its unique mechanical, optical and electronic properties, which make it useful for many applications. For use in optical and electronic applications further developments in the CVD process are required to control the surface morphology and crystal size of the diamond films. These will require a detailed understanding of both the nucleation and growth processes that effect the properties. The technique of bias enhanced nucleation (BEN) of diamond offers better reproducibility than conventional pre-treatment methods such as mechanical abrasion. Atomic force microscopy (AFM) and scanning electron microscopy (SEM) have been used study the surface modification of diamond films on silicon substrates during pulse biased growth in a hot filament CVD reactor. Pre-abraded silicon substrates were subjected to a three-step sequential growth process: (i) diamond deposition under standard CVD conditions, (ii) bias pre-treatment and (iii) deposition under standard conditions. The results show that the bias pre-treatment time is a critical parameter controlling the surface morphology and roughness of the diamond films deposited. Biasing reduces the surface roughness from 152 nm for standard CVD diamond to 68 nm for the 2.5 minutes pulse biased film. Further increase in the bias time results in an increase in surface roughness and crystallite size. (author)

  17. A beam radiation monitor based on CVD diamonds for SuperB

    Science.gov (United States)

    Cardarelli, R.; Di Ciaccio, A.

    2013-08-01

    Chemical Vapor Deposition (CVD) diamond particle detectors are in use in the CERN experiments at LHC and at particle accelerator laboratories in Europe, USA and Japan mainly as beam monitors. Nowadays it is considered a proven technology with a very fast signal read-out and a very high radiation tolerance suitable for measurements in high radiation environment zones i.e. near the accelerators beam pipes. The specific properties of CVD diamonds make them a prime candidate for measuring single particles as well as high-intensity particle cascades, for timing measurements on the sub-nanosecond scale and for beam protection systems in hostile environments. A single-crystalline CVD (scCVD) diamond sensor, read out with a new generation of fast and high transition frequency SiGe bipolar transistor amplifiers, has been tested for an application as radiation monitor to safeguard the silicon vertex tracker in the SuperB detector from excessive radiation damage, cumulative dose and instantaneous dose rates. Test results with 5.5 MeV alpha particles from a 241Am radioactive source and from electrons from a 90Sr radioactive source are presented in this paper.

  18. Electrochemical applications of CVD diamond

    International Nuclear Information System (INIS)

    Pastor-Moreno, Gustavo

    2002-01-01

    Diamond technology has claimed an important role in industry since non-expensive methods of synthesis such as chemical vapour deposition allow to elaborate cheap polycrystalline diamond. This fact has increased the interest in the scientific community due to the outstanding properties of diamond. Since Pleskov published in 1987 the first paper in electrochemistry, many researchers around the world have studied different aspects of diamond electrochemistry such as reactivity, electrical structure, etc. As part of this worldwide interest these studies reveal new information about diamond electrodes. These studies report investigation of diamond electrodes characterized using structural techniques like scanning electrode microscopy and Raman spectroscopy. A new electrochemical theory based on surface states is presented that explains the metal and the semiconductor behaviour in terms of the doping level of the diamond electrode. In an effort to characterise the properties of diamond electrodes the band edges for hydrogen and oxygen terminated surface are located in organic solvent, hence avoiding possible interference that are present in aqueous solution. The determination of the band edges is performed by Mott-Schottky studies. These allow the calculation of the flat band potential and therefore the band edges. Additional cyclic voltammetric studies are presented for both types of surface termination. Mott-Schottky data and cyclic voltammograms are compared and explained in terms of the band edge localisation. Non-degenerately p-type semiconductor behaviour is presented for hydrogen terminated boron doped diamond. Graphitic surface states on oxidised surface boron doped diamond are responsible for the electrochemistry of redox couples that posses similar energy. Using the simple redox couple 1,4-benzoquinone effect of surface termination on the chemical behaviour of diamond is presented. Hydrogen sublayers in diamond electrodes seem to play an important role for the

  19. Thermoluminescence properties of undoped and nitrogen-doped CVD diamond exposed to gamma radiation

    International Nuclear Information System (INIS)

    Barboza-Flores, M.; Gastelum, S.; Cruz-Zaragoza, E.; Melendrez, R.; Chernov, V.; Pedroza-Montero, M.; Favalli, A.

    2008-01-01

    It is known that the thermoluminescence (TL) performance of CVD diamond depends on the impurity concentration and doping materials introduced during growing. We report on the TL properties of undoped and 750 ppm nitrogen-doped CVD diamond grown on (0 0 1) silicon substrate. The samples were exposed to gamma radiation from a Gammacell 200 Nordion irradiator in the 10-500 Gy dose range at 627 mGy/min dose rate. The nitrogen-doped CVD diamond sample exhibited a TL glow curve peaked around 537 K and a small shoulder about 411 K and a linear dose behavior in the 10-60 Gy dose range. In contrast, the undoped specimen showed a 591 K peaked TL glow curve and linear dose response for 10-100 Gy doses. However, both samples displayed a non-linear dose response for doses higher than 100 Gy. The doping effects seem to cause a higher TL efficiency, which may be attributed to the differences in the diamond bonding and amorphous carbon on the CVD samples as well as to the presence of nitrogen. In addition, the nitrogen content may produce some structural and morphological surface effects, which may account for the distinctive TL features and dose response of the diamond samples

  20. CVD diamond detectors for ionizing radiation

    Science.gov (United States)

    Friedl, M.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knöpfle, K. T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P. F.; Manfredotti, C.; Marshall, R. D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L. S.; Palmieri, V. G.; Pernegger, H.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Re, V.; Riester, J. L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R. J.; Tesarek, R.; Thomson, G. B.; Trawick, M.; Trischuk, W.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Ziock, H.; Zoeller, M.; RD42 Collaboration

    1999-10-01

    In future HEP accelerators, such as the LHC (CERN), detectors and electronics in the vertex region of the experiments will suffer from extreme radiation. Thus radiation hardness is required for both detectors and electronics to survive in this harsh environment. CVD diamond, which is investigated by the RD42 Collaboration at CERN, can meet these requirements. Samples of up to 2×4 cm2 have been grown and refined for better charge collection properties, which are measured with a β source or in a testbeam. A large number of diamond samples has been irradiated with hadrons to fluences of up to 5×10 15 cm-2 to study the effects of radiation. Both strip and pixel detectors were prepared in various geometries. Samples with strip metallization have been tested with both slow and fast readout electronics, and the first diamond pixel detector proved fully functional with LHC electronics.

  1. Effect of pretreatment and deposition parameters on diamond nucleation in CVD

    International Nuclear Information System (INIS)

    Nazim, E.; Izman, S.; Ourdjini, A.; Shaharoun, A.M.

    2007-01-01

    Chemical vapour deposition (CVD) of diamond films on cemented carbide (WC) has aroused great interest in recent years. The combination of toughness from the WC and the high hardness of diamond results in outstanding wear resistance. This will increase the lifetime and better technical performance of the components made of diamond coated carbide. One of the important steps in the growth of diamond film is the nucleation of diamond as its density strongly influences the diamond growth process, film quality and morphology. In this paper the various effects of surface pretreatment and diamond deposition conditions on the diamond nucleation density are reviewed. (author)

  2. Proton irradiation of CVD diamond detectors for high-luminosity experiments at the LHC

    Science.gov (United States)

    Meier, D.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Foulon, F.; Friedl, M.; Jany, C.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Knöpfle, K. T.; Krammer, M.; Manfredi, P. F.; Marshall, R. D.; Mishina, M.; Le Normand, F.; Pan, L. S.; Palmieri, V. G.; Pernegger, H.; Pernicka, M.; Peitz, A.; Pirollo, S.; Pretzl, K.; Re, V.; Riester, J. L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R. J.; Tesarek, R.; Thomson, G. B.; Trawick, M.; Trischuk, W.; Turchetta, R.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Ziock, H.; Zoeller, M.; RD42 Collaboration

    1999-04-01

    CVD diamond shows promising properties for use as a position-sensitive detector for experiments in the highest radiation areas at the Large Hadron Collider. In order to study the radiation hardness of diamond we exposed CVD diamond detector samples to 24 Gev/ c and 500 Mev protons up to a fluence of 5×10 15 p/cm 2. We measured the charge collection distance, the average distance electron-hole pairs move apart in an external electric field, and leakage currents before, during, and after irradiation. The charge collection distance remains unchanged up to 1×10 15 p/cm 2 and decreases by ≈40% at 5×10 15 p/cm 2. Leakage currents of diamond samples were below 1 pA before and after irradiation. The particle-induced currents during irradiation correlate well with the proton flux. In contrast to diamond, a silicon diode, which was irradiated for comparison, shows the known large increase in leakage current. We conclude that CVD diamond detectors are radiation hard to 24 GeV/ c and 500 MeV protons up to at least 1×10 15p/cm 2 without signal loss.

  3. Proton irradiation of CVD diamond detectors for high-luminosity experiments at the LHC

    International Nuclear Information System (INIS)

    Meier, D.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Foulon, F.; Friedl, M.; Jany, C.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Manfredi, P.F.; Marshall, R.D.; Mishina, M.; Le Normand, F.; Pan, L.S.; Palmieri, V.G.; Pernegger, H.; Pernicka, M.; Peitz, A.; Pirollo, S.; Pretzl, K.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R.J.; Tesarek, R.; Thomson, G.B.; Trawick, M.; Trischuk, W.; Turchetta, R.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Ziock, H.; Zoeller, M.

    1999-01-01

    CVD diamond shows promising properties for use as a position-sensitive detector for experiments in the highest radiation areas at the Large Hadron Collider. In order to study the radiation hardness of diamond we exposed CVD diamond detector samples to 24 Gev/c and 500 Mev protons up to a fluence of 5x10 15 p/cm 2 . We measured the charge collection distance, the average distance electron-hole pairs move apart in an external electric field, and leakage currents before, during, and after irradiation. The charge collection distance remains unchanged up to 1x10 15 p/cm 2 and decreases by ∼40% at 5x10 15 p/cm 2 . Leakage currents of diamond samples were below 1 pA before and after irradiation. The particle-induced currents during irradiation correlate well with the proton flux. In contrast to diamond, a silicon diode, which was irradiated for comparison, shows the known large increase in leakage current. We conclude that CVD diamond detectors are radiation hard to 24 GeV/c and 500 MeV protons up to at least 1x10 15 p/cm 2 without signal loss

  4. Pulse-height defect in single-crystal CVD diamond detectors

    Energy Technology Data Exchange (ETDEWEB)

    Beliuskina, O.; Imai, N. [The University of Tokyo, Center for Nuclear Study, Wako, Saitama (Japan); Strekalovsky, A.O.; Aleksandrov, A.A.; Aleksandrova, I.A.; Ilich, S.; Kamanin, D.V.; Knyazheva, G.N.; Kuznetsova, E.A.; Mishinsky, G.V.; Pyatkov, Yu.V.; Strekalovsky, O.V.; Zhuchko, V.E. [JINR, Flerov Laboratory of Nuclear Reactions, Dubna, Moscow Region (Russian Federation); Devaraja, H.M. [Manipal University, Manipal Centre for Natural Sciences, Manipal, Karnataka (India); Heinz, C. [II. Physikalisches Institut, Justus-Liebig-Universitaet Giessen, Giessen (Germany); Heinz, S. [II. Physikalisches Institut, Justus-Liebig-Universitaet Giessen, Giessen (Germany); GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Hofmann, S.; Kis, M.; Kozhuharov, C.; Maurer, J.; Traeger, M. [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Pomorski, M. [CEA, LIST, Diamond Sensor Laboratory, CEA/Saclay, Gif-sur-Yvette (France)

    2017-02-15

    The pulse-height versus deposited energy response of a single-crystal chemical vapor deposition (scCVD) diamond detector was measured for ions of Ti, Cu, Nb, Ag, Xe, Au, and of fission fragments of {sup 252} Cf at different energies. For the fission fragments, data were also measured at different electric field strengths of the detector. Heavy ions have a significant pulse-height defect in CVD diamond material, which increases with increasing energy of the ions. It also depends on the electrical field strength applied at the detector. The measured pulse-height defects were explained in the framework of recombination models. Calibration methods known from silicon detectors were modified and applied. A comparison with data for the pulse-height defect in silicon detectors was performed. (orig.)

  5. Radiation tolerance of CVD diamond detectors for pions and protons

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F. E-mail: f.hartjes@nikhef.nl; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M

    2002-01-11

    The paper gives new results on the radiation tolerance of CVD diamond for irradiation with 300 MeV/c pions and 24 GeV/c protons. The measured charge signal spectrum is compared at several irradiation levels with the spectrum calculated by a model. Irradiation by particles causes damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model show that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal.

  6. Radiation tolerance of CVD diamond detectors for pions and protons

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.

    2002-01-01

    The paper gives new results on the radiation tolerance of CVD diamond for irradiation with 300 MeV/ c pions and 24 GeV/ c protons. The measured charge signal spectrum is compared at several irradiation levels with the spectrum calculated by a model. Irradiation by particles causes damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model show that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal.

  7. Radiation tolerance of CVD diamond detectors for pions and protons

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.

    2002-01-01

    The paper gives new results on the radiation tolerance of CVD diamond for irradiation with 300 MeV/c pions and 24 GeV/c protons. The measured charge signal spectrum is compared at several irradiation levels with the spectrum calculated by a model. Irradiation by particles causes damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model show that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal

  8. Applications of diamond films and related materials; Proceedings of the 1st International Conference, Auburn, AL, Aug. 17-22, 1991

    Science.gov (United States)

    Tzeng, Yonhua (Editor); Yoshikawa, Manasori (Editor); Murakawa, Masao (Editor); Feldman, Albert (Editor)

    1991-01-01

    The present conference discusses the nucleation and growth of diamond from hydrocarbons, the cutting tool performance of CVD thick-film diamond, the characterization of CVD diamond grinding powder, industrial applications of crystalline diamond-coated tools, standardized SEM tribometry of diamond-coated substrates, residual stress in CVD diamond films, the optical properties of CVD diamond films, polycrystalline diamond films for optical applications, and diamond growth on ferrous metals. Also discussed are ion beam-irradiation smoothing of diamond films, electronic circuits on diamond substrates, diamond-laminated surfaces for evaporative spray cooling, electron devices based on the unique properties of diamond, diamond cold cathodes, thin-film diamond microstructure applications, Schottky diodes from flame-grown diamond, diamond films for thermionic applications, methods of diamond nucleation and selective deposition, high-rate/large-area diamond film production, halogen-assisted diamond growth, the economics of diamond technology, and the optical and mechanical properties of diamondlike films.

  9. Effects of temperature and Mo2C layer on stress and structural properties in CVD diamond film grown on Mo foil

    International Nuclear Information System (INIS)

    Long, Fen; Wei, Qiuping; Yu, Z.M.; Luo, Jiaqi; Zhang, Xiongwei; Long, Hangyu; Wu, Xianzhe

    2013-01-01

    Highlights: •Polycrystalline diamond films were grown on Mo foil substrates by HF-CVD. •We investigated the temperature dependence of the film stress for each sample. •We show that how the thermal stress and intrinsic stress affects the total stress. •The stress of Mo foil substrate obtained by XRD was investigated in this study. •The effect of Mo 2 C interface layer for stress of multilayer system was considered. -- Abstract: Polycrystalline diamond films have been prepared by hot-filament-assisted chemical vapor deposition (HFCVD) on Mo foils. The morphology, growth rate, phase composition, element distribution and residual stress of the films at different temperature were investigated by field-emission scanning electron microscopy, Raman spectrum, field emission electron probe microanalysis and X-ray diffraction. Results show that the residual stress of the diamond films is compressive. The thermal stress plays a decisive role in the total stress, while the intrinsic stress can change the trend of the total stress. The residual stress of substrate gradually changes from tensile stress to compressive stress with the increase of the deposited temperature. A Mo 2 C interlayer is formed during deposition process, and this layer has an important influence on the stresses of films and substrates

  10. CVD diamond detectors for ionizing radiation

    CERN Document Server

    Friedl, M; Bauer, C; Berfermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernegger, H; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Pretzl, Klaus P; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Tapper, R J; Tesarek, R J; Thomson, G B; Trawick, M L; Trischuk, W; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Ziock, H J; Zöller, M

    1999-01-01

    In future HEP accelerators, such as the LHC (CERN), detectors and electronics in the vertex region of the experiments will suffer from extreme radiation. Thus radiation hardness is required for both detectors and electronics to survive in this harsh environment. CVD diamond, which is investigated by the RD42 Collaboration at CERN, can meet these requirements. Samples of up to 2*4 cm/sup 2/ have been grown and refined for better charge collection properties, which are measured with a beta source or in a test beam. A large number of diamond samples has been irradiated with hadrons to fluences of up to 5*10/sup 15/ cm/sup -2/ to study the effects of radiation. Both strip and pixel detectors were prepared in various geometries. Samples with strip metallization have been tested with both slow and fast readout electronics, and the first diamond pixel detector proved fully functional with LHC electronics. (16 refs).

  11. CVD diamond detectors for ionizing radiation

    Energy Technology Data Exchange (ETDEWEB)

    Friedl, M. E-mail: markus.friedl@cern.ch; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernegger, H.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R.J.; Tesarek, R.; Thomson, G.B.; Trawick, M.; Trischuk, W.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Ziock, H.; Zoeller, M

    1999-10-01

    In future HEP accelerators, such as the LHC (CERN), detectors and electronics in the vertex region of the experiments will suffer from extreme radiation. Thus radiation hardness is required for both detectors and electronics to survive in this harsh environment. CVD diamond, which is investigated by the RD42 Collaboration at CERN, can meet these requirements. Samples of up to 2x4 cm{sup 2} have been grown and refined for better charge collection properties, which are measured with a {beta} source or in a test beam. A large number of diamond samples has been irradiated with hadrons to fluences of up to 5x10{sup 15} cm{sup -2} to study the effects of radiation. Both strip and pixel detectors were prepared in various geometries. Samples with strip metallization have been tested with both slow and fast readout electronics, and the first diamond pixel detector proved fully functional with LHC electronics. (author)

  12. Tribological Characteristics and Applications of Superhard Coatings: CVD Diamond, DLC, and c-BN

    Science.gov (United States)

    Miyoshi, Kazuhisa; Murakawa, Masao; Watanabe, Shuichi; Takeuchi, Sadao; Wu, Richard L. C.

    1999-01-01

    Results of fundamental research on the tribological properties of chemical-vapor-deposited (CVD) diamond, diamondlike carbon, and cubic boron nitride films in sliding contact with CVD diamond in ultrahigh vacuum, dry nitrogen, humid air, and water are discussed. Furthermore, the actual and potential applications of the three different superhard coatings in the field of tribology technology, particularly for wear parts and tools, are reviewed.

  13. Simulation of a perfect CVD diamond Schottky diode steep forward current–voltage characteristic

    Energy Technology Data Exchange (ETDEWEB)

    Kukushkin, V.A., E-mail: vakuk@appl.sci-nnov.ru [Institute of Applied Physics of the Russian Academy of Science, 46 Ulyanov St., 603950 Nizhny Novgorod (Russian Federation); Nizhny Novgorod State University named after N.I. Lobachevsky, 23 Gagarin pr., 603950 Nizhny Novgorod (Russian Federation)

    2016-10-01

    The kinetic equation approach to the simulation of the perfect CVD diamond Schottky diode current–voltage characteristic is considered. In result it is shown that the latter has a significantly steeper forward branch than that of perfect devices of such a type on usual semiconductors. It means that CVD diamond-based Schottky diodes have an important potential advantage over analogous devices on conventional materials.

  14. Proton irradiation of CVD diamond detectors for high-luminosity experiments at the LHC

    Energy Technology Data Exchange (ETDEWEB)

    Meier, D. E-mail: dirk.meier@cern.ch.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Foulon, F.; Friedl, M.; Jany, C.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Manfredi, P.F.; Marshall, R.D.; Mishina, M.; Le Normand, F.; Pan, L.S.; Palmieri, V.G.; Pernegger, H.; Pernicka, M.; Peitz, A.; Pirollo, S.; Pretzl, K.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R.J.; Tesarek, R.; Thomson, G.B.; Trawick, M.; Trischuk, W.; Turchetta, R.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Ziock, H.; Zoeller, M

    1999-04-21

    CVD diamond shows promising properties for use as a position-sensitive detector for experiments in the highest radiation areas at the Large Hadron Collider. In order to study the radiation hardness of diamond we exposed CVD diamond detector samples to 24 Gev/c and 500 Mev protons up to a fluence of 5x10{sup 15} p/cm{sup 2}. We measured the charge collection distance, the average distance electron-hole pairs move apart in an external electric field, and leakage currents before, during, and after irradiation. The charge collection distance remains unchanged up to 1x10{sup 15} p/cm{sup 2} and decreases by {approx}40% at 5x10{sup 15} p/cm{sup 2}. Leakage currents of diamond samples were below 1 pA before and after irradiation. The particle-induced currents during irradiation correlate well with the proton flux. In contrast to diamond, a silicon diode, which was irradiated for comparison, shows the known large increase in leakage current. We conclude that CVD diamond detectors are radiation hard to 24 GeV/c and 500 MeV protons up to at least 1x10{sup 15}p/cm{sup 2} without signal loss.

  15. Chemical Vapor-Deposited (CVD) Diamond Films for Electronic Applications

    Science.gov (United States)

    1995-01-01

    Diamond films have a variety of useful applications as electron emitters in devices such as magnetrons, electron multipliers, displays, and sensors. Secondary electron emission is the effect in which electrons are emitted from the near surface of a material because of energetic incident electrons. The total secondary yield coefficient, which is the ratio of the number of secondary electrons to the number of incident electrons, generally ranges from 2 to 4 for most materials used in such applications. It was discovered recently at the NASA Lewis Research Center that chemical vapor-deposited (CVD) diamond films have very high secondary electron yields, particularly when they are coated with thin layers of CsI. For CsI-coated diamond films, the total secondary yield coefficient can exceed 60. In addition, diamond films exhibit field emission at fields orders of magnitude lower than for existing state-of-the-art emitters. Present state-of-the-art microfabricated field emitters generally require applied fields above 5x10^7 V/cm. Research on field emission from CVD diamond and high-pressure, high-temperature diamond has shown that field emission can be obtained at fields as low as 2x10^4 V/cm. It has also been shown that thin layers of metals, such as gold, and of alkali halides, such as CsI, can significantly increase field emission and stability. Emitters with nanometer-scale lithography will be able to obtain high-current densities with voltages on the order of only 10 to 15 V.

  16. CVD of alternated microcrystalline (MCD) and nanocrystalline (NCD) diamond films on WC-TIC-CO substrates

    International Nuclear Information System (INIS)

    Campos, Raonei Alves; Contin, Andre; Trava-Airoldi, Vladimir J.; Corat, Evaldo Jose; Barquete, Danilo Maciel

    2010-01-01

    CVD Diamond coating of WC-TiC-Co cutting tools has been an alternative to increase tool lifetime. Experiments have shown that residual stresses produced during films growth on WC-TiC-Co substrates significantly increases with increasing film thickness up to 20 μm and usually leads to film delamination. In this work alternated micro- and nanocrystalline CVD diamond films have been used to relax interface stresses and to increase diamond coatings performance. WC-TiC-Co substrates have been submitted to a boronizing thermal diffusion treatment prior to CVD diamond films growth. After reactive heat treatment samples were submitted to chemical etching in acid and alkaline solution. The diamond films deposition was performed using HFCVD reactor with different gas concentrations for microcrystalline (MCD) and nano-crystalline (NCD) films growth. As a result, we present the improvement of diamond films adherence on WC-TiC-Co, evaluated by indentation and machining tests. Samples were characterized by Scanning Electron Microscopy (SEM) and Energy Dispersive X-ray (EDX) for qualitative analysis of diamond films. X-ray Diffraction (XRD) was used for phases identification after boronizing process. Diamond film compressive residual stresses were analyzed by Raman Scattering Spectroscopy (RSS). (author)

  17. Temperature dependence of stress in CVD diamond films studied by Raman spectroscopy

    Directory of Open Access Journals (Sweden)

    Dychalska Anna

    2015-09-01

    Full Text Available Evolution of residual stress and its components with increasing temperature in chemical vapor deposited (CVD diamond films has a crucial impact on their high temperature applications. In this work we investigated temperature dependence of stress in CVD diamond film deposited on Si(100 substrate in the temperature range of 30 °C to 480 °C by Raman mapping measurement. Raman shift of the characteristic diamond band peaked at 1332 cm-1 was studied to evaluate the residual stress distribution at the diamond surface. A new approach was applied to calculate thermal stress evolution with increasing tempera­ture by using two commonly known equations. Comparison of the residts obtained from the two methods was presented. The intrinsic stress component was calculated from the difference between average values of residual and thermal stress and then its temperature dependence was discussed.

  18. Toroidal plasma enhanced CVD of diamond films

    International Nuclear Information System (INIS)

    Zvanya, John; Cullen, Christopher; Morris, Thomas; Krchnavek, Robert R.; Holber, William; Basnett, Andrew; Basnett, Robert; Hettinger, Jeffrey

    2014-01-01

    An inductively coupled toroidal plasma source is used as an alternative to microwave plasmas for chemical vapor deposition of diamond films. The source, operating at a frequency of 400 kHz, synthesizes diamond films from a mixture of argon, methane, and hydrogen. The toroidal design has been adapted to create a highly efficient environment for diamond film deposition: high gas temperature and a short distance from the sample to the plasma core. Using a toroidal plasma geometry operating in the medium frequency band allows for efficient (≈90%) coupling of AC line power to the plasma and a scalable path to high-power and large-area operation. In test runs, the source generates a high flux of atomic hydrogen over a large area, which is favorable for diamond film growth. Using a deposition temperature of 900–1050 °C and a source to sample distance of 0.1–2.0 cm, diamond films are deposited onto silicon substrates. The results showed that the deposition rate of the diamond films could be controlled using the sample temperature and source to sample spacing. The results also show the films exhibit good-quality polycrystalline diamond as verified by Raman spectroscopy, x-ray diffraction, and scanning electron microscopy. The scanning electron microscopy and x-ray diffraction results show that the samples exhibit diamond (111) and diamond (022) crystallites. The Raman results show that the sp 3 peak has a narrow spectral width (FWHM 12 ± 0.5 cm −1 ) and that negligible amounts of the sp 2 band are present, indicating good-quality diamond films

  19. Investigation of laser ablation of CVD diamond film

    Science.gov (United States)

    Chao, Choung-Lii; Chou, W. C.; Ma, Kung-Jen; Chen, Ta-Tung; Liu, Y. M.; Kuo, Y. S.; Chen, Ying-Tung

    2005-04-01

    Diamond, having many advanced physical and mechanical properties, is one of the most important materials used in the mechanical, telecommunication and optoelectronic industry. However, high hardness value and extreme brittleness have made diamond extremely difficult to be machined by conventional mechanical grinding and polishing. In the present study, the microwave CVD method was employed to produce epitaxial diamond films on silicon single crystal. Laser ablation experiments were then conducted on the obtained diamond films. The underlying material removal mechanisms, microstructure of the machined surface and related machining conditions were also investigated. It was found that during the laser ablation, peaks of the diamond grains were removed mainly by the photo-thermal effects introduced by excimer laser. The diamond structures of the protruded diamond grains were transformed by the laser photonic energy into graphite, amorphous diamond and amorphous carbon which were removed by the subsequent laser shots. As the protruding peaks gradually removed from the surface the removal rate decreased. Surface roughness (Ra) was improved from above 1μm to around 0.1μm in few minutes time in this study. However, a scanning technique would be required if a large area was to be polished by laser and, as a consequence, it could be very time consuming.

  20. Selective deposition of polycrystalline diamond films using photolithography with addition of nanodiamonds as nucleation centers

    International Nuclear Information System (INIS)

    Okhotnikov, V V; Linnik, S A; Gaidaichuk, A V; Shashev, D V; Nazarova, G Yu; Yurchenko, V I

    2016-01-01

    A new method of selective deposition of polycrystalline diamond has been developed and studied. The diamond coatings with a complex, predetermined geometry and resolution up to 5 μm were obtained. A high density of polycrystallites in the coating area was reached (up to 32·10 7 pcs/cm 2 ). The uniformity of the film reached 100%, and the degree of the surface contamination by parasitic crystals did not exceed 2%. The technology was based on the application of the standard photolithography with an addition of nanodiamond suspension into the photoresist that provided the creation of the centers of further nucleation in the areas which require further overgrowth. The films were deposited onto monocrystalline silicon substrates using the method of “hot filaments” in the CVD reactor. The properties of the coating and the impact of the nanodiamond suspension concentration in the photoresist were also studied. The potential use of the given method includes a high resolution, technological efficiency, and low labor costs compared to the standard methods (laser treatment, chemical etching in aggressive environments,). (paper)

  1. Selective deposition of polycrystalline diamond films using photolithography with addition of nanodiamonds as nucleation centers

    Science.gov (United States)

    Okhotnikov, V. V.; Linnik, S. A.; Gaidaichuk, A. V.; Shashev, D. V.; Nazarova, G. Yu; Yurchenko, V. I.

    2016-02-01

    A new method of selective deposition of polycrystalline diamond has been developed and studied. The diamond coatings with a complex, predetermined geometry and resolution up to 5 μm were obtained. A high density of polycrystallites in the coating area was reached (up to 32·107 pcs/cm2). The uniformity of the film reached 100%, and the degree of the surface contamination by parasitic crystals did not exceed 2%. The technology was based on the application of the standard photolithography with an addition of nanodiamond suspension into the photoresist that provided the creation of the centers of further nucleation in the areas which require further overgrowth. The films were deposited onto monocrystalline silicon substrates using the method of “hot filaments” in the CVD reactor. The properties of the coating and the impact of the nanodiamond suspension concentration in the photoresist were also studied. The potential use of the given method includes a high resolution, technological efficiency, and low labor costs compared to the standard methods (laser treatment, chemical etching in aggressive environments,).

  2. Studies of mono-crystalline CVD diamond pixel detectors

    CERN Document Server

    Bartz, E; Atramentov, O; Yang, Z; Hall-Wilton, R; Schnetzer, S; Patel, R; Bugg, W; Hebda, P; Halyo, V; Hunt, A; Marlow, D; Steininger, H; Ryjov, V; Hits, D; Spanier, S; Pernicka, M; Johns, W; Doroshenko, J; Hollingsworth, M; Harrop, B; Farrow, C; Stone, R

    2011-01-01

    The Pixel Luminosity Telescope (PLT) is a dedicated luminosity monitor, presently under construction, for the Compact Muon Solenoid (CMS) experiment at the Large Hadron Collider (LHC). It measures the particle flux in several three layered pixel diamond detectors that are aligned precisely with respect to each other and the beam direction. At a lower rate it also performs particle track position measurements. The PLTs mono-crystalline CVD diamonds are bump-bonded to the same readout chip used in the silicon pixel system in CMS. Mono-crystalline diamond detectors have many attributes that make them desirable for use in charged particle tracking in radiation hostile environments such as the LHC. In order to further characterize the applicability of diamond technology to charged particle tracking we performed several tests with particle beams that included a measurement of the intrinsic spatial resolution with a high resolution beam telescope. Published by Elsevier B.V.

  3. Studies of mono-crystalline CVD diamond pixel detectors

    Energy Technology Data Exchange (ETDEWEB)

    Bugg, W. [University of Tennessee, Knoxville (United States); Hollingsworth, M., E-mail: mhollin3@utk.edu [University of Tennessee, Knoxville (United States); Spanier, S.; Yang, Z. [University of Tennessee, Knoxville (United States); Bartz, E.; Doroshenko, J.; Hits, D.; Schnetzer, S.; Stone, R.; Atramentov, O.; Patel, R.; Barker, A. [Rutgers University, Piscataway (United States); Hall-Wilton, R.; Ryjov, V.; Farrow, C. [CERN, Geneva (Switzerland); Pernicka, M.; Steininger, H. [HEPHY, Vienna (Austria); Johns, W. [Vanderbilt University, Nashville (United States); Halyo, V.; Harrop, B. [Princeton University, Princeton (United States); and others

    2011-09-11

    The Pixel Luminosity Telescope (PLT) is a dedicated luminosity monitor, presently under construction, for the Compact Muon Solenoid (CMS) experiment at the Large Hadron Collider (LHC). It measures the particle flux in several three layered pixel diamond detectors that are aligned precisely with respect to each other and the beam direction. At a lower rate it also performs particle track position measurements. The PLT's mono-crystalline CVD diamonds are bump-bonded to the same readout chip used in the silicon pixel system in CMS. Mono-crystalline diamond detectors have many attributes that make them desirable for use in charged particle tracking in radiation hostile environments such as the LHC. In order to further characterize the applicability of diamond technology to charged particle tracking we performed several tests with particle beams that included a measurement of the intrinsic spatial resolution with a high resolution beam telescope.

  4. A CVD diamond detector for (n,α) cross-section measurements

    International Nuclear Information System (INIS)

    Weiss, C.

    2014-01-01

    A novel detector based on the chemical vapor deposition (CVD) diamond technology has been developed in the framework of this PhD, for the experimental determination of (n,α) cross-sections at the neutron time-of-flight facility n⎽TOF at CERN. The 59 Ni(n,α) 56 Fe cross-section, which is relevant for astrophysical questions as well as for risk-assessment studies in nuclear technology, has been measured in order to validate the applicability of the detector for such experiments. The thesis is divided in four parts. In the introductory part the motivation for measuring (n,α) cross-sections, the experimental challenges for such measurements and the reasons for choosing the CVD diamond technology for the detector are given. This is followed by the presentation of the n⎽TOF facility, an introduction to neutron-induced nuclear reactions and a brief summary of the interaction of particles with matter. The CVD diamond technology and the relevant matters related to electronics are given as well in this first part of the thesis. The second part is dedicated to the design and production of the Diamond Mosaic-Detector (DM-D) and its characterization. The 59 Ni(n,α) 56 Fe cross-section measurement at n⎽TOF and the data analysis are discussed in detail in the third part of the thesis, before the summary of the thesis and an outlook to possible future developments and applications conclude the thesis in the forth part. In this work, the Diamond Mosaic-Detector, which consist of eight single-crystal (sCVD) diamond sensors and one 'Diamond on Iridium' (DOI) sensor has proven to be well suited for (n,α) cross-section measurements for 1 MeV < E α < 22 MeV. The upper limit is given by the thickness of the sensors, d = 150 μm, while the lower limit is dictated by background induced by neutron capture reactions in in-beam materials. The cross-section measurement was focussed on the resonance integral of 59 Ni(n,α) 56 Fe at E n = 203 eV, with the aim of clarifying

  5. Indigenous development of diamond detectors for monitoring neutrons

    International Nuclear Information System (INIS)

    Singh, Arvind; Amit Kumar; Topkar, Anita; Pithawa, C.K.

    2013-01-01

    High purity synthetic chemically vapor deposited (CVD) diamond has several outstanding characteristics that make it as an important material for detector applications specifically for extreme environmental conditions like high temperature, high radiation, and highly corrosive environments. Diamond detectors are especially considered promising for monitoring fast neutrons produced by the D-T nuclear fusion reactions in next generation fusion facilities such as ITER. When fast neutrons interact with carbon, elastic, inelastic and (n,α) type reactions can occur. These reactions can be employed for the detection of fast neutrons using diamond. We have initiated the development of diamond detectors based on synthetic CVD substrates. In this paper, the first test of a polycrystalline CVD diamond detector with fast neutrons is reported. The test results demonstrate that this detector can be used for monitoring fast neutrons. The diamond detectors have been fabricated using 5 mm x 5 mm, 300 μm polycrystalline diamond substrates. Aluminum metallization has been used on both sides of the detector to provide electrical contacts. The performance of fabricated detectors was first evaluated using current and capacitance measurements. The leakage current was observed to be stable and about a few pAs for voltages up to 300V. The capacitance-voltage characteristics showed a constant capacitance which is as expected. To confirm the response of the detector to charged particles, the pulse height spectrum (PHS) was obtained using 238 Pu- 239 Pu dual α- source. The PHS showed a continuum without any peak due to polycrystalline nature of diamond film. The response of the detector to fast neutrons has been studied using the fast neutron facility at NXF, BARC. The PHS obtained for a neutron yield of 4 x 10 8 n/s is shown. The average counts per second (cps) measured for diamond detector for different neutron yields is shown. The plot shows linearity with coefficient of determination R

  6. Polycrystalline-Diamond MEMS Biosensors Including Neural Microelectrode-Arrays

    Directory of Open Access Journals (Sweden)

    Donna H. Wang

    2011-08-01

    Full Text Available Diamond is a material of interest due to its unique combination of properties, including its chemical inertness and biocompatibility. Polycrystalline diamond (poly-C has been used in experimental biosensors that utilize electrochemical methods and antigen-antibody binding for the detection of biological molecules. Boron-doped poly-C electrodes have been found to be very advantageous for electrochemical applications due to their large potential window, low background current and noise, and low detection limits (as low as 500 fM. The biocompatibility of poly-C is found to be comparable, or superior to, other materials commonly used for implants, such as titanium and 316 stainless steel. We have developed a diamond-based, neural microelectrode-array (MEA, due to the desirability of poly-C as a biosensor. These diamond probes have been used for in vivo electrical recording and in vitro electrochemical detection. Poly-C electrodes have been used for electrical recording of neural activity. In vitro studies indicate that the diamond probe can detect norepinephrine at a 5 nM level. We propose a combination of diamond micro-machining and surface functionalization for manufacturing diamond pathogen-microsensors.

  7. Fabrication and Characterization of FeNiCr Matrix-TiC Composite for Polishing CVD Diamond Film

    Institute of Scientific and Technical Information of China (English)

    Zhuji Jin; Zewei Yuan; Renke Kang; Boxian Dong

    2009-01-01

    Dynamic friction polishing (DFP) is one of the most promising methods appropriate for polishing CVD diamond film with high efficiency and low cost.By this method CVD diamond film is polished through being simply pressed against a metal disc rotating at a high speed utilizing the thermochemical reaction occurring as a result of dynamic friction between them in the atmosphere.However, the relatively soft materials such as stainless steel, cast iron and nickel alloy widely used for polishing CVD diamond film are easy to wear and adhere to diamond film surface, which may further lead to low efficiency and poor polishing quality.In this paper, FeNiCr matrix-TiC composite used as grinding wheel for polishing CVD diamond film was obtained by combination of mechanical alloying (MA) and spark plasma sintering (SPS).The process of ball milling,composition, density, hardness, high-temperature oxidation resistance and wear resistance of the sintered piece were analyzed.The results show that TiC was introduced in MA-SPS process and had good combination with FeNiCr matrix and even distribution in the matrix.The density of composite can be improved by mechanical alloying.The FeNiCr matrix-TiC composite obtained at 1273 K was found to be superior to at 1173 K sintering in hardness, high-temperature oxidation resistance and wearability.These properties are more favorable than SUS304 for the preparation of high-performance grinding wheel for polishing CVD diamond film.

  8. Recent Advances in Diamond Detectors

    CERN Document Server

    Trischuk, W.

    2008-01-01

    With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2012, ATLAS and CMS are planning for detector upgrades for their innermost layers requiring radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is now planned for all LHC experiments. This material is now being considered as an alternate sensor for use very close to the interaction region of the super LHC where the most extreme radiation conditions will exist. Recently the RD42 collaboration constructed, irradiated and tested polycrystalline and single-crystal chemical vapor deposition diamond sensors to the highest fluences available. We present beam test results of chemical vapor deposition diamond up to fluences of 1.8 x 10^16 protons/cm^2 showing that both polycrystalline and single-crystal chemical vapor deposition diamonds follow a single damage curve allowing one t...

  9. Pulse height distribution and radiation tolerance of CVD diamond detectors

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dangelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F. E-mail: f.hartjes@nikhef.nl; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; D.Tromson,; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; White, C.; Zeuner, W.; Zoeller, M.; Fenyvesi, A.; Molnar, J.; Sohler, D

    2000-06-01

    The paper reviews measurements of the radiation tolerance of CVD diamond for irradiation with 24 GeV/c protons, 300 MeV/c pions and 1 MeV neutrons. For proton and neutron irradiation, the measured charge signal spectrum is compared with the spectrum calculated by a model. Irradiation by particles causes radiation damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model shows that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. In addition, we observed after proton irradiation at the charge signal spectrum a decrease of the number of small signals. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal.

  10. Pulse height distribution and radiation tolerance of CVD diamond detectors

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dangelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; D.Tromson,; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; White, C.; Zeuner, W.; Zoeller, M.; Fenyvesi, A.; Molnar, J.; Sohler, D.

    2000-01-01

    The paper reviews measurements of the radiation tolerance of CVD diamond for irradiation with 24 GeV/c protons, 300 MeV/c pions and 1 MeV neutrons. For proton and neutron irradiation, the measured charge signal spectrum is compared with the spectrum calculated by a model. Irradiation by particles causes radiation damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model shows that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. In addition, we observed after proton irradiation at the charge signal spectrum a decrease of the number of small signals. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal

  11. Charge transport and X-ray dosimetry performance of a single crystal CVD diamond device fabricated with pulsed laser deposited electrodes

    International Nuclear Information System (INIS)

    Abdel-Rahman, M.A.E.; Abdel-Rahman, M.A.E.; Lohstroh, A.; Bryant, P.; Jayawardena, I.

    2013-01-01

    The deposition of amorphous Carbon mixed with Nickel (C/Ni) as electrodes for a diamond radiation detector using Pulsed Laser Deposition (PLD) was demonstrated previously as a novel technique for producing near-tissue equivalent X-ray dosimeters based on polycrystalline diamond. In this study, we present the first characterisation of a single crystal CVD diamond sandwich detector (of 80 nm thickness) fabricated with this method, labelled SC-C/Ni. To examine the performance of PLD C/Ni as an electrical contact, alpha spectroscopy and x-ray induced photocurrents were studied as a function of applied bias voltage at room temperature and compared to those of polycrystalline CVD diamond detectors (PC-C/Ni); the spectroscopy data allows us to separate electron and hole contributions to the charge transport, whereas the X-ray data was investigated in terms of, linearity and dose rate dependence, sensitivity, signal to noise ratio, photoconductive gain, reproducibility and time response (rise and fall-off times). In the case of electron sensitive alpha induced signals, a charge collection efficiency (CCE) higher than 90 % has been observed at a bias of -40 V and 100 % CCE at -300 V, with an energy resolution of ∼3 % for 5.49 MeV alpha particles. The hole sample showed very poor spectroscopy performance for hole sensitive signals up to 200 Volt; this inhibited a similar numerical analysis to be carried out in a meaningful way. The dosimetric characteristic show a high signal to noise ratio (SNR) of ∼7.3x10 3 , an approximately linear relationship between the photocurrent and the dose rate and a sensitivity of 4.87 μC/Gy.mm 3 . The photoconductive gain is estimated to around 20, this gain might be supported by hole trapping effects as indicated in the alpha spectroscopy. The observed rise and fall-off times are less than 2 and 0.56 seconds, respectively - and mainly reflect the switching time of the X-ray tube used.The reproducibility of (0.504 %) approaches the value

  12. The study on diamond-coated insert by DC plasma jet CVD

    International Nuclear Information System (INIS)

    Zhou Kesong; Dai Mingjiang; Song Jinbing; Kuang Tongchun; Liu Zhengyi

    2001-01-01

    Diamond coating were deposited on cemented carbide inserts by DC plasma jet CVD. The cemented carbide inserts were pretreated by methods including chemical etching of Co, Ar/H 2 plasma etching. The characteristics of diamond film, interface structure, adhesion strength and film stress were analysized by different methods such as SEM, XRD, Raman spectrum etc. A comparing experiment of cutting Al - 22 % Si alloy was carried out with diamond-coated cemented carbide inserts and uncoated cemented carbide inserts. The results show that the diamond-coated cemented carbide insert has a great advantage for cutting abrasive high content Al - Si alloy. (author)

  13. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Science.gov (United States)

    Kundrát, Vojtěch; Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin; Sullivan, John; Ye, Haitao

    2015-04-01

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) - tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  14. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Energy Technology Data Exchange (ETDEWEB)

    Kundrát, Vojtěch; Sullivan, John; Ye, Haitao, E-mail: h.ye@aston.ac.uk [School of Engineering and Applied Science, Aston University, Birmingham, B4 7ET (United Kingdom); Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin [Miba Coating Group: Teer Coatings Ltd, West-Stone-House, West-Stone, Berry-Hill-Industrial-Estate, WR9 9AS, Droitwich (United Kingdom)

    2015-04-15

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) – tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  15. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Directory of Open Access Journals (Sweden)

    Vojtěch Kundrát

    2015-04-01

    Full Text Available Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42 substrates using a multi-structured molybdenum (Mo – tungsten (W interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  16. Thermoluminescence in CVD diamond films: application to actinometric dosimetry

    International Nuclear Information System (INIS)

    Barboza-Flores, M.; Melendrez, R.; Chernov, V.; Castaneda, B.; Pedroza-Montero, M.; Gan, B.; Ahn, J.; Zhang, Q.; Yoon, S.F.

    2002-01-01

    Diamond is considered a tissue-equivalent material since its atomic number (Z=6) is close to the effective atomic number of biological tissue (Z=7.42). Such a situation makes it suitable for radiation detection purposes in medical applications. In the present work the analysis is reported of the thermoluminescence (TL) and dosimetric features of chemically vapour deposited (CVD) diamond film samples subjected to ultraviolet (UV) irradiation in the actinometric region. The TL glow curve shows peaks at 120, 220, 320 and 370 deg. C. The 120 and 370 deg. C peaks are too weak and the first one fades away in a few seconds after exposure. The overall room temperature fading shows a 50% TL decay 30 min after exposure. The 320 deg. C glow peak is considered to be the most adequate for dosimetric applications due to its low fading and linear TL behaviour as a function of UV dose in the 180-260 nm range. The TL excitation spectrum presents a broad band with at least two overlapped components around 205 and 220 nm. The results indicate that the TL behaviour of CVD diamond film can be a good alternative to the currently available dosemeter and detector in the actinometric region as well as in clinical and medical applications. (author)

  17. Pulse height distribution and radiation tolerance of CVD diamond detectors

    CERN Document Server

    Adam, W; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S R; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trawick, M L; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; White, C; Zeuner, W; Zöller, M; Fenyvesi, A; Molnár, J; Sohler, D

    2000-01-01

    The paper reviews measurements of the radiation tolerance of CVD diamond for irradiation with 24 GeV/c protons, 300 MeV/c pions and 1 MeV neutrons. For proton and neutron irradiation, the measured charge signal spectrum is compared with the spectrum calculated by a model. Irradiation by particles causes radiation damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model shows that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. In addition, we observed after proton irradiation at the charge signal spectrum a decrease of the number of small signals. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal. (11 refs).

  18. Bone repair after osteotomy with diamond burs and CVD ultrasonic tips – histological study in rats

    OpenAIRE

    Matuda, Fábio S.; Pagani, Clovis; Miranda, Carolina B.; Crema, Aline A. S.; Brentel, Aline S.; Carvalho, Yasmin R.

    2010-01-01

    This study histologically evaluated the behavior of bone tissue of rats submitted to osteotomy with conventional diamond burs in high speed and a new ultrasonic diamond tips system (CVD – Chemical Vapor Deposition), at different study periods. The study was conducted on 24 Wistar rats. Osteotomy was performed on the posterior paws of each rat, with utilization of diamond burs in high speed under thorough water cooling at the right paw, and CVD tips at the left paw. Animals were killed a...

  19. Plasma CVD reactor with two-microwave oscillators for diamond film synthesis

    International Nuclear Information System (INIS)

    Nagatsu, M.; Miyake, M.; Maeda, J.

    2006-01-01

    In this study, we present the experimental results of a new type of microwave plasma CVD system, where two of 1.5 kW microwave sources were used for enlarging the plasma discharge and the diamond film growth. One of the microwave oscillators was used to produce the microwave plasma as in the conventional microwave plasma CVD device, while the second one was used to enlarge the plasma by introducing microwave from the launcher mounted at the substrate stage. We demonstrated the enlargement of plasma discharge area from 60 mm to 100 mm in diameter by using the two-microwave oscillators system. Characteristics of diamond films deposited using H 2 /CH 4 plasmas were also investigated using a scanning electron microscope (SEM) and Raman spectroscopy

  20. Neutron detection at jet using artificial diamond detectors

    International Nuclear Information System (INIS)

    Pillon, M.; Angelone, M.; Lattanzi, D.; Marinelli, M.; Milani, E.; Tucciarone, A.; Verona-Rinati, G.; Popovichev, S.; Montereali, R.M.; Vincenti, M.A.; Murari, A.

    2007-01-01

    Artificial diamond neutron detectors recently proved to be promising devices to measure the neutron production on large experimental fusion machines. Diamond detectors are very promising detectors to be used in fusion environment due to their radiation hardness, low sensitivity to gamma rays, fast response and high energy resolution. High quality 'electronic grade' diamond films are produced through microwave chemical vapour deposition (CVD) technique. Two CVD diamond detectors have been installed and operated at joint European torus (JET), Culham Science Centre, UK. One of these detectors was a polycrystalline CVD diamond film; about 12 mm 2 area and 30 μm thickness while the second was a monocrystalline film of about 5 mm 2 area and 20 μm thick. Both diamonds were covered with 2 μm of lithium fluoride (LiF) 95% enriched in 6 Li. The LiF layer works as a neutron-to-charged particle converter so these detectors can measure thermalized neutrons. Their output signals were compared to JET total neutron yield monitors (KN1 diagnostic) realized with a set of uranium fission chambers. Despite their small active volumes the diamond detectors were able to measure total neutron yields with good reliability and stability during the recent JET experimental campaign of 2006

  1. Effect of substrate roughness on growth of diamond by hot filament ...

    Indian Academy of Sciences (India)

    Administrator

    Polycrystalline diamond coatings are grown on Si (100) substrate by hot filament CVD technique. We investigate ... toughness of the film as the crystal changes its phase from monocrystalline to .... is a characteristic of graphite. We mark the.

  2. CVD diamond Brewster window: feasibility study by FEM analyses

    Directory of Open Access Journals (Sweden)

    Vaccaro A.

    2012-09-01

    Full Text Available Chemical vapor deposition (CVD diamond windows are a crucial component in heating and current drive (H&CD applications. In order to minimize the amount of reflected power from the diamond disc, its thickness must match the desired beam wavelength, thus proper targeting of the plasma requires movable beam reflectors. This is the case, for instance, of the ITER electron cyclotron H&CD system. However, looking at DEMO, the higher heat loads and neutron fluxes could make the use of movable parts close to the plasma difficult. The issue might be solved by using gyrotrons able to tune the beam frequency to the desired resonance, but this concept requires transmission windows that work in a given frequency range, such as the Brewster window. It consists of a CVD diamond disc brazed to two copper cuffs at the Brewster angle. The brazing process is carried out at about 800°C and then the temperature is decreased down to room temperature. Diamond and copper have very different thermal expansion coefficients, therefore high stresses build up during the cool down phase that might lead to failure of the disc. Considering also the complex geometry of the window with the skewed position of the disc, analyses are required in the first place to check its feasibility. The cool down phase was simulated by FEM structural analyses for several geometric and constraint configurations of the window. A study of indirect cooling of the window by water was also performed considering a HE11 mode beam. The results are here reported.

  3. γ radiation thermoluminescence performance of HFCVD diamond films

    International Nuclear Information System (INIS)

    Gastelum, S.; Cruz-Zaragoza, E.; Melendrez, R.; Chernov, V.; Barboza-Flores, M.

    2006-01-01

    Polycrystalline chemically vapor deposited (CVD) diamond films have been proposed as detectors and dosimeters of ionizing radiation with prospective applications in high-energy photon dosimetry applications. We present a comparison study on the thermoluminescence (TL) properties of two diamond film samples grown by the hot filament CVD method having thickness of 180 and 500 μm and exposed to γ radiation in the 1-300 Gy dose range. The 180 μm thick sample deposited on silicon substrate displayed a TL glow curve peaked at 145 deg. C. The 500 μm, which was a free standing sample, exhibited higher intensity and a well defined first order kinetics TL glow peak around 289 deg. C. Both diamond samples showed a linear dose behavior in the 1-50 Gy range and sublinear behavior for higher doses. The 180 and 500 μm samples presented about 80% and 30% TL losses in a 24 h period, respectively, with both samples showing excellent TL reproducibility. The results indicate that the 500 μm CVD diamond film exhibited a good TL behavior adequate for γ radiation dosimetry

  4. Study on the Effect of Diamond Grain Size on Wear of Polycrystalline Diamond Compact Cutter

    Science.gov (United States)

    Abdul-Rani, A. M.; Che Sidid, Adib Akmal Bin; Adzis, Azri Hamim Ab

    2018-03-01

    Drilling operation is one of the most crucial step in oil and gas industry as it proves the availability of oil and gas under the ground. Polycrystalline Diamond Compact (PDC) bit is a type of bit which is gaining popularity due to its high Rate of Penetration (ROP). However, PDC bit can easily wear off especially when drilling hard rock. The purpose of this study is to identify the relationship between the grain sizes of the diamond and wear rate of the PDC cutter using simulation-based study with FEA software (ABAQUS). The wear rates of a PDC cutter with a different diamond grain sizes were calculated from simulated cuttings of cutters against granite. The result of this study shows that the smaller the diamond grain size, the higher the wear resistivity of PDC cutter.

  5. OSL and TL dosimeter characterization of boron doped CVD diamond films

    Science.gov (United States)

    Gonçalves, J. A. N.; Sandonato, G. M.; Meléndrez, R.; Chernov, V.; Pedroza-Montero, M.; De la Rosa, E.; Rodríguez, R. A.; Salas, P.; Barboza-Flores, M.

    2005-04-01

    Natural diamond is an exceptional prospect for clinical radiation dosimetry due to its tissue-equivalence properties and being chemically inert. The use of diamond in radiation dosimetry has been halted by the high market price; although recently the capability of growing high quality CVD diamond has renewed the interest in using diamond films as radiation dosimeters. In the present work we have characterized the dosimetric properties of diamond films synthesized by the HFCVD method. The thermoluminescence and the optically stimulated luminescence of beta exposed diamond sample containing a B/C 4000 ppm doping presents excellent properties suitable for dosimetric applications with β-ray doses up to 3.0 kGy. The observed OSL and TL performance is reasonable appropriate to justify further investigation of diamond films as dosimeters for ionizing radiation, specially in the radiotherapy field where very well localized and in vivo and real time radiation dose applications are essential.

  6. Study of the triton-burnup process in different JET scenarios using neutron monitor based on CVD diamond

    Energy Technology Data Exchange (ETDEWEB)

    Nemtsev, G., E-mail: g.nemtsev@iterrf.ru; Amosov, V.; Meshchaninov, S.; Rodionov, R. [Institution “Project center ITER,” Moscow (Russian Federation); Popovichev, S. [CCFE, Culham Science Centre, Abingdon OX14 3DB (United Kingdom); Collaboration: EUROfusion Consortium, JET, Culham Science Centre, Abingdon OX14 3DB (United Kingdom)

    2016-11-15

    We present the results of analysis of triton burn-up process using the data from diamond detector. Neutron monitor based on CVD diamond was installed in JET torus hall close to the plasma center. We measure the part of 14 MeV neutrons in scenarios where plasma current varies in a range of 1-3 MA. In this experiment diamond neutron monitor was also able to detect strong gamma bursts produced by runaway electrons arising during the disruptions. We can conclude that CVD diamond detector will contribute to the study of fast particles confinement and help predict the disruption events in future tokamaks.

  7. Zero bias thermally stimulated currents in synthetic diamond

    Science.gov (United States)

    Mori, R.; Miglio, S.; Bruzzi, M.; Bogani, F.; De Sio, A.; Pace, E.

    2009-06-01

    Zero bias thermally stimulated currents (ZBTSCs) have been observed in single crystal high pressure high temperature (HPHT) and polycrystalline chemical vapor deposited (pCVD) diamond films. The ZBTSC technique is characterized by an increased sensitivity with respect to a standard TSC analysis. Due to the absence of the thermally activated background current, new TSC peaks have been observed in both HPHT and pCVD diamond films, related to shallow activation energies usually obscured by the emission of the dominant impurities. The ZBTSC peaks are explained in terms of defect discharge in the nonequilibrium potential distribution created by a nonuniform traps filling at the metal-diamond junctions. The electric field due to the charged defects has been estimated in a quasizero bias TSC experiment by applying an external bias.

  8. Test of radiation hardness of pcCVD detectors

    Energy Technology Data Exchange (ETDEWEB)

    Schlemme, Steffen [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Technische Universitaet Darmstadt (Germany); Enders, Joachim [Technische Universitaet Darmstadt (Germany); Figuera, P.; Salamone, S. [LNS-INFN Catania (Italy); Fruehauf, J.; Kis, Mladen; Kratz, A.; Kurz, N.; Loechner, S.; Nociforo, Chiara; Schirru, Fabio; Szczepanczyk, B.; Traeger, M.; Visinka, R. [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Musumarra, A. [LNS-INFN Catania (Italy); University of Catania (Italy)

    2016-07-01

    The new in-flight separator Super-FRS is under construction at the Facility for Antiproton and Ion Research (FAIR, Darmstadt). Ion rates up to 3 x 10{sup 11} {sup 238}U/spill demand an adaption of detectors to a high radiation environment. A test experiment to investigate the radiation hardness of polycrystalline diamond detectors (pcCVD) was performed at the LNS-INFN in Catania using a {sup 12}C beam at 62 MeV/u and intensities of up to 1.5 pnA. The setup consisted of pcCVD strip detectors to measure the beam profile, a single crystal diamond detector to calibrate the ionisation chamber working in current mode as a beam intensity monitor and a pcCVD sample to be irradiated. The IC used was designed for FAIR and showed a stable counting rate allowing us to calibrate and perform beam intensity measurements with it. The total measured counts on the sample were 8.25 x 10{sup 11} counts/mm{sup 2} over a period of 60 hours. Digital waveforms of the pcCVD signals were taken with an oscilloscope and analysed. The results showed no change of the pcCVD signal properties during the entire irradiation.

  9. Tl and OSL dosimetry of diamond films CVD pure and unpurified with boron-carbon

    International Nuclear Information System (INIS)

    Melendrez, R.; Pedroza M, M.; Chernov, V.; Ochoa N, J.D.; Bernal, R.; Barboza F, M.; Castaneda, B.; Goncalves, J.A.N.; Sandonato, G.M.; Cruz Z, E.; Preciado F, S.; Cruz V, C.; Brown, F.; Schreck, M.

    2004-01-01

    The diamond is a material that possesses extreme physical properties, such as its hardness to the radiation, its low chemical reactivity besides its equivalence to the human tissue, which qualify him as an ideal material for radiation dosimetry. In this work, it was studied the thermal and optically stimulated response (Tl and OSL) of polycrystalline diamond films grown by the technique of CVD pure and contaminated with Boron-carbon (B/C) with the intention of characterizing their efficiency like a dosemeter for radiation in a range of 0 - 3000 Gy. For the case of the films without impurities, the Tl curve presents four main peaks, two of them in an interval of temperatures of 150-200 C and other two additional around of 250-400 C. The dependence of the response of integrated Tl and that of OSL always maintained a lineal relationship with the exhibition dose up to 100 Gy. The behavior of the films contaminated with B/C (2000 - 20000 ppm) was established through experiments that involved the signal of OSL and their relationship with the Tl response. It was found that this processes are correlated, since the electrons caught in the traps of low temperature (50 - 250 C) of the Tl they are the electrons that recombining with more probability to provide the signal of OSL. According to these results it is possible to propose the diamond films as a good candidate for dosimetry to, using the traditional technique of Tl so much as well as the but recent of OSL. (Author)

  10. A study of the thermoluminescent properties of CVD diamond detectors

    International Nuclear Information System (INIS)

    Marczewska, B.; Bilski, P.; Olko, P.; Rebisz, M.; Nesladek, M.; Waligorski, M.P.R.

    2002-01-01

    A batch of 20 diamond detectors obtained by the chemical vapour deposition (CVD) method at the Institute for Materials Research at the Limburg University, Belgium, was investigated with respect to their thermoluminescent (TL) properties. The investigated detectors demonstrate TL sensitivity similar to that of the standard LiF:Mg, Ti (MTS) thermoluminescent detectors, lack of fading after two weeks from irradiation and apparent linearity of dose response. In spite of the persistent fluctuation of individual detector sensitivity observed in this batch, a new annealing procedure improved the stability of the TL signal. It has been concluded that 1 h annealing at 350 C assures the highest reproducibility for this set of detectors. A 30% discrepancy of the value of the TL signal between individual detectors from the batch may be caused by non-uniform distribution of dopants in the volume of the CVD diamond. A prototype of a planar TL reader equipped with a CCD camera was employed in this investigation. (Abstract Copyright [2002], Wiley Periodicals, Inc.)

  11. CVD Diamond Detectors for Current Mode Neutron Time-of-Flight Spectroscopy at OMEGA/NIF

    International Nuclear Information System (INIS)

    G. J. Schmid; V. Yu. Glebov; A. V. Friensehner; D. R. Hargrove; S. P. Hatchett; N. Izumi; R. A. Lerche; T. W. Phillips; T. C. Sangster; C. Silbernagel; C. Stoecki

    2001-01-01

    We have performed pulsed neutron and pulsed laser tests of a CVD diamond detector manufactured from DIAFILM, a commercial grade of CVD diamond. The laser tests were performed at the short pulse UV laser at Bechtel Nevada in Livermore, CA. The pulsed neutrons were provided by DT capsule implosions at the OMEGA laser fusion facility in Rochester, NY. From these tests, we have determined the impulse response to be 250 ps fwhm for an applied E-field of 500 V/mm. Additionally, we have determined the sensitivity to be 2.4 mA/W at 500 V/mm and 4.0 mA/W at 1000 V/mm. These values are approximately 2 to 5x times higher than those reported for natural Type IIa diamond at similar E-field and thickness (1mm). These characteristics allow us to conceive of a neutron time-of-flight current mode spectrometer based on CVD diamond. Such an instrument would sit inside the laser fusion target chamber close to target chamber center (TCC), and would record neutron spectra fast enough such that backscattered neutrons and x-rays from the target chamber wall would not be a concern. The acquired neutron spectra could then be used to extract DD fuel areal density from the downscattered secondary to secondary ratio

  12. A 3D tomographic EBSD analysis of a CVD diamond thin film

    International Nuclear Information System (INIS)

    Liu Tao; Raabe, Dierk; Zaefferer, Stefan

    2008-01-01

    We have studied the nucleation and growth processes in a chemical vapor deposition (CVD) diamond film using a tomographic electron backscattering diffraction method (3D EBSD). The approach is based on the combination of a focused ion beam (FIB) unit for serial sectioning in conjunction with high-resolution EBSD. Individual diamond grains were investigated in 3-dimensions particularly with regard to the role of twinning.

  13. Single crystal diamond detectors grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tuve, C.; Angelone, M.; Bellini, V.; Balducci, A.; Donato, M.G.; Faggio, G.; Marinelli, M.; Messina, G.; Milani, E.; Morgada, M.E.; Pillon, M.; Potenza, R.; Pucella, G.; Russo, G.; Santangelo, S.; Scoccia, M.; Sutera, C.; Tucciarone, A.; Verona-Rinati, G.

    2007-01-01

    The detection properties of heteropitaxial (polycrystalline, pCVD) and homoepitaxial (single crystal, scCVD) diamond films grown by microwave chemical vapor deposition (CVD) in the Laboratories of Roma 'Tor Vergata' University are reported. The pCVD diamond detectors were tested with α-particles from different sources and 12 C ions produced by 15MV Tandem accelerator at Southern National Laboratories (LNS) in Catania (Italy). pCVDs were also used to monitor 14MeV neutrons produced by the D-T plasma at Joint European Torus (JET), Culham, U.K. The limit of pCVDs is the poor energy resolution. To overcome this problem, we developed scCVD diamonds using the same reactor parameters that optimized pCVD diamonds. scCVD were grown on a low cost (100) HPHT single crystal substrate. A detector 110μm thick was tested under α-particles and under 14MeV neutron irradiation. The charge collection efficiency spectrum measured under irradiation with a triple α-particle source shows three clearly resolved peaks, with an energy resolution of about 1.1%. The measured spectra under neutron irradiation show a well separated C(n,α 0 ) 9 Be12 reaction peak with an energy spread of 0.5MeV for 14.8MeV neutrons and 0.3MeV for 14.1MeV neutrons, which are fully compatible with the energy spread of the incident neutron beams

  14. A comparative study of the thermoluminescent response to beta irradiation of CVD diamond and LiF dosimeters

    Energy Technology Data Exchange (ETDEWEB)

    Bogani, F. [Florence Univ. (Italy). Dipt. di Energetica; Borchi, E. [Florence Univ. (Italy). Dipt. di Energetica; Bruzzi, M. [Florence Univ. (Italy). Dipt. di Energetica; Leroy, C. [Florence Univ. (Italy). Dipt. di Energetica; Sciortino, S. [Florence Univ. (Italy). Dipt. di Energetica

    1997-04-01

    The thermoluminescent (TL) response of chemical vapour deposited (CVD) diamond films to beta irradiation has been investigated. A numerical curve-fitting procedure, calibrated by means of a set of LiF TLD100 experimental spectra, has been developed to deconvolute the complex structured TL glow curves. The values of the activation energy and of the frequency factor related to each of the TL peaks involved have been determined. The TL response of the CVD diamond films to beta irradiation has been compared with the TL response of a set of LiF TLD100 and TLD700 dosimeters. The results have been discussed and compared in view of an assessment of the efficiency of CVD diamond films in future applications as in vivo dosimeters. (orig.).

  15. A comparative study of the thermoluminescent response to beta irradiation of CVD diamond and LiF dosimeters

    Science.gov (United States)

    Bogani, F.; Borchi, E.; Bruzzi, M.; Leroy, C.; Sciortino, S.

    1997-02-01

    The thermoluminescent (TL) response of Chemical Vapour Deposited (CVD) diamond films to beta irradiation has been investigated. A numerical curve-fitting procedure, calibrated by means of a set of LiF TLD100 experimental spectra, has been developed to deconvolute the complex structured TL glow curves. The values of the activation energy and of the frequency factor related to each of the TL peaks involved have been determined. The TL response of the CVD diamond films to beta irradiation has been compared with the TL response of a set of LiF TLD100 and TLD700 dosimeters. The results have been discussed and compared in view of an assessment of the efficiency of CVD diamond films in future applications as in vivo dosimeters.

  16. A comparative study of the thermoluminescent response to beta irradiation of CVD diamond and LiF dosimeters

    International Nuclear Information System (INIS)

    Bogani, F.; Borchi, E.; Bruzzi, M.; Leroy, C.; Sciortino, S.

    1997-01-01

    The thermoluminescent (TL) response of chemical vapour deposited (CVD) diamond films to beta irradiation has been investigated. A numerical curve-fitting procedure, calibrated by means of a set of LiF TLD100 experimental spectra, has been developed to deconvolute the complex structured TL glow curves. The values of the activation energy and of the frequency factor related to each of the TL peaks involved have been determined. The TL response of the CVD diamond films to beta irradiation has been compared with the TL response of a set of LiF TLD100 and TLD700 dosimeters. The results have been discussed and compared in view of an assessment of the efficiency of CVD diamond films in future applications as in vivo dosimeters. (orig.)

  17. A 3D tomographic EBSD analysis of a CVD diamond thin film

    Directory of Open Access Journals (Sweden)

    Tao Liu, Dierk Raabe and Stefan Zaefferer

    2008-01-01

    Full Text Available We have studied the nucleation and growth processes in a chemical vapor deposition (CVD diamond film using a tomographic electron backscattering diffraction method (3D EBSD. The approach is based on the combination of a focused ion beam (FIB unit for serial sectioning in conjunction with high-resolution EBSD. Individual diamond grains were investigated in 3-dimensions particularly with regard to the role of twinning.

  18. Optical characterization of single-crystal diamond grown by DC arc plasma jet CVD

    Science.gov (United States)

    Hei, Li-fu; Zhao, Yun; Wei, Jun-jun; Liu, Jin-long; Li, Cheng-ming; Lü, Fan-xiu

    2017-12-01

    Optical centers of single-crystal diamond grown by DC arc plasma jet chemical vapor deposition (CVD) were examined using a low-temperature photoluminescence (PL) technique. The results show that most of the nitrogen-vacancy (NV) complexes are present as NV- centers, although some H2 and H3 centers and B-aggregates are also present in the single-crystal diamond because of nitrogen aggregation resulting from high N2 incorporation and the high mobility of vacancies under growth temperatures of 950-1000°C. Furthermore, emissions of radiation-induced defects were also detected at 389, 467.5, 550, and 588.6 nm in the PL spectra. The reason for the formation of these radiation-induced defects is not clear. Although a Ni-based alloy was used during the diamond growth, Ni-related emissions were not detected in the PL spectra. In addition, the silicon-vacancy (Si-V)-related emission line at 737 nm, which has been observed in the spectra of many previously reported microwave plasma chemical vapor deposition (MPCVD) synthetic diamonds, was absent in the PL spectra of the single-crystal diamond prepared in this work. The high density of NV- centers, along with the absence of Ni-related defects and Si-V centers, makes the single-crystal diamond grown by DC arc plasma jet CVD a promising material for applications in quantum computing.

  19. Development of Diamond Tracking Detectors for High Luminosity Experiments at the LHC, HL-LHC and Beyond

    CERN Document Server

    Kagan, Harris (Ohio State)

    2018-01-01

    The RD42 collaboration at CERN is leading the effort to develop radiation tolerant devices based on polycrystalline Chemical Vapor Deposition (pCVD) diamond as a material for tracking detectors operating in harsh radiation environments. Diamond has properties that make it suitable for such detector applications. During the last few years the RD42 group has succeeded in producing and characterising a number of devices to address specific issues related to their use at the LHC and HL-LHC. Herein we present the status of the RD42 project with emphasis on recent beam test results and our proposed three year research plan. In particular, we review recent results on the stability of signal size on incident particle rate in diamond detectors over a range of particle fluxes up to 20 MHz/cm2, on the radiation tolerance of CVD diamond, on the diamond work with ATLAS and CMS, on the results of 3D diamond detectors fabricated in pCVD diamond and on the work with diamond manufacturers. In addition, we present the details ...

  20. Tracking performance of a single-crystal and a polycrystalline diamond pixel-detector

    Energy Technology Data Exchange (ETDEWEB)

    Menasce, D.; et al.

    2013-06-01

    We present a comparative characterization of the performance of a single-crystal and a polycrystalline diamond pixel-detector employing the standard CMS pixel readout chips. Measurements were carried out at the Fermilab Test Beam Facility, FTBF, using protons of momentum 120 GeV/c tracked by a high-resolution pixel telescope. Particular attention was directed to the study of the charge-collection, the charge-sharing among adjacent pixels and the achievable position resolution. The performance of the single-crystal detector was excellent and comparable to the best available silicon pixel-detectors. The measured average detection-efficiency was near unity, ε = 0.99860±0.00006, and the position-resolution for shared hits was about 6 μm. On the other hand, the performance of the polycrystalline detector was hampered by its lower charge collection distance and the readout chip threshold. A new readout chip, capable of operating at much lower threshold (around 1 ke$-$), would be required to fully exploit the potential performance of the polycrystalline diamond pixel-detector.

  1. Modified diamond electrodes for electrolysis and electroanalysis applications

    International Nuclear Information System (INIS)

    Einaga, Yasuaki; Sato, Rika; Olivia, Herlambang; Shin, Dongchan; Ivandini, T.A.; Fujishima, Akira

    2004-01-01

    The outstanding properties of diamond make it a very attractive material for use in many potential applications. In particular, the superior electrochemical properties of highly boron-doped conductive diamond films, prepared by the chemical vapor deposition (CVD) process, have received attention from electrochemists. This paper reports several diversified applications of boron-doped diamond electrodes; highly sensitive and interference-free microfiber electrodes with over-oxidized polypyrrole modification, integrated electrochemical detector for microchip capillary electrophoresis (CE), and smoothing treatments of micro-polycrystalline surface. Studies have been made of the electrochemical properties of each system and their application in electroanalysis is discussed

  2. Experimental studies of N~+ implantation into CVD diamond thin films

    Institute of Scientific and Technical Information of China (English)

    辛火平; 林成鲁; 王建新; 邹世昌; 石晓红; 林梓鑫; 周祖尧; 刘祖刚

    1997-01-01

    The effects of N+ implantation under various conditions on CVD diamond films were analyzed with Raman spectroscopy, four-point probe method, X-ray diffraction (XRD), Rutherford backseattering spectroscopy (RBS), ultraviolet photoluminescence spectroscopy (UV-PL), Fourier transformation infrared absorption spectroscopy (FTIR) and X-ray photoelectron spectroscopy (XPS). The results show that the N+ implantation doping without any graphitization has been successfully realized when 100 keV N+ ions at a dosage of 2 × 1016 cm-2 were implanted into diamond films at 550℃ . UV-PL spectra indicate that the implanted N+ ions formed an electrically inactive deep-level impurity in diamond films. So the sheet resistance of the sample after N+ implantation changed little. Carbon nitride containing C≡N covalent bond has been successfully synthesized by 100 keV, 1.2×1018 N/cm2 N+ implantation into diamond films. Most of the implanted N+ ions formed C≡N covalent bonds with C atoms. The others were free state nitroge

  3. Structural and functional characterization of HPHT diamond crystals used in photoconductive devices

    Energy Technology Data Exchange (ETDEWEB)

    Pace, E.; Pini, A. [Florence Univ. (Italy). Ist. di Astronomia; Vinattieri, A.; Bogani, F.; Santoro, M.; Messina, G.; Santangelo, S.; Sato, Y.

    2000-09-01

    Diamond films are extensively studied for applications as functional material for UV photoconductors. CVD-grown polycrystalline diamond films show very interesting performances, but their complete exploitation is actually limited by a slow time response if compared to other materials, by a relatively high concentration of structural defects, impurities and grain boundaries, which may affect the collection length of photogenerated charges. High-quality single crystal diamonds could solve some of these problems. The absence of grain boundaries can produce longer collection lengths. The nitrogen and impurity contents can be reduced and then large type-IIa diamond single-crystals can be obtained. In this work, a detailed structural and functional characterization of type Ib HPHT diamond crystals has been carried out and the results have been compared to similar characterizations of CVD films to evaluate the different behavior, taking also into account that these high pressure high temperature (HPHT) diamond crystals contain several tens ppm of nitrogen. (orig.)

  4. Lattice Parameter of Polycrystalline Diamond in the Low-Temperature Range

    International Nuclear Information System (INIS)

    Paszkowicz, W.; Piszora, P.; Lasocha, W.; Margiolaki, I.; Brunelli, M.; Fitch, A.

    2010-01-01

    The lattice parameter for polycrystalline diamond is determined as a function of temperature in the 4-300 K temperature range. In the range studied, the lattice parameter, expressed in angstrom units, of the studied sample increases according to the equation a = 3.566810(12) + 6.37(41) x 10 -14 T 4 (approximately, from 3.5668 to 3.5673 A). This increase is larger than that earlier reported for pure single crystals. The observed dependence and the resulting thermal expansion coefficient are discussed on the basis of literature data reported for diamond single crystals and polycrystals. (authors)

  5. Direct deposition of patterned nanocrystalline CVD diamond using an electrostatic self-assembly method with nanodiamond particles

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seung-Koo; Kim, Jong-Hoon; Jeong, Min-Goon; Lim, Dae-Soon [Department of Materials Science and Engineering, Korea University, Anam-Dong 5-1, Seoungbuk-Ku, Seoul 136-713 (Korea, Republic of); Song, Min-Jung, E-mail: dslim@korea.ac.kr [Center for Advanced Device Materials, Korea University, Anam-Dong 5-1, Seoungbuk-Ku, Seoul 136-713 (Korea, Republic of)

    2010-12-17

    Micron-sized and precise patterns of nanocrystalline CVD diamond were fabricated successfully on substrates using dispersed nanodiamond particles, charge connection by electrostatic self-assembly, and photolithography processes. Nanodiamond particles which had been dispersed using an attritional milling system were attached electrostatically on substrates as nuclei for diamond growth. In this milling process, poly sodium 4-styrene sulfonate (PSS) was added as an anionic dispersion agent to produce the PSS/nanodiamond conjugates. Ultra dispersed nanodiamond particles with a {zeta}-potential and average particle size of - 60.5 mV and {approx} 15 nm, respectively, were obtained after this milling process. These PSS/nanodiamond conjugates were attached electrostatically to a cationic polyethyleneimine (PEI) coated surface on to which a photoresist had been patterned in an aqueous solution of the PSS/nanodiamond conjugated suspension. A selectively seeded area was formed successfully using the above process. A hot filament chemical vapor deposition system was used to synthesize the nanocrystalline CVD diamond on the seeded area. Micron-sized, thin and precise nanocrystalline CVD diamond patterns with a high nucleation density (3.8 {+-} 0.4 x 10{sup 11} cm{sup -2}) and smooth surface were consequently fabricated.

  6. Direct deposition of patterned nanocrystalline CVD diamond using an electrostatic self-assembly method with nanodiamond particles

    International Nuclear Information System (INIS)

    Lee, Seung-Koo; Kim, Jong-Hoon; Jeong, Min-Goon; Lim, Dae-Soon; Song, Min-Jung

    2010-01-01

    Micron-sized and precise patterns of nanocrystalline CVD diamond were fabricated successfully on substrates using dispersed nanodiamond particles, charge connection by electrostatic self-assembly, and photolithography processes. Nanodiamond particles which had been dispersed using an attritional milling system were attached electrostatically on substrates as nuclei for diamond growth. In this milling process, poly sodium 4-styrene sulfonate (PSS) was added as an anionic dispersion agent to produce the PSS/nanodiamond conjugates. Ultra dispersed nanodiamond particles with a ζ-potential and average particle size of - 60.5 mV and ∼ 15 nm, respectively, were obtained after this milling process. These PSS/nanodiamond conjugates were attached electrostatically to a cationic polyethyleneimine (PEI) coated surface on to which a photoresist had been patterned in an aqueous solution of the PSS/nanodiamond conjugated suspension. A selectively seeded area was formed successfully using the above process. A hot filament chemical vapor deposition system was used to synthesize the nanocrystalline CVD diamond on the seeded area. Micron-sized, thin and precise nanocrystalline CVD diamond patterns with a high nucleation density (3.8 ± 0.4 x 10 11 cm -2 ) and smooth surface were consequently fabricated.

  7. CVD diamond sensor for UV-photon detection

    CERN Document Server

    Periale, L; Gervino, G; Lamarina, A M; Palmisano, C; Periale, R; Picchi, P

    2012-01-01

    A new generation of UV photosensors, based on single crystal Chemical Vapour Deposition (CVD) diamonds to work optically coupled with large volume two-phase liquid-Ar (LAr) or liquid-Xe (LXe) detectors nowadays under design for the next generation of WIMPs experiments, is under development. Preliminary tests and first calibrations show these devices can have better performance than the existing UV sensitive detectors (higher photosensitivity and better signal-to-noise ratio). I-V characteristics, dark current measurements, linearity response to X-ray irradiation, and alpha-particle energy resolution are reported and discussed. (C) 2011 Elsevier B.V. All rights reserved.

  8. Growth, characterization and properties of CVD diamond films for applications as radiation detectors

    International Nuclear Information System (INIS)

    Sciorti, S.

    1999-01-01

    The aim of the work is to give a picture of the current state of the art of CVD (chemical vapour deposition) diamond. The interest is due to the capability to grow over large areas a material with physical properties suitable for an impressive number of applications. The authors focuses on the potential of diamond as a radiation detector and gets into details of the huge field that extends from the thermochemistry of the deposition process to the test of a diamond-based tracker with a fast readout electronics

  9. H-terminated polycrystalline boron doped diamond electrode for geochemical sensing into underground components of nuclear repositories

    International Nuclear Information System (INIS)

    Boussadi, A.; Betelu, S.; Ignatiadis, I.; Silva, F.

    2012-01-01

    Document available in extended abstract form only. Nuclear waste repositories are being installed in deep excavated rock formations in some places in Europe to isolate and store radioactive waste. In France, Callovo-Oxfordian formation (COx) is potential candidate for nuclear waste repository. It is thus necessary to measure in situ the state of a structure's health during its entire life. The monitoring of the near-field rock and the knowledge of the geochemical transformations can be carried out by a set of sensors for a sustainable management of long-term safety, reversibility and retrievability. Among the chemical parameters, the most significant are pH, conductivity and redox potential. Wide band gap semiconductors are favored materials for chemical sensing because of their high stability to many chemical agents. Among the wide band gap materials, Chemical Vapor Deposition (CVD) boron doped diamond (BDD) benefits from a large band gap, which gives rise to a wide electrochemical potential window. It is moreover described as a radiation, corrosion and bio-corrosion resistant. These remarkable properties, in addition to a low double layer capacity and a low residual current, make BDD a promising material for geochemical sensor elaboration. This work aimed to investigate BDD- based electrodes coated with p-type polycrystalline BDD-hydrogen- terminated surfaces (1 cm 2 ) for pH and/or redox measurements into the underground components of nuclear repositories. The boron-doped p-type channel was grown in a microwave plasma reactor (BJS 150). The boron-doped channel was hydrogen terminated by a hydrogen plasma treatment in the CVD reactor, resulting in full saturation of the surface carbon bonds with hydrogen atoms. Scanning Electron Microscopy (SEM) of the polycrystalline BDD coating with a Bore/Carbon ratio of 500 ppm shows the typical columnar growth of the polycrystalline CVD diamond. A homogeneous surface was observed concerning the crystallite size which average

  10. A wear simulation study of nanostructured CVD diamond-on-diamond articulation involving concave/convex mating surfaces

    Science.gov (United States)

    Baker, Paul A.; Thompson, Raymond G.; Catledge, Shane A.

    2015-01-01

    Using microwave-plasma Chemical Vapor Deposition (CVD), a 3-micron thick nanostructured-diamond (NSD) layer was deposited onto polished, convex and concave components that were machined from Ti-6Al-4V alloy. These components had the same radius of curvature, 25.4mm. Wear testing of the surfaces was performed by rotating articulation of the diamond-deposited surfaces (diamond-on-diamond) with a load of 225N for a total of 5 million cycles in bovine serum resulting in polishing of the diamond surface and formation of very shallow, linear wear grooves of less than 50nm depth. The two diamond surfaces remained adhered to the components and polished each other to an average surface roughness that was reduced by as much as a factor of 80 for the most polished region located at the center of the condyle. Imaging of the surfaces showed that the initial wearing-in phase of diamond was only beginning at the end of the 5 million cycles. Atomic force microscopy, scanning electron microscopy, Raman spectroscopy, and surface profilometry were used to characterize the surfaces and verify that the diamond remained intact and uniform over the surface, thereby protecting the underlying metal. These wear simulation results show that diamond deposition on Ti alloy has potential application for joint replacement devices with improved longevity over existing devices made of cobalt chrome and ultra-high molecular weight polyethylene (UHMWPE). PMID:26989457

  11. Mechanical pretreatment for improved adhesion of diamond coatings

    International Nuclear Information System (INIS)

    Toenshoff, H.K.; Mohlfeld, A.; Gey, C.; Winkler, J.

    1999-01-01

    Diamond coatings are mainly used in cutting processes due to their tribological characteristics. They show a high hardness, low friction coefficient, high wear resistance and good chemical inertness. In relation to polycrystalline diamond (PCD)-tipped cutting inserts, especially the advantageous chemical stability of diamond coatings is superior as no binder phases between diamond grains are used. However, the deposition of adherent high-quality diamond coatings has been found difficult. Thus, substrate pretreatment is utilised to improve film adhesion. This investigation is based on water peening of the substrate material before coating. The investigation revealed best results for diamond film adhesion on pretreated substrates compared to conventional diamond coatings on cemented carbide tools applied with the CVD hot-filament process. In final cutting tests with increased film adhesion trough water peened cutting tools an improved wear behavior was detected. (orig.)

  12. An optical emission spectroscopy study of the plasma generated in the DC HF CVD nucleation of diamond

    Energy Technology Data Exchange (ETDEWEB)

    Larijani, M.M. [Nuclear Research Centre for Agriculture and Medicine, AEOI, P.O. Box 31485-498, Karaj (Iran, Islamic Republic of)]. E-mail: mmojtahedzadeh@nrcam.org; Le Normand, F. [Groupe Surfaces-Interfaces, IPCMS, UMR 7504 CNRS, BP 20, 67037 Strasbourg Cedex 2 (France); Cregut, O. [Groupe Surfaces-Interfaces, IPCMS, UMR 7504 CNRS, BP 20, 67037 Strasbourg Cedex 2 (France)

    2007-02-15

    Optical emission spectroscopy (OES) was used to study the plasma generated by the activation of the gas phase CH{sub 4} + H{sub 2} both by hot filaments and by a plasma discharge (DC HF CVD) during the nucleation of CVD diamond. The effects of nucleation parameters, such as methane concentration and extraction potential, on the plasma chemistry near the surface were investigated. The density of the diamond nucleation and the quality of the diamond films were studied by scanning electron microscopy (SEM) and Raman scattering, respectively. The OES results showed that the methane concentration influenced strongly the intensity ratio of H{sub {beta}}-H{sub {alpha}} implying an increase of electron mean energy, as well as CH, CH{sup +}, C{sub 2}. A correlation between the relative increase of CH{sup +} and the diamond nucleation density was found, conversely the increase of C{sub 2} contributed to the introduction of defects in the diamond nuclei.

  13. The role of (sub)-surface oxygen on the surface electronic structure of hydrogen terminated (100) CVD diamond

    NARCIS (Netherlands)

    Deferme, W.; Tanasa, G.; Amir, J.; Haenen, K.; Nesladek, M.; Flipse, C.F.J.

    2006-01-01

    In this work, scanning tunnelling microscopy (STM) and scanning tunnelling spectroscopy (STS) were applied to investigate the surface morphol. and the surface electronic structure of plasma-treated (100)-oriented CVD diamond films. These films were hydrogenated using a conventional MWPE-CVD

  14. CVD-graphene growth on different polycrystalline transition metals

    Directory of Open Access Journals (Sweden)

    M. P. Lavin-Lopez

    2017-01-01

    Full Text Available The chemical vapor deposition (CVD graphene growth on two polycrystalline transition metals (Ni and Cu was investigated in detail using Raman spectroscopy and optical microscopy as a way to synthesize graphene of the highest quality (i.e. uniform growth of monolayer graphene, which is considered a key issue for electronic devices. Key CVD process parameters (reaction temperature, CH4/H2flow rate ratio, total flow of gases (CH4+H2, reaction time were optimized for both metals in order to obtain the highest graphene uniformity and quality. The conclusions previously reported in literature about the performance of low and high carbon solubility metals in the synthesis of graphene and their associated reaction mechanisms, i.e. surface depositionand precipitation on cooling, respectively, was not corroborated by the results obtained in this work. Under the optimal reaction conditions, a large percentage of monolayer graphene was obtained over the Ni foil since the carbon saturation was not complete, allowing carbon atoms to be stored in the bulk metal, which could diffuse forming high quality monolayer graphene at the surface. However, under the optimal reaction conditions, the formation of a non-uniform mixture of few layers and multilayer graphene on the Cu foil was related to the presence of an excess of active carbon atoms on the Cu surface.

  15. Parameterisation of radiation effects on CVD diamond for proton irradiation

    International Nuclear Information System (INIS)

    Hartjes, F.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Wagner, A.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; White, C.; Zeuner, W.; Ziock, H.; Zoeller, M.

    1999-01-01

    The paper reviews measurements of the radiation hardness of CVD diamond for 24 GeV/c proton irradiation at fluences up to 5 * 10 15 protons/cm 2 . The results not only show radiation damage but also an annealing effect that is dominant at levels around 10 15 protons/cm 2 . A model describing both effects is introduced, enabling a prediction of the distribution curve of the charge signal for other levels

  16. Superconductivity and low temperature electrical transport in B-doped CVD nanocrystalline diamond

    Czech Academy of Sciences Publication Activity Database

    Nesládek, M.; Mareš, Jiří J.; Tromson, D.; Mer, Ch.; Bergonzo, P.; Hubík, Pavel; Krištofik, Jozef

    2006-01-01

    Roč. 7, Suppl. 1 (2006), S41-S44 ISSN 1468-6996 R&D Projects: GA ČR(CZ) GA202/06/0040 Institutional research plan: CEZ:AV0Z10100521 Keywords : superconductivity * electrical transport * doping * CVD diamond Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.124, year: 2006

  17. Nanostructured Diamond Device for Biomedical Applications.

    Science.gov (United States)

    Fijalkowski, M; Karczemska, A; Lysko, J M; Zybala, R; KozaneckI, M; Filipczak, P; Ralchenko, V; Walock, M; Stanishevsky, A; Mitura, S

    2015-02-01

    Diamond is increasingly used in biomedical applications because of its unique properties such as the highest thermal conductivity, good optical properties, high electrical breakdown voltage as well as excellent biocompatibility and chemical resistance. Diamond has also been introduced as an excellent substrate to make the functional microchip structures for electrophoresis, which is the most popular separation technique for the determination of analytes. In this investigation, a diamond electrophoretic chip was manufactured by a replica method using a silicon mold. A polycrystalline 300 micron-thick diamond layer was grown by the microwave plasma-assisted CVD (MPCVD) technique onto a patterned silicon substrate followed by the removal of the substrate. The geometry of microstructure, chemical composition, thermal and optical properties of the resulting free-standing diamond electrophoretic microchip structure were examined by CLSM, SFE, UV-Vis, Raman, XRD and X-ray Photoelectron Spectroscopy, and by a modified laser flash method for thermal property measurements.

  18. Adhesion analysis of polycrystalline diamond films on molybdenum by means of scratch, indentation and sand abrasion testing

    NARCIS (Netherlands)

    Buijnsters, J.G.; Shankar, P.; Enckevort, W.J.P. van; Schermer, J.J.; Meulen, J.J. ter

    2005-01-01

    Diamond films have been grown by hot-filament chemical vapour deposition (CVD) on molybdenum substrates under different growth conditions. The films grown with increasing substrate temperatures show a higher interconnection of diamond grains, whereas increasing methane concentrations in the 0.5-4.0%

  19. Parameterisation of radiation effects on CVD diamond for proton irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Hartjes, F.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Wagner, A.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; White, C.; Zeuner, W.; Ziock, H.; Zoeller, M

    1999-08-01

    The paper reviews measurements of the radiation hardness of CVD diamond for 24 GeV/c proton irradiation at fluences up to 5{sup *}10{sup 15} protons/cm{sup 2}. The results not only show radiation damage but also an annealing effect that is dominant at levels around 10{sup 15} protons/cm{sup 2}. A model describing both effects is introduced, enabling a prediction of the distribution curve of the charge signal for other levels.

  20. Pushing the boundaries of high power lasers: low loss, large area CVD diamond

    Science.gov (United States)

    Wickham, Benjamin; Schoofs, Frank; Olsson-Robbie, Stefan; Bennett, Andrew; Balmer, Richard

    2018-02-01

    Synthetic CVD diamond has exceptional properties, including broad spectral transmission, physical and chemical robustness, and the highest thermal conductivity of any known material, making diamond an attractive material for medium to high power optical and laser applications, minimizing the detrimental effects of thermal lensing and radiation damage. Example applications include ATR prisms, Raman laser crystals, extra- and intra-cavity laser cooling. In each case the demands on the fundamental material properties and fabrication routes are slightly different. In recent years, there has been good progress in the development of low-loss, single crystal diamond, suitable for higher power densities, higher pulse rates and more demanding intra- and extra-cavity thermal management. The adoption of single crystal diamond in this area has however, been hindered by the availability of large area, low birefringence plates. To address this, we report a combination of CVD growth and processing methods that have enabled the manufacture of large, low defect substrates. A final homoepitaxial, low absorption synthesis stage has produced plates with large area (up to 16 mm edge length), low absorption (α<0.005 cm-1 at 1064 nm), and low birefringence (Δn <10-5), suitable for double-sided intra-cavity cooling. We demonstrate the practical advances in synthesis, including increasing the size while reducing in-use losses compared to previous generations of single crystal material, and practical developments in processing and implementation of the single crystal diamond parts, optimizing them for use in a state-of-the-art femto-second pulsed Ti:Sa thin disk gain module, all made in collaboration with the wider European FP7 funded Ti:Sa TD consortium.

  1. Tl and OSL dosimetry of diamond films CVD pure and unpurified with boron-carbon; Dosimetria Tl y OSL de peliculas de diamante CVD puras e impurificadas con boro-carbono

    Energy Technology Data Exchange (ETDEWEB)

    Melendrez, R.; Pedroza M, M.; Chernov, V.; Ochoa N, J.D.; Bernal, R.; Barboza F, M. [CIF, UNISON, A.P. 5-088, 83190 Hermosillo, Sonora (Mexico); Castaneda, B. [Departamento de Fisica, Universidad de Sonora, Apdo. Postal 1626, Hermosillo, Sonora (Mexico); Goncalves, J.A.N.; Sandonato, G.M. [Laboratorio Associado de Plasma, Instituto Nacional de Pesquisas Espaciais C.P. 515- 12201 -970, Sao Jose dos Campos, SP (Brazil); Cruz Z, E. [Instituto de Ciencias Nucleares, UNAM, Apdo. Postal 70-543, 04510 Mexico D.F. (Mexico); Preciado F, S.; Cruz V, C.; Brown, F. [Departamento de Investigacion en Polimeros y Materiales de la Universidad de Sonora, Apdo. Postal 130, 83000 Hermosillo, Sonora (Mexico); Schreck, M. [Universitaet Augsburg, Institut fuer Physik D-86135 Augsburg (Germany)

    2004-07-01

    The diamond is a material that possesses extreme physical properties, such as its hardness to the radiation, its low chemical reactivity besides its equivalence to the human tissue, which qualify him as an ideal material for radiation dosimetry. In this work, it was studied the thermal and optically stimulated response (Tl and OSL) of polycrystalline diamond films grown by the technique of CVD pure and contaminated with Boron-carbon (B/C) with the intention of characterizing their efficiency like a dosemeter for radiation in a range of 0 - 3000 Gy. For the case of the films without impurities, the Tl curve presents four main peaks, two of them in an interval of temperatures of 150-200 C and other two additional around of 250-400 C. The dependence of the response of integrated Tl and that of OSL always maintained a lineal relationship with the exhibition dose up to 100 Gy. The behavior of the films contaminated with B/C (2000 - 20000 ppm) was established through experiments that involved the signal of OSL and their relationship with the Tl response. It was found that this processes are correlated, since the electrons caught in the traps of low temperature (50 - 250 C) of the Tl they are the electrons that recombining with more probability to provide the signal of OSL. According to these results it is possible to propose the diamond films as a good candidate for dosimetry to, using the traditional technique of Tl so much as well as the but recent of OSL. (Author)

  2. Cathodoluminescence characteristics of polycrystalline diamond films grown by cyclic deposition method

    International Nuclear Information System (INIS)

    Seo, Soo-Hyung; Park, Chang-Kyun; Park, Jin-Seok

    2002-01-01

    Polycrystalline diamond films were deposited using a cyclic deposition method where the H 2 plasma for etching (t E ) and the CH 4 +H 2 plasma for growing (t G ) are alternately modulated with various modulation ratios (t E /t G ). From the measurement of full width at half maximum and I D /I G intensity ratio obtained from the Raman spectra, it was found that diamond defects and non-diamond carbon phases were reduced a little by adopting the cyclic deposition method. From the cathodoluminescence (CL) characteristics measured for deposited films, the nitrogen-related band (centered at approximately 590 nm) as well as the so-called band-A (centered at approximately 430 nm) were observed. As the cyclic ratio t E /t G increased, the relative intensity ratio of band-A to nitrogen-related band (I A /I N ) was found to monotonically decrease. In addition, analysis of X-ray diffraction spectra and scanning electron microscope morphologies showed that CL characteristics of deposited diamond films were closely related to their crystal orientations and morphologies

  3. Tribological performance of polycrystalline tantalum-carbide-incorporated diamond films on silicon substrates

    Science.gov (United States)

    Ullah, Mahtab; Rana, Anwar Manzoor; Ahmed, E.; Malik, Abdul Sattar; Shah, Z. A.; Ahmad, Naseeb; Mehtab, Ujala; Raza, Rizwan

    2018-05-01

    Polycrystalline tantalum-carbide-incorporated diamond coatings have been made on unpolished side of Si (100) wafer by hot filament chemical vapor deposition process. Morphology of the coatings has been found to vary from (111) triangular-facetted to predominantly (111) square-faceted by increasing the concentration of tantalum carbide. The results have been compared to those of a diamond reference coating with no tantalum content. An increase in roughness has been observed with the increase of tantalum carbide (TaC) due to change in morphology of the diamond films. It is noticed that roughness of the coatings increases as grains become more square-faceted. It is found that diamond coatings involving tantalum carbide are not as resistant as diamond films with no TaC content and the coefficient of friction for such coatings with microcrystalline grains can be manipulated to 0·33 under high vacuum of 10-7 Torr. Such a low friction coefficient value enhances tribological behavior of unpolished Si substrates and can possibly be used in sliding applications.

  4. SU-E-T-153: Detector-Grade CVD Diamond for Radiotherapy Dosimetry.

    Science.gov (United States)

    Lansley, S; Betzel, G; McKay, D; Meyer, J

    2012-06-01

    To evaluate the use of commercially available detector-grade synthetic diamond films made via chemical vapor deposition (CVD) as x- ray detectors for radiotherapy dosimetry. A detector was fabricated using high-quality single crystal CVD diamond films (0.5 × 3 × 3 mm̂3) with 0.4 mm̂3 sensitive volumes, which were encapsulated with PMMA. The detector was placed in a (30 × 30 × 30 cm̂3) PTW water phantom. Six- and ten-MV photons from an Elekta Synergy linac were measured using an SSD of 90 cm and typically a 10-cm phantom depth with a 10 × 10 cm̂2 field size in the central axis of the beam. Data acquisition was performed using a PTW UNIDOS E electrometer with a 100-V bias. The detector was evaluated by measuring leakage current, priming dose, response dynamics, dose linearity, dependence on dose rate, percent depth dose (6 and 10 MV photons) and output factors. Some measurements were compared with a Si diode detector, 0.04 and/or 0.13-cc ion chamber(s). Leakage currents were negligible (∼1 pA) given the overall average sensitivity of the material (680 nC/Gy at 100 V). Detector current rise and fall times were detectors as expected. The type of diamond tested has potential to be used for small field dosimetry due to its small sensitive volume and high sensitivity. Further experiments are ongoing and detector packaging is yet to be optimized. © 2012 American Association of Physicists in Medicine.

  5. Polycrystalline Diamond Coating of Additively Manufactured Titanium for Biomedical Applications.

    Science.gov (United States)

    Rifai, Aaqil; Tran, Nhiem; Lau, Desmond W; Elbourne, Aaron; Zhan, Hualin; Stacey, Alastair D; Mayes, Edwin L H; Sarker, Avik; Ivanova, Elena P; Crawford, Russell J; Tran, Phong A; Gibson, Brant C; Greentree, Andrew D; Pirogova, Elena; Fox, Kate

    2018-03-14

    Additive manufacturing using selective laser melted titanium (SLM-Ti) is used to create bespoke items across many diverse fields such as medicine, defense, and aerospace. Despite great progress in orthopedic implant applications, such as for "just in time" implants, significant challenges remain with regards to material osseointegration and the susceptibility to bacterial colonization on the implant. Here, we show that polycrystalline diamond coatings on these titanium samples can enhance biological scaffold interaction improving medical implant applicability. The highly conformable coating exhibited excellent bonding to the substrate. Relative to uncoated SLM-Ti, the diamond coated samples showed enhanced mammalian cell growth, enriched apatite deposition, and reduced microbial S. aureus activity. These results open new opportunities for novel coatings on SLM-Ti devices in general and especially show promise for improved biomedical implants.

  6. Structural Transformation upon Nitrogen Doping of Ultrananocrystalline Diamond Films by Microwave Plasma CVD

    Directory of Open Access Journals (Sweden)

    Chien-Chung Teng

    2009-01-01

    Full Text Available The molecular properties and surface morphology of undoped and N-doped ultra-nanocrystalline diamond (UNCD films deposited by microwave plasma CVD with addition of nitrogen are investigated with various spectroscopic techniques. The results of spatially resolved Raman scattering, ATR/FT-IR and XPS spectra show more amorphous and sp2/sp3 ratio characteristics in N-doped UNCD films. The surface morphology in AFM scans shows larger nanocrystalline diamond clusters in N-doped UNCD films. Incorporation of nitrogen into UNCD films has promoted an increase of amorphous sp2-bonded carbons in the grain boundaries and the size of nanocrystalline diamond grains that are well correlated to the reported enhancement of conductivity and structural changes of UNCD films.

  7. A large area diamond-based beam tagging hodoscope for ion therapy monitoring

    Science.gov (United States)

    Gallin-Martel, M.-L.; Abbassi, L.; Bes, A.; Bosson, G.; Collot, J.; Crozes, T.; Curtoni, S.; Dauvergne, D.; De Nolf, W.; Fontana, M.; Gallin-Martel, L.; Hostachy, J.-Y.; Krimmer, J.; Lacoste, A.; Marcatili, S.; Morse, J.; Motte, J.-F.; Muraz, J.-F.; Rarbi, F. E.; Rossetto, O.; Salomé, M.; Testa, É.; Vuiart, R.; Yamouni, M.

    2018-01-01

    The MoniDiam project is part of the French national collaboration CLaRyS (Contrôle en Ligne de l'hAdronthérapie par RaYonnements Secondaires) for on-line monitoring of hadron therapy. It relies on the imaging of nuclear reaction products that is related to the ion range. The goal here is to provide large area beam detectors with a high detection efficiency for carbon or proton beams giving time and position measurement at 100 MHz count rates (beam tagging hodoscope). High radiation hardness and intrinsic electronic properties make diamonds reliable and very fast detectors with a good signal to noise ratio. Commercial Chemical Vapor Deposited (CVD) poly-crystalline, heteroepitaxial and monocrystalline diamonds were studied. Their applicability as a particle detector was investigated using α and β radioactive sources, 95 MeV/u carbon ion beams at GANIL and 8.5 keV X-ray photon bunches from ESRF. This facility offers the unique capability of providing a focused ( 1 μm) beam in bunches of 100 ps duration, with an almost uniform energy deposition in the irradiated detector volume, therefore mimicking the interaction of single ions. A signal rise time resolution ranging from 20 to 90 ps rms and an energy resolution of 7 to 9% were measured using diamonds with aluminum disk shaped surface metallization. This enabled us to conclude that polycrystalline CVD diamond detectors are good candidates for our beam tagging hodoscope development. Recently, double-side stripped metallized diamonds were tested using the XBIC (X Rays Beam Induced Current) set-up of the ID21 beamline at ESRF which permits us to evaluate the capability of diamond to be used as position sensitive detector. The final detector will consist in a mosaic arrangement of double-side stripped diamond sensors read out by a dedicated fast-integrated electronics of several hundreds of channels.

  8. Investigation of the electric field in irradiated diamond sensors

    Energy Technology Data Exchange (ETDEWEB)

    Kassel, Florian; Barvich, Tobias; Boer, Wim de; Dierlamm, Alexander; Eber, Robert; Nuernberg, Andreas; Steck, Pia [Institut fuer Experimentelle Kernphysik (IEKP), Karlsruher Institut fuer Technologie (KIT) (Germany); Dabrowski, Anne; Guthoff, Moritz [CERN (Switzerland)

    2015-07-01

    The Beam Condition Monitoring Leakage (BCML) system is a beam monitoring device in the CMS experiment at the LHC. As detectors 32 poly-crystalline CVD diamond sensors are positioned in a ring around the beam pipe at a distance of +/-1.8 m and +/-14.4 m from the interaction point. The radiation hardness of the diamond sensors in terms of measured signal during operation was significantly lower than expected based on laboratory measurements. At high particle rates, like they occur during the operation of the LHC, charge carriers can be trapped in defects created by radiation. This space charge is expected to modify the electrical field in the sensor bulk and hence to reduce the charge collection efficiency. This modified electrical field has been indirectly measured in the laboratory using the Transient Current Technique (TCT) method in irradiated single crystal CVD diamond. This rate dependent effect was simulated with the software 'SILVACO ATLAS' and the obtained electrical field was used to calculate a TCT measurement pulse. The results of the TCT measurements will be compared to the simulation.

  9. Thermoluminescence characterization of CVD diamond film exposed to UV and beta radiation

    International Nuclear Information System (INIS)

    Barboza-Flores, M.; Melendrez, R.; Gastelum, S.; Chernov, V.; Bernal, R.; Cruz-Vazquez, C.; Brown, F.; Pedroza-Montero, M.; Gan, B.; Ahn, J.; Zhang, Q.; Yoon, S.F.

    2003-01-01

    Thermoluminescence (TL) properties of diamond films grown by microwave and hot filament CVD techniques were studied. The main purpose of the present work was to characterize the thermoluminescence response of diamond films to ultraviolet and beta radiation. The thermoluminescence excitation spectrum exhibits maximum TL efficiency around 210-215 nm. All samples presented a glow curve composed of at least one TL peak and showed regions of linear as well as supralinear behavior as a function or irradiation dose. The linear dose dependence was found for up to sixteen minutes of monochromatic UV irradiation and 300 Gy for beta irradiated samples. The activation energy and the frequency factor were determined and found in the range of 0.33-1.7 eV and 5.44 x 10 2 -5.67 x 10 16 s -1 , respectively. The observed TL performance is reasonable appropriate to justify further investigation of diamond films as radiation dosimeters keeping in mind that diamond is an ideal TL dosemeter since it is tissue-equivalent and biological compatible. (copyright 2003 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Polycrystalline diamond RF MOSFET with MoO3 gate dielectric

    Directory of Open Access Journals (Sweden)

    Zeyang Ren

    2017-12-01

    Full Text Available We report the radio frequency characteristics of the diamond metal-oxide-semiconductor field effect transistor with MoO3 gate dielectric for the first time. The device with 2-μm gate length was fabricated on high quality polycrystalline diamond. The maximum drain current of 150 mA/mm at VGS = -5 V and the maximum transconductance of 27 mS/mm were achieved. The extrinsic cutoff frequency of 1.2 GHz and the maximum oscillation frequency of 1.9 GHz have been measured. The moderate frequency characteristics are attributed to the moderate transconductance limited by the series resistance along the channel. We expect that the frequency characteristics of the device can be improved by increasing the magnitude of gm, or fundamentally decreasing the gate-controlled channel resistance and series resistance along the channel, and down-scaling the gate length.

  11. Design and application of CVD diamond windows for x-rays at the Advanced Photon Source

    International Nuclear Information System (INIS)

    Jaski, Y.; Cookson, D.

    2007-01-01

    Two types of directly cooled, 0.2-mm-thick, 8-mm-diameter clear aperture CVD diamond windows have been designed and successfully fabricated by two different vendors for use at the Advanced Photon Source (APS). Both windows contain a direct braze joint between the diamond and the cooled OFHC copper. These windows can be used to replace the front-end beryllium windows in high-heat-load applications and can be used as white beam windows in the beamlines. This paper presents the detailed design of the diamond windows, the thermal analysis of the diamond window under different thermal load configurations, as well as a complete list of the existing APS front-end beryllium window configurations and replacement scenarios. Small-angle scattering experiments have been conducted on both diamond windows and a polished beryllium window, and the results are presented.

  12. High speed dry machining of MMCs with diamond tools

    International Nuclear Information System (INIS)

    Collins, J.L.

    2001-01-01

    The increasing use of metal matrix composites (MMCs) has raised new issues in their machining. Industrial demands for higher speed and dry machining of MMCs with improved component production to closer tolerances have driven the development of new tool materials. In particular, the wear characteristics of synthetic diamond tooling satisfy many of the requirements imposed in cutting these highly abrasive workpieces. The use of diamond tool materials, such as polycrystalline diamond (PCD), has resulted in tool life improvements which, allied with environmental considerations, show great potential for the development of dry cutting. This paper explores the wear characteristics of PCD, which is highly suited to the dry machining of particulate silicon carbide MMCs. Also, two further diamond tool materials are evaluated - chemical vapor deposition (CVD) thick layer diamond and synthetic single crystal diamond. Their suitability for the efficient machining of high volume fraction MMC materials is shown and their potential impact an the subsequent acceptance and integration of MMCs into engineering components is discussed. (author)

  13. X-ray diffraction characterization of epitaxial CVD diamond films with natural and isotopically modified compositions

    Energy Technology Data Exchange (ETDEWEB)

    Prokhorov, I. A., E-mail: igor.prokhorov@mail.ru [Russian Academy of Sciences, Space Materials Science Laboratory, Shubnikov Institute of Crystallography, Federal Scientific Research Centre “Crystallography and Photonics”, Kaluga Branch (Russian Federation); Voloshin, A. E. [Russian Academy of Sciences, Shubnikov Institute of Crystallography, Federal Scientific Research Centre “Crystallography and Photonics” (Russian Federation); Ralchenko, V. G.; Bolshakov, A. P. [Russian Academy of Sciences, Prokhorov General Physics Institute (Russian Federation); Romanov, D. A. [Bauman Moscow State Technical University, Kaluga Branch (Russian Federation); Khomich, A. A. [Russian Academy of Sciences, Prokhorov General Physics Institute (Russian Federation); Sozontov, E. A. [National Research Centre “Kurchatov Institute” (Russian Federation)

    2016-11-15

    Comparative investigations of homoepitaxial diamond films with natural and modified isotopic compositions, grown by chemical vapor deposition (CVD) on type-Ib diamond substrates, are carried out using double-crystal X-ray diffractometry and topography. The lattice mismatch between the substrate and film is precisely measured. A decrease in the lattice constant on the order of (Δa/a){sub relax} ∼ (1.1–1.2) × 10{sup –4} is recorded in isotopically modified {sup 13}C (99.96%) films. The critical thicknesses of pseudomorphic diamond films is calculated. A significant increase in the dislocation density due to the elastic stress relaxation is revealed by X-ray topography.

  14. High Q diamond hemispherical resonators: fabrication and energy loss mechanisms

    International Nuclear Information System (INIS)

    Bernstein, Jonathan J; Bancu, Mirela G; Bauer, Joseph M; Cook, Eugene H; Kumar, Parshant; Nyinjee, Tenzin; Perlin, Gayatri E; Ricker, Joseph A; Teynor, William A; Weinberg, Marc S; Newton, Eric

    2015-01-01

    We have fabricated polycrystalline diamond hemispheres by hot-filament CVD (HFCVD) in spherical cavities wet-etched into a high temperature glass substrate CTE matched to silicon. Hemispherical resonators 1.4 mm in diameter have a Q of up to 143 000 in the fundamental wineglass mode, for a ringdown time of 2.4 s. Without trimming, resonators have the two degenerate wineglass modes frequency matched as close as 2 Hz, or 0.013% of the resonant frequency (∼16 kHz). Laser trimming was used to match resonant modes on hemispheres to 0.3 Hz. Experimental and FEA energy loss studies on cantilevers and hemispheres examine various energy loss mechanisms, showing that surface related losses are dominant. Diamond cantilevers with a Q of 400 000 and a ringdown time of 15.4 s were measured, showing the potential of polycrystalline diamond films for high Q resonators. These resonators show great promise for use as hemispherical resonant gyroscopes (HRGs) on a chip. (paper)

  15. Influence of electrodes on the photon energy deposition in CVD-diamond dosimeters studied with the Monte Carlo code PENELOPE

    International Nuclear Information System (INIS)

    Gorka, B; Nilsson, B; Fernandez-Varea, J M; Svensson, R; Brahme, A

    2006-01-01

    A new dosimeter, based on chemical vapour deposited (CVD) diamond as the active detector material, is being developed for dosimetry in radiotherapeutic beams. CVD-diamond is a very interesting material, since its atomic composition is close to that of human tissue and in principle it can be designed to introduce negligible perturbations to the radiation field and the dose distribution in the phantom due to its small size. However, non-tissue-equivalent structural components, such as electrodes, wires and encapsulation, need to be carefully selected as they may induce severe fluence perturbation and angular dependence, resulting in erroneous dose readings. By introducing metallic electrodes on the diamond crystals, interface phenomena between high- and low-atomic-number materials are created. Depending on the direction of the radiation field, an increased or decreased detector signal may be obtained. The small dimensions of the CVD-diamond layer and electrodes (around 100 μm and smaller) imply a higher sensitivity to the lack of charged-particle equilibrium and may cause severe interface phenomena. In the present study, we investigate the variation of energy deposition in the diamond detector for different photon-beam qualities, electrode materials and geometric configurations using the Monte Carlo code PENELOPE. The prototype detector was produced from a 50 μm thick CVD-diamond layer with 0.2 μm thick silver electrodes on both sides. The mean absorbed dose to the detector's active volume was modified in the presence of the electrodes by 1.7%, 2.1%, 1.5%, 0.6% and 0.9% for 1.25 MeV monoenergetic photons, a complete (i.e. shielded) 60 Co photon source spectrum and 6, 18 and 50 MV bremsstrahlung spectra, respectively. The shift in mean absorbed dose increases with increasing atomic number and thickness of the electrodes, and diminishes with increasing thickness of the diamond layer. From a dosimetric point of view, graphite would be an almost perfect electrode

  16. A Fast CVD Diamond Beam Loss Monitor for LHC

    CERN Document Server

    Griesmayer, E; Dobos, D; Effinger, E; Pernegger, H

    2011-01-01

    Chemical Vapour Deposition (CVD) diamond detectors were installed in the collimation area of the CERN LHC to study their feasibility as Fast Beam Loss Monitors in a high-radiation environment. The detectors were configured with a fast, radiation-hard pre-amplifier with a bandwidth of 2 GHz. The readout was via an oscilloscope with a bandwidth of 1 GHz and a sampling rate of 5 GSPS. Despite the 250 m cable run from the detectors to the oscilloscope, single MIPs were resolved with a 2 ns rise time, a pulse width of 10 ns and a time resolution of less than 1 ns. Two modes of operation were applied. For the analysis of unexpected beam aborts, the loss profile was recorded in a 1 ms buffer and, for nominal operation, the histogram of the time structure of the losses was recorded in synchronism with the LHC period of 89.2 μs. Measurements during the LHC start-up (February to December 2010) are presented. The Diamond Monitors gave an unprecedented insight into the time structure of the beam losses resolving the 400...

  17. Magnetic and cytotoxic properties of hot-filament chemical vapour deposited diamond

    Energy Technology Data Exchange (ETDEWEB)

    Zanin, Hudson, E-mail: hudsonzanin@gmail.com [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil); Peterlevitz, Alfredo Carlos; Ceragioli, Helder Jose [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil); Rodrigues, Ana Amelia; Belangero, William Dias [Laboratorio de Biomateriais em Ortopedia, Faculdade de Ciencias Medicas, Universidade Estadual de Campinas, Rua Cinco de Junho 350 CEP 13083970, Campinas, Sao Paulo (Brazil); Baranauskas, Vitor [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil)

    2012-12-01

    Microcrystalline (MCD) and nanocrystalline (NCD) magnetic diamond samples were produced by hot-filament chemical vapour deposition (HFCVD) on AISI 316 substrates. Energy Dispersive X-ray Spectroscopy (EDS) measurements indicated the presence of Fe, Cr and Ni in the MCD and NCD samples, and all samples showed similar magnetisation properties. Cell viability tests were realised using Vero cells, a type of fibroblastic cell line. Polystyrene was used as a negative control for toxicity (NCT). The cells were cultured under standard cell culture conditions. The proliferation indicated that these magnetic diamond samples were not cytotoxic. - Highlights: Black-Right-Pointing-Pointer Polycrystalline diamonds doped with Fe, Cr and Ni acquire ferromagnetic properties. Black-Right-Pointing-Pointer CVD diamonds have been prepared with magnetic and semiconductor properties. Black-Right-Pointing-Pointer Micro/nanocrystalline diamonds show good cell viability with fibroblast proliferation.

  18. Ion beam induced charge and cathodoluminescence imaging of response uniformity of CVD diamond radiation detectors

    CERN Document Server

    Sellin, P J; Galbiati, A; Maghrabi, M; Townsend, P D

    2002-01-01

    The uniformity of response of CVD diamond radiation detectors produced from high quality diamond film, with crystallite dimensions of >100 mu m, has been studied using ion beam induced charge imaging. A micron-resolution scanning alpha particle beam was used to produce maps of pulse height response across the device. The detectors were fabricated with a single-sided coplanar electrode geometry to maximise their sensitivity to the surface region of the diamond film where the diamond crystallites are highly ordered. High resolution ion beam induced charge images of single crystallites were acquired that demonstrate variations in intra-crystallite charge transport and the termination of charge transport at the crystallite boundaries. Cathodoluminescence imaging of the same crystallites shows an inverse correlation between the density of radiative centres and regions of good charge transport.

  19. Comparative investigation of smooth polycrystalline diamond films on dental burs by chemical vapor deposition

    Science.gov (United States)

    Sein, Htet; Ahmed, Waqar; Rego, Christopher; Jackson, Mark; Polini, Riccardo

    2006-04-01

    Depositions of hot filament chemical vapor-deposited diamond on cobalt-cemented tungsten carbide (WC-Co) rotary cutting dental burs are presented. Conventional dental tools made of sintered polycrystalline diamond have a number of problems associated with the heterogeneity of the crystallite, decreased cutting efficiency, and short life. A preferential (111) faceted diamond was obtained after 15 h of deposition at a growth rate of 1.1 µm/h. Diamond-coated WC-Co dental burs and conventional sintered burs are mainly used in turning, milling, and drilling operations for machining metal ceramic hard alloys such as CoCr, composite teeth, and aluminum alloy in the dental laboratory. The influence of structure, the mechanical characteristics of both diamond grains and hard alloys on the wear behavior, as well as the regimen of grinding on diamond wear are considered. Erosion wear properties are also investigated under air-sand erosion testing. After machining with excessive cutting performance, calculations can be made on flank and crater wear areas. Diamond-coated WC-Co dental burs offered significantly better erosion and wear resistance compared with uncoated WC-Co tools and sintered burs.

  20. Fabrication of polycrystalline diamond refractive X-ray lens by femtosecond laser processing

    Energy Technology Data Exchange (ETDEWEB)

    Kononenko, T.V.; Ralchenko, V.G.; Ashkinazi, E.E.; Konov, V.I. [General Physics Institute of Russian Academy of Sciences, Moscow (Russian Federation); National Research Nuclear University ' ' MEPhI' ' , Moscow (Russian Federation); Polikarpov, M.; Ershov, P. [Immanuel Kant Baltic Federal University, Functional Nanomaterials, Kaliningrad (Russian Federation); Kuznetsov, S.; Yunkin, V. [Institute of Microelectronics Technology RAS, Chernogolovka, Moscow region (Russian Federation); Snigireva, I. [European Synchrotron Radiation Facility, Grenoble (France)

    2016-03-15

    X-ray planar compound refractive lenses were fabricated from a polycrystalline diamond plate grown by chemical vapor deposition, by precise through cutting with femtosecond laser pulses. The lens geometry and the surface morphology were investigated with optical and scanning electron microscopy, while the material structure modification was analyzed by Raman spectroscopy. The results of the preliminary lens test at 9.25-keV X-rays are presented. (orig.)

  1. Fabrication of polycrystalline diamond refractive X-ray lens by femtosecond laser processing

    International Nuclear Information System (INIS)

    Kononenko, T.V.; Ralchenko, V.G.; Ashkinazi, E.E.; Konov, V.I.; Polikarpov, M.; Ershov, P.; Kuznetsov, S.; Yunkin, V.; Snigireva, I.

    2016-01-01

    X-ray planar compound refractive lenses were fabricated from a polycrystalline diamond plate grown by chemical vapor deposition, by precise through cutting with femtosecond laser pulses. The lens geometry and the surface morphology were investigated with optical and scanning electron microscopy, while the material structure modification was analyzed by Raman spectroscopy. The results of the preliminary lens test at 9.25-keV X-rays are presented. (orig.)

  2. CVD diamond sensors for charged particle detection

    CERN Document Server

    Krammer, Manfred; Berdermann, E; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Dencuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Koeth, T W; Lo Giudice, A; Lü, R; MacLynne, L; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Perera, L P; Pirollo, S; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Wetstein, M; White, C; Zeuner, W; Zöller, M

    2001-01-01

    CVD diamond material was used to build position-sensitive detectors for single-charged particles to be employed in high-intensity physics experiments. To obtain position information, metal contacts shaped as strips or pixels are applied to the detector surface for one- or two- dimensional coordinate measurement. Strip detectors 2*4 cm/sup 2/ in size with a strip distance of 50 mu m were tested. Pixel detectors of various pixel sizes were bump bonded to electronics chips and investigated. A key issue for the use of these sensors in high intensity experiments is the radiation hardness. Several irradiation experiments were carried out with pions, protons and neutrons exceeding a fluence of 10/sup 15/ particles/cm/sup 2/. The paper presents an overview of the results obtained with strip and pixel detectors in high-energy test beams and summarises the irradiation studies. (8 refs).

  3. Single-crystal and polycrystalline diamond erosion studies in Pilot-PSI

    Science.gov (United States)

    Kogut, D.; Aussems, D.; Ning, N.; Bystrov, K.; Gicquel, A.; Achard, J.; Brinza, O.; Addab, Y.; Martin, C.; Pardanaud, C.; Khrapak, S.; Cartry, G.

    2018-03-01

    Diamond is a promising candidate for enhancing the negative-ion surface production in the ion sources for neutral injection in fusion reactors; hence evaluation of its reactivity towards hydrogen plasma is of high importance. Single crystal and polycrystalline diamond samples were exposed in Pilot-PSI with the D+ flux of (4‒7)·1024 m-2s-1 and the impact energy of 7-9 eV per deuteron at different surface temperatures; under such conditions physical sputtering is negligible, however chemical sputtering is important. Net chemical sputtering yield Y = 9.7·10-3 at/ion at 800 °C was precisely measured ex-situ using a protective platinum mask (5 × 10 × 2 μm) deposited beforehand on a single crystal followed by the post-mortem analysis using Transmission Electron Microscopy (TEM). The structural properties of the exposed diamond surface were analyzed by Raman spectroscopy and X-ray Photoelectron Spectroscopy (XPS). Gross chemical sputtering yields were determined in-situ by means of optical emission spectroscopy of the molecular CH A-X band for several surface temperatures. A bell-shaped dependence of the erosion yield versus temperature between 400 °C and 1200 °C was observed, with a maximum yield of ∼1.5·10-2 at/ion attained at 900 °C. The yields obtained for diamond are relatively high (0.5-1.5)·10-2 at/ion, comparable with those of graphite. XPS analysis shows amorphization of diamond surface within 1 nm depth, in a good agreement with molecular dynamics (MD) simulation. MD was also applied to study the hydrogen impact energy threshold for erosion of [100] diamond surface at different temperatures.

  4. Low temperature diamond growth by linear antenna plasma CVD over large area

    International Nuclear Information System (INIS)

    Izak, Tibor; Babchenko, Oleg; Potocky, Stepan; Kromka, Alexander; Varga, Marian

    2012-01-01

    Recently, there is a great effort to increase the deposition area and decrease the process temperature for diamond growth which will enlarge its applications including use of temperature sensitive substrates. In this work, we report on the large area (20 x 30 cm 2 ) and low temperature (250 C) polycrystalline diamond growth by pulsed linear antenna microwave plasma system. The influence of substrate temperature varied from 250 to 680 C, as controlled by the table heater and/or by microwave power, is studied. It was found that the growth rate, film morphology and diamond to non-diamond phases (sp 3 /sp 2 carbon bonds) are influenced by the growth temperature, as confirmed by SEM and Raman measurements. The surface chemistry and growth processes were studied in terms of activation energies (E a ) calculated from Arrhenius plots. The activation energies of growth processes were very low (1.7 and 7.8 kcal mol -1 ) indicating an energetically favourable growth process from the CO 2 -CH 4 -H 2 gas mixture. In addition, from activation energies two different growth regimes were observed at low and high temperatures, indicating different growth mechanism. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. FOR INFORMATION: Geneva University

    CERN Multimedia

    2005-01-01

    Ecole de physique Département de physique nucléaire et corspusculaire 24, Quai Ernest-Ansermet 1211 GENEVE 4 TéL: (022) 379 62 73 Fax: (022) 379 69 92 Wednesday 30 November PARTICLE PHYSICS SEMINAR at 17:00 - Stückelberg Auditorium CVD Diamond Radiation Sensors For Application In Very High Radiation Environments by Prof. Peter Weilhammer / University and INFN Perugia and CERN After an introduction into the basic properties and operating principles of CVD diamond radiation sensor material, measurements of charge carrier collection and leakage currents in single crystal and polycrystalline CVD diamonds will be presented. Results from measurements of the effective mobilities and carrier lifetime of electrons and holes, using the Transient Current Technique (TCT), will be shown. Radiation hardness of CVD polycrystalline diamonds will be discussed. A summary of radiation hardness measurements, carried out over several years, will be presented for polycrystalline material. Performance of diamond trackin...

  6. Laser beam machining of polycrystalline diamond for cutting tool manufacturing

    Science.gov (United States)

    Wyszyński, Dominik; Ostrowski, Robert; Zwolak, Marek; Bryk, Witold

    2017-10-01

    The paper concerns application of DPSS Nd: YAG 532nm pulse laser source for machining of polycrystalline WC based diamond inserts (PCD). The goal of the research was to determine optimal laser cutting parameters for cutting tool shaping. Basic criteria to reach the goal was cutting edge quality (minimalization of finishing operations), material removal rate (time and cost efficiency), choice of laser beam characteristics (polarization, power, focused beam diameter). The research was planned and realised and analysed according to design of experiment rules (DOE). The analysis of the cutting edge was prepared with use of Alicona Infinite Focus measurement system.

  7. A new CVD diamond mosaic-detector for (n, α) cross-section measurements at the n{sub T}OF experiment at CERN

    Energy Technology Data Exchange (ETDEWEB)

    Weiß, C., E-mail: christina.weiss@cern.ch [Atominstitut, Technische Universität Wien (Austria); European Organization for Nuclear Research (CERN), Geneva (Switzerland); Griesmayer, E. [Atominstitut, Technische Universität Wien (Austria); Guerrero, C. [European Organization for Nuclear Research (CERN), Geneva (Switzerland); Altstadt, S. [Johann-Wolfgang-Goethe Universität, Frankfurt (Germany); Andrzejewski, J. [Uniwersytet Łódzki, Lodz (Poland); Audouin, L. [Centre National de la Recherche Scientifique/IN2P3 - IPN, Orsay (France); Badurek, G. [Atominstitut, Technische Universität Wien (Austria); Barbagallo, M. [Istituto Nazionale di Fisica Nucleare, Bari (Italy); Bécares, V. [Centro de Investigaciones Energeticas Medioambientales y Tecnológicas (CIEMAT), Madrid (Spain); Bečvář, F. [Charles University, Prague (Czech Republic); Belloni, F. [Commissariat à l’Énergie Atomique (CEA) Saclay - Irfu, Gif-sur-Yvette (France); Berthoumieux, E. [Commissariat à l’Énergie Atomique (CEA) Saclay - Irfu, Gif-sur-Yvette (France); European Organization for Nuclear Research (CERN), Geneva (Switzerland); Billowes, J. [University of Manchester, Oxford Road, Manchester (United Kingdom); Boccone, V. [European Organization for Nuclear Research (CERN), Geneva (Switzerland); Bosnar, D. [Department of Physics, Faculty of Science, University of Zagreb (Croatia); Brugger, M.; Calviani, M. [European Organization for Nuclear Research (CERN), Geneva (Switzerland); Calviño, F. [Universitat Politecnica de Catalunya, Barcelona (Spain); and others

    2013-12-21

    At the n{sub T}OF experiment at CERN a dedicated single-crystal chemical vapor deposition (sCVD) Diamond Mosaic-Detector has been developed for (n,α) cross-section measurements. The detector, characterized by an excellent time and energy resolution, consists of an array of 9 sCVD diamond diodes. The detector has been characterized and a cross-section measurement has been performed for the {sup 59}Ni(n,α){sup 56}Fe reaction in 2012. The characteristics of the detector, its performance and the promising preliminary results of the experiment are presented. -- Highlights: •A large-area detector of 3 ×3 sCVD diamonds was built for (n, α) measurements. •The {sup 59}Ni(n, α){sup 56}Fe cross-section was measured successfully at n{sub T}OF/CERN. •The energy resolution of the detector meets the expectations from simulations. •The reaction products during the measurement at n{sub T}OF could clearly be separated. •The detector is suitable for (n, α) measurements in a heterogeneous beam.

  8. D.C. Arcjet Diamond Deposition

    Science.gov (United States)

    Russell, Derrek Andrew

    1995-01-01

    Polycrystalline diamond films synthesized by a D.C. (direct current) arcjet device was reported for the first time in 1988. This device is capable of higher diamond growth rates than any other form of diamond CVD (chemical vapor deposition) process due to its inherent versatility with regard to the enthalpy and fluid properties of the diamond-depositing vapor. Unfortunately, the versatility of this type of device is contrasted by many difficulties such as arc stability and large heat fluxes which make applying it toward diamond deposition a difficult problem. The purpose of this work was to convert the dc arcjet, which is primarily a metallurgical device, into a commercially viable diamond CVD process. The project was divided into two parts: process development and diagnostics. The process development effort concentrated on the certain engineering challenges. Among these was a novel arcjet design that allowed the carbon-source gas to be injected downstream of the tungsten cathode while still facilitating mixture with the main gas feed. Another engineering accomplishment was the incorporation of a water -cooled substrate cooler/spinner that maintained the substrate at the proper temperature, provided the substrate with a large thermal time constant to reduce thermal shock of the diamond film, and enabled the system to achieve a four -inch diameter growth area. The process diagnostics effort concentrated on measurements aimed at developing a fundamental understanding of the properties of the plasma jet such as temperature, plasma density, Mach number, pressure at the substrate, etc. The plasma temperature was determined to be 5195 K by measuring the rotational temperature of C _2 via optical emission spectroscopy. The Mach number of the plasma jet was determined to be ~6.0 as determined by the ratio of the stagnation pressures before and after the shock wave in the plasma jet. The C_2 concentration in the plasma jet was determined to be {~10 }^{12} cm^ {-3} by

  9. Flash-lamp-crystallized polycrystalline silicon films with high hydrogen concentration formed from Cat-CVD a-Si films

    International Nuclear Information System (INIS)

    Ohdaira, Keisuke; Tomura, Naohito; Ishii, Shohei; Matsumura, Hideki

    2011-01-01

    We investigate residual forms of hydrogen (H) atoms such as bonding configuration in poly-crystalline silicon (poly-Si) films formed by the flash-lamp-induced crystallization of catalytic chemical vapor deposited (Cat-CVD) a-Si films. Raman spectroscopy reveals that at least part of H atoms in flash-lamp-crystallized (FLC) poly-Si films form Si-H 2 bonds as well as Si-H bonds with Si atoms even using Si-H-rich Cat-CVD a-Si films, which indicates the rearrangement of H atoms during crystallization. The peak desorption temperature during thermal desorption spectroscopy (TDS) is as high as 900 o C, similar to the reported value for bulk poly-Si.

  10. High-order Stokes and anti-Stokes Raman generation in monoisotopic CVD {sup 12}C-diamond

    Energy Technology Data Exchange (ETDEWEB)

    Kaminskii, Alexander A. [Institute of Crystallography, Russian Academy of Sciences, Moscow (Russian Federation); Lux, Oliver; Rhee, Hanjo; Eichler, Hans J. [Institute of Optics and Atomic Physics, Technische Universitaet Berlin (Germany); Ralchenko, Victor G.; Bolshakov, Andrey P. [General Physics Institute, Russian Academy of Sciences, Moscow (Russian Federation); Shirakawa, Akira; Yoneda, Hitoki [Institute for Laser Science, University of Electro-Communications, Tokyo (Japan)

    2016-06-15

    We determined, for the first time, the room temperature phonon energy related to the F{sub 2g} vibration mode (ω{sub SRS(12C)} ∝ 1333.2 cm{sup -1}) in a mono-crystalline single-isotope CVD {sup 12}C-diamond crystal by means of stimulated Raman scattering (SRS) spectroscopy. Picosecond one-micron excitation using a Nd{sup 3+}:Y{sub 3}Al{sub 5}O{sub 12}-laser generates a nearly two-octave spanning SRS frequency comb (∝12000 cm{sup -1}) consisting of higher-order Stokes and anti-Stokes components. The spacing of the spectral lines was found to differ by Δω{sub SRS} ∝ 0.9 cm{sup -1} from the comb spacing (ω{sub SRS(natC)} ∝ 1332.3 cm{sup -1}) when pumping a conventional CVD diamond crystal with a natural composition of the two stable carbon isotopes {sup 12}C (98.93%) and {sup 13}C (1.07%). (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. Interlayers Applied to CVD Diamond Deposition on Steel Substrate: A Review

    Directory of Open Access Journals (Sweden)

    Djoille Denner Damm

    2017-09-01

    Full Text Available Academics and industry have sought after combining the exceptional properties of diamonds with the toughness of steel. Since the early 1990s several partial solutions have been found but chemical vapor deposition (CVD diamond deposition on steel substrate continues to be a persistent problem. The main drawbacks are the high carbon diffusion from gas phase into substrate, the transition metals on the material surface that catalyze sp2 bond formation, instead of sp3 bonds, and the high thermal expansion coefficient (TEC mismatch between diamond and steels. An intermediate layer has been found necessary to increase diamond adhesion. Literature has proposed many efficient intermediate layers as a diffusion barrier for both, carbon and iron, but most intermediate layers shown have not solved TEC mismatch. In this review, we briefly discuss the solutions that exclusively work as diffusion barrier and discuss in a broader way the ones that also solve, or may potentially solve, the TEC mismatch problem. We examine some multilayers, the iron borides, the chromium carbides, and vanadium carbides. We go through the most relevant results of the last two and a half decades, including recent advances in our group. Vanadium carbide looks promising since it has shown excellent diffusion barrier properties, its TEC is intermediary between diamond and steel and, it has been thickened to manage thermal stress relief. We also review a new deposition technique to set up intermediate layers: laser cladding. It is promising because of its versatility in mixing different materials and fusing and/or sintering them on a steel surface. We conclude by remarking on new perspectives.

  12. Influence of coil current modulation on polycrystalline diamond film deposition by irradiation of Ar/CH4/H2 inductively coupled thermal plasmas

    Science.gov (United States)

    Betsuin, Toshiki; Tanaka, Yasunori; Arai, T.; Uesugi, Y.; Ishijima, T.

    2018-03-01

    This paper describes the application of an Ar/CH4/H2 inductively coupled thermal plasma with and without coil current modulation to synthesise diamond films. Induction thermal plasma with coil current modulation is referred to as modulated induction thermal plasma (M-ITP), while that without modulation is referred to as non-modulated ITP (NM-ITP). First, spectroscopic observations of NM-ITP and M-ITP with different modulation waveforms were made to estimate the composition in flux from the thermal plasma by measuring the time evolution in the spectral intensity from the species. Secondly, we studied polycrystalline diamond film deposition tests on a Si substrate, and we studied monocrystalline diamond film growth tests using the irradiation of NM-ITP and M-ITP. From these tests, diamond nucleation effects by M-ITP were found. Finally, following the irradiation results, we attempted to use a time-series irradiation of M-ITP and NM-ITP for polycrystalline diamond film deposition on a Si substrate. The results indicated that numerous larger diamond particles were deposited with a high population density on the Si substrate by time-series irradiation.

  13. An All-Solid-State pH Sensor Employing Fluorine-Terminated Polycrystalline Boron-Doped Diamond as a pH-Insensitive Solution-Gate Field-Effect Transistor.

    Science.gov (United States)

    Shintani, Yukihiro; Kobayashi, Mikinori; Kawarada, Hiroshi

    2017-05-05

    A fluorine-terminated polycrystalline boron-doped diamond surface is successfully employed as a pH-insensitive SGFET (solution-gate field-effect transistor) for an all-solid-state pH sensor. The fluorinated polycrystalline boron-doped diamond (BDD) channel possesses a pH-insensitivity of less than 3mV/pH compared with a pH-sensitive oxygenated channel. With differential FET (field-effect transistor) sensing, a sensitivity of 27 mv/pH was obtained in the pH range of 2-10; therefore, it demonstrated excellent performance for an all-solid-state pH sensor with a pH-sensitive oxygen-terminated polycrystalline BDD SGFET and a platinum quasi-reference electrode, respectively.

  14. High-Resolution Energy and Intensity Measurements with CVD Diamond at REX-ISOLDE

    CERN Document Server

    Griesmayer, E; Dobos, D; Wenander, F; Bergoz, J; Bayle, H; Frais-Kölbl, H; Leinweber, J; Aumeyr, T; CERN. Geneva. BE Department

    2009-01-01

    A novel beam instrumentation device for the HIE-REX (High In-tensity and Energy REX) upgrade has been developed and tested at the On-Line Isotope Mass Separator ISOLDE, located at the European Laboratory for Particle Physics (CERN). This device is based on CVD diamond detector technology and is used for measuring the beam intensity, particle counting and measuring the energy spectrum of the beam. An energy resolution of 0.6% was measured at a carbon ion energy of 22.8 MeV. This corresponds to an energy spread of ± 140 keV.

  15. SiC interlayer by laser-cladding on WC-Co substrates for CVD diamond deposition

    Energy Technology Data Exchange (ETDEWEB)

    Contin, Andre; Fraga, Mariana Amorim; Vieira, Jose; Trava-Airoldi, Vladimir Jesus; Corat, Evaldo Jose, E-mail: andrecontin@yahoo.com.br [Instituto Nacional de Pesquisas Espaciais (INPE), Sao Jose dos Campos, SP (Brazil); Campos, Raonei Alves [Universidade Federal do Sul e Sudeste do Para (UNIFESSPA), Belem, PA (Brazil); Vasconcelos, Getulio [Instituto de Estudos Avancados (IEA), Sao Jose dos Campos, SP (Brazil)

    2016-07-01

    Full text: Despite their huge industrial potential and commercial interest, the direct diamond coating on cemented carbide (WC-Co) is limited, mainly because of the catalytic effect of Cobalt (Co) and the high difference in thermal expansion coefficient [1]. This results in poor adherence between diamond and WC-Co. In addition, the low diamond film adhesion to the cemented carbide useless for machining applications. Removal of Co binder from the substrate surface by superficial etching is one of the techniques used to improve the adhesion between diamond and WC-Co. For the present study, diamond films were deposited on WC-Co substrates with an intermediate barrier to block the Co diffusion to the surface substrate. The laser cladding process produced the SiC barrier, in which a powder layer is melted by a laser irradiation to create the coating on the substrate. The use of laser cladding is the novel method for an intermediate barrier for cemented carbides. The advantages of laser cladding include a faster processing speed, precision, versatility. We reported the application of pretreatment method called ESND (Electrostatic self-assembly seeding of nanocrystalline diamond). The nucleation density was around 10{sup 11}part/cm{sup 2}. Diamond films were grown by Hot Filament Chemical Vapor Deposition. Characterization of samples included Field Emission Gun-Scanning Electron Microscopy (FEG-SEM), Energy Dispersive X-ray (EDX), X-ray diffraction (XRD) and Raman Scattering Spectroscopy. Results showed that laser irradiation formed stable Co compounds in the interfacial barrier. It is because nucleation and good quality of diamond film since the cobalt are no longer free to migrate to the surface during the CVD diamond deposition. Reference: [1] Y. X. Cui, B. Shen, F. H. Sun. Diamond deposition on WC–Co substrate with amorphous SiC interlayer, Surface Engineering, 30, (2014) 237-243. (author)

  16. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P S; Prawer, S; Nugent, K W; Bettiol, A A; Kostidis, L I; Jamieson, D N [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 {mu}m{sup 2}. After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs.

  17. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 {mu}m{sup 2}. After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs.

  18. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N.

    1996-01-01

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 μm 2 . After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs

  19. Hydrogen doped thin film diamond. Properties and application for electronic devices

    International Nuclear Information System (INIS)

    Looi, H.J.

    2000-01-01

    The face centered cubic allotrope of carbon, diamond, is a semiconducting material which possesses a valuable combination of extreme properties such as super-hardness, highest thermal conductivity, chemical hardness, radiation hardness, wide bandgap and others. Advances in chemical vapour deposition (CVD) technology have lead to diamond becoming available in previously unattainable forms for example over large areas and with controllable purity. This has generated much research interest towards developing the knowledge and processing technology that would be necessary to fully exploit these extreme properties. Electronic devices fabricated on oxidised boron doped polycrystalline CVD diamond (PCD) displayed very poor and inconsistent characteristic. As a result, many electronic applications of polycrystalline diamond films were confined to ultra-violet (UV) and other forms of device which relied on the high intrinsic resistivity on undoped diamond films. If commercially accessible PCD films are to advance in areas which involve sophisticated electronic applications or to compete with existing semiconductors, the need for a more reliable and fully ionised dopant is paramount. This thesis describes a unique dopant discovered within the growth surface of PCD films. This dopant is related to hydrogen which arises during the growth of diamond films. The aim of this study is to characterise and identify possible applications for this form of dopant. The mechanism for carrier generation remains unknown and based on the experimental results in this work, a model is proposed. The Hall measurements conducted on this conductive layer revealed a p-type nature with promising properties for electronic device application. A more detail study based on electrical and surface science methods were carried out to identify the stability and operating conditions for this dopant. The properties of metal-semiconductor contacts on these surfaces were investigated. The fundamental knowledge

  20. Adhesion analysis of polycrystalline diamond films on molybdenum by means of scratch, indentation and sand abrasion testing

    Energy Technology Data Exchange (ETDEWEB)

    Buijnsters, J.G. [Applied Physics, IMM, Department of Applied Physics, Radboud University Nijmegen, Toernooiveld 1, 6525 ED Nijmegen (Netherlands); Shankar, P. [Metallurgy and Materials Group, Indira Gandhi Centre for Atomic Research, Kalpakkam-603 102 (India); Enckevort, W.J.P. van [Solid State Chemistry, IMM, Radboud University Nijmegen, Toernooiveld 1, 6525 ED Nijmegen (Netherlands); Schermer, J.J. [Experimental Solid State Physics III, IMM, Radboud University Nijmegen, Toernooiveld 1, 6525 ED Nijmegen (Netherlands); Meulen, J.J. ter [Applied Physics, IMM, Department of Applied Physics, Radboud University Nijmegen, Toernooiveld 1, 6525 ED Nijmegen (Netherlands)]. E-mail: htmeulen@sci.kun.nl

    2005-03-01

    Diamond films have been grown by hot-filament chemical vapour deposition (CVD) on molybdenum substrates under different growth conditions. The films grown with increasing substrate temperatures show a higher interconnection of diamond grains, whereas increasing methane concentrations in the 0.5-4.0% range lead to a transition from micro- towards nanocrystalline films. X-ray diffraction analysis shows Mo{sub 2}C interlayer formation. Indentation, scratch and sand erosion tests are used to evaluate the adhesion strength of the diamond films. Using steel ball indenters (diameter 750 {mu}m), indentation and scratch adhesion tests are performed up to final loads of 200 N. Upon indentation, the load values at which diamond film failure such as flaking and detachment is first observed, increase for increasing temperatures in the deposition temperature range of 450-850 deg C. The scratch adhesion tests show critical load values in the range of 16-40 N normal load for films grown for 4 h. In contrast, diamond films grown for 24 h at a methane concentration of 0.5% do not show any failure at all upon scratching up to 75 N. Film failure upon indenting and scratching is also found to decrease for increasing methane concentration in the CVD gas mixture. The sand abrasion tests show significant differences in coating failure for films grown at varying CH{sub 4}/H{sub 2} ratios. In contrast to the other tests, here best coating performance is observed for the films deposited with a methane concentration of 4%.

  1. Conductivity of boron-doped polycrystalline diamond films: influence of specific boron defects

    Czech Academy of Sciences Publication Activity Database

    Ashcheulov, Petr; Šebera, Jakub; Kovalenko, Alexander; Petrák, Václav; Fendrych, František; Nesládek, M.; Taylor, Andrew; Vlčková Živcová, Zuzana; Frank, Otakar; Kavan, Ladislav; Dračínský, Martin; Hubík, Pavel; Vacík, Jiří; Kraus, I.; Kratochvílová, Irena

    2013-01-01

    Roč. 86, č. 10 (2013), , "443-1"-"443-9" ISSN 1434-6028 R&D Projects: GA TA ČR TA01011165; GA ČR(CZ) GAP304/10/1951; GA MŠk(XE) LM2011019; GA ČR GA13-31783S; GA MŠk(CZ) LD11076 EU Projects: European Commission(XE) 238201 - MATCON Institutional support: RVO:68378271 ; RVO:61388955 ; RVO:61388963 ; RVO:61389005 Keywords : polycrystalline diamond layer * conductivity B doping Subject RIV: BM - Solid Matter Physics ; Magnetism; CG - Electrochemistry (UFCH-W) Impact factor: 1.463, year: 2013

  2. Visible sub-band gap photoelectron emission from nitrogen doped and undoped polycrystalline diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Elfimchev, S., E-mail: sergeyel@tx.technion.ac.il; Chandran, M.; Akhvlediani, R.; Hoffman, A.

    2017-07-15

    Highlights: • Nitrogen related centers in diamond film are mainly responsible for visible sub-band-gap photoelectron emission. • The influence of film thickness and substrate on the measured photoelectron emission yields was not found. • Nanocrystalline diamonds have low electron emission yields most likely because of high amount of defects. • Visible sub-band gap photoelectron emission may increase with temperature due to electron trapping/detrapping processes. - Abstract: In this study the origin of visible sub-band gap photoelectron emission (PEE) from polycrystalline diamond films is investigated. The PEE yields as a function of temperature were studied in the wavelengths range of 360–520 nm. Based on the comparison of electron emission yields from diamond films deposited on silicon and molybdenum substrates, with different thicknesses and nitrogen doping levels, we suggested that photoelectrons are generated from nitrogen related centers in diamond. Our results show that diamond film thickness and substrate material have no significant influence on the PEE yield. We found that nanocrystalline diamond films have low electron emission yields, compared to microcrystalline diamond, due to the presence of high amount of defects in the former, which trap excited electrons before escaping into the vacuum. However, the low PEE yield of nanocrystalline diamond films was found to increase with temperature. The phenomenon was explained by the trap assisted photon enhanced thermionic emission (ta-PETE) model. According to the ta-PETE model, photoelectrons are trapped by shallow traps, followed by thermal excitation at elevated temperatures and escape into the vacuum. Activation energies of trap levels were estimated for undoped nanocrystalline, undoped microcrystalline and N-doped diamond films using the Richardson-Dushman equation, which gives 0.13, 0.39 and 0.04 eV, respectively. Such low activation energy of trap levels makes the ta-PETE process very

  3. Influence of CVD diamond tips and Er:YAG laser irradiation on bonding of different adhesive systems to dentin

    OpenAIRE

    da Silva, Melissa Aline [UNESP; Nicolo, Rebeca Di [UNESP; Barcellos, Daphne Camara [UNESP; Batista, Graziela Ribeiro [UNESP; Pucci, Cesar Rogerio [UNESP; Torres, Carlos Rocha Gomes [UNESP; Borges, Alessandra Bühler [UNESP

    2013-01-01

    Aim: The aim of this study was to compare the microtensile bond strength of three adhesive systems, using different methods of dentin preparation. Materials and methods: A hundred and eight bovine teeth were used. The dentin from buccal face was exposed and prepared with three different methods, divided in 3 groups: Group 1 (DT)- diamond tip on a high-speed handpiece; Group 2 (CVD)-CVD tip on a ultrasonic handpiece; Group 3 (LA)-Er: YAG laser. The teeth were divided into 3 subgroups, accordin...

  4. Measurement of the secondary electron emission from CVD diamond films using phosphor screen detectors

    Science.gov (United States)

    Vaz, R.; May, P. W.; Fox, N. A.; Harwood, C. J.; Chatterjee, V.; Smith, J. A.; Horsfield, C. J.; Lapington, J. S.; Osbourne, S.

    2015-03-01

    Diamond-based photomultipliers have the potential to provide a significant improvement over existing devices due to diamond's high secondary electron yield and narrow energy distribution of secondary electrons which improves energy resolution creating extremely fast response times. In this paper we describe an experimental apparatus designed to study secondary electron emission from diamond membranes only 400 nm thick, observed in reflection and transmission configurations. The setup consists of a system of calibrated P22 green phosphor screens acting as radiation converters which are used in combination with photomultiplier tubes to acquire secondary emission yield data from the diamond samples. The superior signal voltage sampling of the phosphor screen setup compared with traditional Faraday Cup detection allows the variation in the secondary electron yield across the sample to be visualised, allowing spatial distributions to be obtained. Preliminary reflection and transmission yield data are presented as a function of primary electron energy for selected CVD diamond films and membranes. Reflection data were also obtained from the same sample set using a Faraday Cup detector setup. In general, the curves for secondary electron yield versus primary energy for both measurement setups were comparable. On average a 15-20% lower signal was recorded on our setup compared to the Faraday Cup, which was attributed to the lower photoluminescent efficiency of the P22 phosphor screens when operated at sub-kilovolt bias voltages.

  5. Diamonds for beam instrumentation

    International Nuclear Information System (INIS)

    Griesmayer, Erich

    2013-01-01

    Diamond is perhaps the most versatile, efficient and radiation tolerant material available for use in beam detectors with a correspondingly wide range of applications in beam instrumentation. Numerous practical applications have demonstrated and exploited the sensitivity of diamond to charged particles, photons and neutrons. In this paper, a brief description of a generic diamond detector is given and the interaction of the CVD diamond detector material with protons, electrons, photons and neutrons is presented. Latest results of the interaction of sCVD diamond with 14 MeV mono-energetic neutrons are shown.

  6. Radiation damage in the diamond based beam condition monitors of the CMS experiment at the Large Hadron Collider (LHC) at CERN

    Science.gov (United States)

    Guthoff, Moritz; Afanaciev, Konstantin; Dabrowski, Anne; de Boer, Wim; Lange, Wolfgang; Lohmann, Wolfgang; Stickland, David

    2013-12-01

    The Beam Condition Monitor (BCM) of the CMS detector at the LHC is a protection device similar to the LHC Beam Loss Monitor system. While the electronics used is the same, poly-crystalline Chemical Vapor Deposition (pCVD) diamonds are used instead of ionization chambers as the BCM sensor material. The main purpose of the system is the protection of the silicon Pixel and Strip tracking detectors by inducing a beam dump, if the beam losses are too high in the CMS detector. By comparing the detector current with the instantaneous luminosity, the BCM detector efficiency can be monitored. The number of radiation-induced defects in the diamond, reduces the charge collection distance, and hence lowers the signal. The number of these induced defects can be simulated using the FLUKA Monte Carlo simulation. The cross-section for creating defects increases with decreasing energies of the impinging particles. This explains, why diamond sensors mounted close to heavy calorimeters experience more radiation damage, because of the high number of low energy neutrons in these regions. The signal decrease was stronger than expected from the number of simulated defects. Here polarization from trapped charge carriers in the defects is a likely candidate for explaining the difference, as suggested by Transient Current Technique (TCT) measurements. A single-crystalline (sCVD) diamond sensor shows a faster relative signal decrease than a pCVD sensor mounted at the same location. This is expected, since the relative increase in the number of defects is larger in sCVD than in pCVD sensors.

  7. Near-infrared refractive index of synthetic single crystal and polycrystalline diamonds at high temperatures

    Science.gov (United States)

    Yurov, V. Yu.; Bushuev, E. V.; Popovich, A. F.; Bolshakov, A. P.; Ashkinazi, E. E.; Ralchenko, V. G.

    2017-12-01

    We measured the refractive index n(T) and thermo-optical coefficient β(T) = (1/n)(dn/dT) of high quality synthetic diamonds from room temperature to high temperatures, up to 1520 K, in near-infrared spectral range at wavelength 1.56 μm, using a low-coherence interferometry. A type IIa single crystal diamond produced by high pressure-high temperature technique and a transparent polycrystalline diamond grown by chemical vapor deposition were tested and revealed a very close n(T) behavior, with n = 2.384 ± 0.001 at T = 300 K, monotonically increasing to 2.428 at 1520 K. The n(T) data corrected to thermal expansion of diamond are well fitted with 3rd order polynomials, and alternatively, with the Bose-Einstein model with an effective oscillator frequency of 970 cm-1. Almost linear n(T) dependence is observed above 800 K. The thermo-optical coefficient is found to increase monotonically from (0.6 ± 0.1) × 10-5 K-1 (300 K) to (2.0 ± 0.1) × 10-5 K-1 (1300 K) with a tendency to saturation at >1200 K. These β(T) values are an order of magnitude lower than those known for Si, GaAs, and InP. The obtained results significantly extend the temperature range, where the refractive index of diamond was previously measured.

  8. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  9. Structure and wettability property of the growth and nucleation surfaces of thermally treated freestanding CVD diamond films

    Science.gov (United States)

    Pei, Xiaoqiang; Cheng, Shaoheng; Ma, Yibo; Wu, Danfeng; Liu, Junsong; Wang, Qiliang; Yang, Yizhou; Li, Hongdong

    2015-08-01

    This paper reports the surface features and wettability properties of the (1 0 0)-textured freestanding chemical vapor deposited (CVD) diamond films after thermal exposure in air at high temperature. Thermal oxidation at proper conditions eliminates selectively nanodiamonds and non-diamond carbons in the films. The growth side of the films contains (1 0 0)-oriented micrometer-sized columns, while its nucleation side is formed of nano-sized tips. The examined wettability properties of the as-treated diamond films reveal a hydrophilicity and superhydrophilicity on the growth surface and nucleation surface, respectively, which is determined by oxygen termination and geometry structure of the surface. When the surface termination is hydrogenated, the wettability of nucleation side converted from superhydrophilicity to high hydrophobicity, while the hydrophilicity of the growth side does not change significantly. The findings open a possibility for realizing freestanding diamond films having not only novel surface structures but also multifunction applications, especially proposed on the selected growth side or nucleation side in one product.

  10. Nanocrystalline diamond coatings for machining

    Energy Technology Data Exchange (ETDEWEB)

    Frank, M.; Breidt, D.; Cremer, R. [CemeCon AG, Wuerselen (Germany)

    2007-07-01

    This history of CVD diamond synthesis goes back to the fifties of the last century. However, the scientific and economical potential was only gradually recognized. In the eighties, intensive worldwide research on CVD diamond synthesis and applications was launched. Industrial products, especially diamond-coated cutting tools, were introduced to the market in the middle of the nineties. This article shows the latest developments in this area, which comprises nanocrystalline diamond coating structures. (orig.)

  11. Improvement on p-type CVD diamond semiconducting properties by fabricating thin heavily-boron-doped multi-layer clusters isolated each other in unintentionally boron-doped diamond layer

    Science.gov (United States)

    Maida, Osamu; Tabuchi, Tomohiro; Ito, Toshimichi

    2017-12-01

    We have developed a new fabrication process to decrease the effective activation energy of B atoms doped in diamond without a significant decrease in the carrier mobility by fabricating heavily B-doped clusters with very low mobility which are embedded in lightly-B-doped diamond layers. The resistivities of the heavily B-doped and unintentionally B-doped diamond stacked layers had almost no temperature dependence, suggesting the presence of an impurity-band conduction in these diamond layers. On the other hand, the resistivities of the samples after the embedding growth process of the stacked layers that had been appropriately divided to innumerable small clusters by means of a suitable etching process increased with decreasing the temperature from 330 to 130 K. The effective activation energies and Hall mobilities at room temperature of both samples were estimated to be 0.21 eV, 106 cm2 V-1 s-1 for micron-sized clusters and 0.23 eV, 470 cm2 V-1 s-1 for nano-sized clusters, respectively, indicating that the diamond film structure fabricated in this work is effective for the improvement of the p-type performance for the B-doped CVD diamond.

  12. Influence of CVD diamond tips and Er:YAG laser irradiation on bonding of different adhesive systems to dentin.

    Science.gov (United States)

    da Silva, Melissa Aline; Di Nicolo, Rebeca; Barcellos, Daphne Camara; Batista, Graziela Ribeiro; Pucci, Cesar Rogerio; Rocha Gomes Torres, Carlos; Borges, Alessandra Bühler

    2013-01-01

    The aim of this study was to compare the microtensile bond strength of three adhesive systems, using different methods of dentin preparation. A hundred and eight bovine teeth were used. The dentin from buccal face was exposed and prepared with three different methods, divided in 3 groups: Group 1 (DT)- diamond tip on a high-speed handpiece; Group 2 (CVD)-CVD tip on a ultrasonic handpiece; Group 3 (LA)-Er: YAG laser. The teeth were divided into 3 subgroups, according adhesive systems used: Subgroup 1-Adper Single Bond Plus/3M ESPE (SB) total-etch adhesive; Subgroup 2-Adper Scotchbond SE/3M ESPE (AS) selfetching adhesive; Subgroup 3-Clearfil SE Bond/Kuraray (CS) selfetching adhesive. Blocks of composite (Filtek Z250-3M ESPE) 4 mm high were built up and specimens were stored in deionized water for 24 hours at 37°C. Serial mesiodistal and buccolingual cuts were made and stick-like specimens were obtained, with transversal section of 1.0 mm(2). The samples were submitted to microtensile test at 1 mm/min and load of 10 kg in a universal testing machine. Data (MPa) were subjected to ANOVA and Tukey's tests (p adhesive produced significantly lower bond strength values compared to other groups. Surface treatment with Er: YAG laser associated with Single Bond Plus or Clearfil SE Bond adhesives and surface treatment with CVD tip associated with Adper Scotchbond SE adhesive produced significantly lower bond strength values compared to surface treatment with diamond or CVD tips associated with Single Bond Plus or Adper Scotchbond SE adhesives. Interactions between laser and the CVD tip technologies and the different adhesive systems can produce a satisfactory bonding strength result, so that these associations may be beneficial and enhance the clinical outcomes.

  13. Silicon Oil DC200(R)5CST as AN Alternative Coolant for Cvd Diamond Windows

    Science.gov (United States)

    Vaccaro, A.; Aiello, G.; Meier, A.; Schere, T.; Schreck, S.; Spaeh, P.; Strauss, D.; Gantenbein, G.

    2011-02-01

    The production of high power mm-wave radiation is a key technology in large fusion devices, since it is required for localized plasma heating and current drive. Transmission windows are necessary to keep the vacuum in the gyrotron system and also act as tritium barriers. With its excellent optical, thermal and mechanical properties, synthetic CVD (Chemical Vapor Deposition) diamond is the state of the art material for the cw transmission of the mm-wave beams produced by high power gyrotrons. The gyrotrons foreseen for the W7-X stellarator are designed for cw operation with 1 MW output power at 140 GHz. The output window unit is designed by TED (Thales Electron Devices, France) using a single edge circumferentially cooled CVD-diamond disc with an aperture of 88 mm. The window unit is cooled by de-ionized water which is considered as chemical aggressive and might cause corrosion in particular at the brazing. The use of a different coolant such as silicon oil could prevent this issue. The cooling circuit has been simulated by steady-state CFD analysis. A total power generation of 1 kW (RF transmission losses) with pure Gaussian distribution has been assumed for the diamond disc. The performance of both water and the industrial silicon oil DC200(R) have been investigated and compared with a focus on the temperature distribution on the disc, the pressure drop across the cooling path and the heat flux distribution. Although the silicon oil has a higher viscosity (~x5), lower heat capacity (~x1/2) and lower thermal conductivity (~x1/3), it has proven to be a good candidate as alternative to water.

  14. Ti:Pt:Au:Ni thin-film CVD diamond sensor ability for charged particle detection.

    Science.gov (United States)

    Kasiwattanawut, Haruetai; Tchouaso, Modeste Tchakoua; Prelas, Mark A

    2018-05-22

    This work demonstrates the development of diamond sensors with reliable contacts using a new metallization formula, which can operate under high-pressure gas environment. The metallization was created using thin film layers of titanium, platinum, gold and nickel deposited on a single crystal electronic grade CVD diamond chip. The contacts were 2 mm in diameter with thickness of 50/5/20/150 nm of Ti:Pt:Au:Ni. The optimum operating voltage of the sensor was determined from the current-voltage measurements. The sensor was calibrated with 239 Pu and 241 Am alpha radiation sources at 300 V. The energy resolution of the Ti:Pt:Au:Ni diamond sensor was determined to be 7.6% at 5.2 MeV of 239 Pu and 2.2% at 5.48 MeV of 241 Am. The high-pressure gas loading environment under which this sensor was used is discussed. Specifically, experimental observations are described using hydrogen loading of nickel as a means of initiating low energy nuclear reactions. No neutrons, electrons, ions or other ionizing radiations were observed in these experiments. Copyright © 2018 Elsevier Ltd. All rights reserved.

  15. A sandwich-like differential B-dot based on EACVD polycrystalline diamond slice

    Science.gov (United States)

    Xu, P.; Yu, Y.; Xu, L.; Zhou, H. Y.; Qiu, C. J.

    2018-06-01

    In this article, we present a method of mass production of a standardized high-performance differential B-dot magnetic probe together with the magnetic field measurement in a pulsed current device with the current up to hundreds of kilo-Amperes. A polycrystalline diamond slice produced in an Electron Assisted Chemical Vapor Deposition device is used as the base and insulating material to imprint two symmetric differential loops for the magnetic field measurement. The SP3 carbon bond in the cubic lattice structure of diamond is confirmed by Raman spectra. The thickness of this slice is 20 μm. A gold loop is imprinted onto each surface of the slice by using the photolithography technique. The inner diameter, width, and thickness of each loop are 0.8 mm, 50 μm, and 1 μm, respectively. It provides a way of measuring the pulsed magnetic field with a high spatial and temporal resolution, especially in limited space. This differential magnetic probe has demonstrated a very good common-mode rejection rate through the pulsed magnetic field measurement.

  16. Diamond brazing - interfacial reactions and wetting; Loeten von Diamant - Grenzflaechenreaktionen und Benetzung

    Energy Technology Data Exchange (ETDEWEB)

    Tillmann, W.; Osmanda, A.M.; Yurchenko, S. [Lehrstuhl fuer Werkstofftechnologie, Universitaet Dortmund, Leonhard-Euler-Str. 2, 44227 Dortmund (Germany); Theisen, W. [Ruhr-Universitaet Bochum, Lehrstuhl Werkstofftechnik (Germany)

    2005-08-01

    Diamond tools are increasingly gaining importance as cutting materials for various construction materials. The quality of synthetic diamonds, monocrystalline as well as polycrystalline or CVD-diamonds has been significantly improved over the last years. Integrating these cutting materials requires adequate joining technologies that produce sound joints without exposing the temperature sensitive diamond to too elevated temperatures. The paper highlights current developments in the joining of synthetic diamonds to steel and cemented carbide. Owing to their covalent atomic bonding diamonds cannot easily be wetted and joined by employing conventional brazing alloys. Hence, active agents are needed to foster an interfacial reaction. Different active filler concepts are presented and discussed regarding their joint formation. The brazing temperatures influence not only possible diamond degradation but also the interfacial decomposition of the diamond due to the formation of corresponding reaction layers.Active brazing, monocrystalline. (Abstract Copyright [2005], Wiley Periodicals, Inc.) [German] Diamantwerkstoffe erlangen zunehmend Bedeutung als Schneidmaterialien in Diamantwerkzeugen fuer die Bearbeitung verschiedener Konstruktionswerkstoffe. Die Qualitaet von synthetischen Diamanten, sowohl monokristallinen als auch polykristallinen oder CVD-Diamantschichten konnte in den letzten Jahren deutlich verbessert werden. Die Integration dieser Schneidstoffe erfordert eine angepasste Fuegetechnologie, die fehlerfreie Verbunde bereitstellt, ohne die gegenueber hohen Temperaturen empfindlichen Diamanten zu hohen Temperaturen auszusetzen. Der Beitrag zeigt aktuelle Entwicklungen in der Fuegetechnik von synthetischen Diamanten mit Stahl und Hartmetall auf. Infolge ihrer kovalenten atomaren Bindungen koennen Diamanten nicht ohne weiteres mit herkoemmlichen Lotwerkstoffen benetzt und gefuegt werden. Daher sind reaktive Elemente notwendig, die eine Grenzflaechenreaktion forcieren

  17. The Development of Open Water-lubricated Polycrystalline Diamond (PCD) Thrust Bearings for Use in Marine Hydrokinetic (MHK) Energy Machines

    Energy Technology Data Exchange (ETDEWEB)

    Cooley, Craig, H.; Khonsari, Michael,, M; Lingwall, Brent

    2012-11-28

    Polycrstalline diamond (PCD) bearings were designed, fabricated and tested for marine-hydro-kinetic (MHK) application. Bearing efficiency and life were evaluated using the US Synthetic bearing test facility. Three iterations of design, build and test were conducted to arrive at the best bearing design. In addition life testing that simulated the starting and stopping and the loading of real MHK applications were performed. Results showed polycrystalline diamond bearings are well suited for MHK applications and that diamond bearing technology is TRL4 ready. Based on life tests results bearing life is estimated to be at least 11.5 years. A calculation method for evaluating the performance of diamond bearings of round geometry was also investigated and developed. Finally, as part of this effort test bearings were supplied free of charge to the University of Alaska for further evaluation. The University of Alaska test program will subject the diamond bearings to sediment laden lubricating fluid.

  18. Toward deep blue nano hope diamonds: heavily boron-doped diamond nanoparticles.

    Science.gov (United States)

    Heyer, Steffen; Janssen, Wiebke; Turner, Stuart; Lu, Ying-Gang; Yeap, Weng Siang; Verbeeck, Jo; Haenen, Ken; Krueger, Anke

    2014-06-24

    The production of boron-doped diamond nanoparticles enables the application of this material for a broad range of fields, such as electrochemistry, thermal management, and fundamental superconductivity research. Here we present the production of highly boron-doped diamond nanoparticles using boron-doped CVD diamond films as a starting material. In a multistep milling process followed by purification and surface oxidation we obtained diamond nanoparticles of 10-60 nm with a boron content of approximately 2.3 × 10(21) cm(-3). Aberration-corrected HRTEM reveals the presence of defects within individual diamond grains, as well as a very thin nondiamond carbon layer at the particle surface. The boron K-edge electron energy-loss near-edge fine structure demonstrates that the B atoms are tetrahedrally embedded into the diamond lattice. The boron-doped diamond nanoparticles have been used to nucleate growth of a boron-doped diamond film by CVD that does not contain an insulating seeding layer.

  19. Electronic properties of single crystal CVD diamond and its suitability for particle detection in hadron physics experiments

    Energy Technology Data Exchange (ETDEWEB)

    Pomorski, Michal

    2008-08-07

    This work presents the study on the suitability of single-crystal CVD diamond for particle-detection systems in present and future hadron physics experiments. Different characterization methods of the electrical and the structural properties were applied to gain a deeper understanding of the crystal quality and the charge transport properties of this novel semiconductor material. First measurements regarding the radiation tolerance of diamond were performed with sensors heavily irradiated with protons and neutrons. Finally, detector prototypes were fabricated and successfully tested in various experiments as time detectors for minimum ionizing particles as well as for spectroscopy of heavy ions at the energy ranges available at the SIS and the UNILAC facilities of GSI. (orig.)

  20. Electronic properties of single crystal CVD diamond and its suitability for particle detection in hadron physics experiments

    International Nuclear Information System (INIS)

    Pomorski, Michal

    2008-01-01

    This work presents the study on the suitability of single-crystal CVD diamond for particle-detection systems in present and future hadron physics experiments. Different characterization methods of the electrical and the structural properties were applied to gain a deeper understanding of the crystal quality and the charge transport properties of this novel semiconductor material. First measurements regarding the radiation tolerance of diamond were performed with sensors heavily irradiated with protons and neutrons. Finally, detector prototypes were fabricated and successfully tested in various experiments as time detectors for minimum ionizing particles as well as for spectroscopy of heavy ions at the energy ranges available at the SIS and the UNILAC facilities of GSI. (orig.)

  1. Thermal characterization of polycrystalline diamond thin film heat spreaders grown on GaN HEMTs

    Science.gov (United States)

    Zhou, Yan; Ramaneti, Rajesh; Anaya, Julian; Korneychuk, Svetlana; Derluyn, Joff; Sun, Huarui; Pomeroy, James; Verbeeck, Johan; Haenen, Ken; Kuball, Martin

    2017-07-01

    Polycrystalline diamond (PCD) was grown onto high-k dielectric passivated AlGaN/GaN-on-Si high electron mobility transistor (HEMT) structures, with film thicknesses ranging from 155 to 1000 nm. Transient thermoreflectance results were combined with device thermal simulations to investigate the heat spreading benefit of the diamond layer. The observed thermal conductivity (κDia) of PCD films is one-to-two orders of magnitude lower than that of bulk PCD and exhibits a strong layer thickness dependence, which is attributed to the grain size evolution. The films exhibit a weak temperature dependence of κDia in the measured 25-225 °C range. Device simulation using the experimental κDia and thermal boundary resistance values predicts at best a 15% reduction in peak temperature when the source-drain opening of a passivated AlGaN/GaN-on-Si HEMT is overgrown with PCD.

  2. Physics and applications of CVD diamond

    CERN Document Server

    Koizumi, Satoshi; Nesladek, Milos

    2008-01-01

    Here, leading scientists report on why and how diamond can be optimized for applications in bioelectronic and electronics. They cover such topics as growth techniques, new and conventional doping mechanisms, superconductivity in diamond, and excitonic properties, while application aspects include quantum electronics at room temperature, biosensors as well as diamond nanocantilevers and SAWs.Written in a review style to make the topic accessible for a wider community of scientists working in interdisciplinary fields with backgrounds in physics, chemistry, biology and engineering, this is e

  3. Noble gas studies in vapor-growth diamonds: Comparison with shock-produced diamonds and the origin of diamonds in ureilites

    Energy Technology Data Exchange (ETDEWEB)

    Matsuda, Junichi; Fukunaga, Kazuya; Ito, Keisuke (Kobe Univ. (Japan))

    1991-07-01

    The authors synthesized vapor-trowth diamonds by two kinds of Chemical Vapor Deposition (CVD) using microwave (MWCVD) and hot filament (HFCVD) ionization of gases, and examined elemental abundances and isotopic compositions of the noble gases trapped in the diamonds. It is remarkable that strong differences existed in the noble gas concentrations in the two kinds of CVD diamonds: large amounts of noble gases were trapped in the MWCVD diamonds, but not in the HFCVD diamonds. The heavy noble gases (Ar to Xe) in the MWCVD diamonds were highly fractionated compared with those in the ambient atmosphere, and are in good agreement with the calculated fractionation patterns for plasma at an electron temperature of 7,000-9,000 K. These results strongly suggest that the trapping mechanism of noble gases in CVD diamonds is ion implantation during diamond growth. The degrees of fractionation of heavy noble gases were also in good agreement with those in ureilites. The vapor-growth hypothesis is discussed in comparison with the impact-shock hypothesis as a better model for the origin of diamonds in ureilites. The diamond (and graphite, amorphous carbon, too) may have been deposited on early condensates such as Re, Ir, W, etc. This model explains the chemical features of vein material in ureilites; the refractory siderophile elements are enriched in carbon and noble gases and low in normal siderophiles. The vapor-growth model is also compatible with the oxygen isotopic data of ureilites which suggests that nebular processes are primarily responsible for the composition of ureilites.

  4. The fabrication and evaluation of diamond cold cathodes for field emitter display applications

    International Nuclear Information System (INIS)

    Fox, N.A.

    1998-08-01

    Semiconducting diamond is a candidate wide-band gap material for applications in vacuum microelectronic devices. Its potential use in components that are operated at high frequencies, handle high powers or are subjected to extremes of temperature and radiation have yet to be commercially realised. The work presented below sets out to determine whether semiconducting diamond is a suitable material for such active electronic devices by examining the most efficient means of initiating electron emission from Chemical Vapour Deposited (CVD), semiconducting diamond. Novel methods are reported for the incorporation of impurity atoms of Nitrogen and Phosphorus into CVD diamond that employ ion-implantation techniques. Demonstration of the efficient incorporation of these impurities to form donor states with low activation energies into polycrystalline diamond would facilitate efficient room temperature operation of pn junctions devices. The effectiveness of boron as a p-type dopant in CVD diamond films has enabled the investigation of potential field emitter structures using different boron concentrations in order to identify their respective conduction mechanisms and to make a comparison of their relative electron emission performance. It has been concluded that efficient electron emission is observed to originate from the interface of n + -p, silicon/diamond heterojunctions that employ thin p-type regions which are less than 5μm thick. The emission current may be controlled by the application of a low voltage forward bias of less than 1 volt. Only the np junction containing 400 ppm of boron in the p-diamond layer demonstrated forward biased electron emission. It is proposed that carrier conduction across the junction interface involves recombination and tunnelling steps between interface trap states. Furthermore it is believed that due to the junction interface being in direct contact with vacuum, within this region of the emitter structure, a surface conduction emission

  5. Diamond sensors for future high energy experiments

    Energy Technology Data Exchange (ETDEWEB)

    Bachmair, Felix, E-mail: bachmair@phys.ethz.ch

    2016-09-21

    With the planned upgrade of the LHC to High-Luminosity-LHC [1], the general purpose experiments ATLAS and CMS are planning to upgrade their innermost tracking layers with more radiation tolerant technologies. Chemical Vapor Deposition CVD diamond is one such technology. CVD diamond sensors are an established technology as beam condition monitors in the highest radiation areas of all LHC experiments. The RD42-collaboration at CERN is leading the effort to use CVD diamond as a material for tracking detectors operating in extreme radiation environments. An overview of the latest developments from RD42 is presented including the present status of diamond sensor production, a study of pulse height dependencies on incident particle flux and the development of 3D diamond sensors.

  6. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P.S.; Prawer, S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics; Hoffman, A. [Technion-Israel Inst. of Tech., Haifa (Israel). Dept. of Chemistry; Evan, P.J. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Paterson, P.J.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs.

  7. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Paterson, P.J.K.

    1993-01-01

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs

  8. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P S; Prawer, S [Melbourne Univ., Parkville, VIC (Australia). School of Physics; Hoffman, A [Technion-Israel Inst. of Tech., Haifa (Israel). Dept. of Chemistry; Evan, P J [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Paterson, P J.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs.

  9. Nanopores creation in boron and nitrogen doped polycrystalline graphene: A molecular dynamics study

    Science.gov (United States)

    Izadifar, Mohammadreza; Abadi, Rouzbeh; Nezhad Shirazi, Ali Hossein; Alajlan, Naif; Rabczuk, Timon

    2018-05-01

    In the present paper, molecular dynamic simulations have been conducted to investigate the nanopores creation on 10% of boron and nitrogen doped polycrystalline graphene by silicon and diamond nanoclusters. Two types of nanoclusters based on silicon and diamond are used to investigate their effect for the fabrication of nanopores. Therefore, three different diameter sizes of the clusters with five kinetic energies of 10, 50, 100, 300 and 500 eV/atom at four different locations in boron or nitrogen doped polycrystalline graphene nanosheets have been perused. We also study the effect of 3% and 6% of boron doped polycrystalline graphene with the best outcome from 10% of doping. Our results reveal that the diamond cluster with diameter of 2 and 2.5 nm fabricates the largest nanopore areas on boron and nitrogen doped polycrystalline graphene, respectively. Furthermore, the kinetic energies of 10 and 50 eV/atom can not fabricate nanopores in some cases for silicon and diamond clusters on boron doped polycrystalline graphene nanosheets. On the other hand, silicon and diamond clusters fabricate nanopores for all locations and all tested energies on nitrogen doped polycrystalline graphene. The area sizes of nanopores fabricated by silicon and diamond clusters with diameter of 2 and 2.5 nm are close to the actual area size of the related clusters for the kinetic energy of 300 eV/atom in all locations on boron doped polycrystalline graphene. The maximum area and the average maximum area of nanopores are fabricated by the kinetic energy of 500 eV/atom inside the grain boundary at the center of the nanosheet and in the corner of nanosheet with diameters of 2 and 3 nm for silicon and diamond clusters on boron and nitrogen doped polycrystalline graphene.

  10. Research on electrodischarge drilling of polycrystalline diamond with increased gap voltage

    Science.gov (United States)

    Skoczypiec, Sebastian; Bizoń, Wojciech; Żyra, Agnieszka

    2018-05-01

    This paper presents an experimental investigation of the machining characteristics of polycrystalline diamond (PCD). Machining of PCD by conventional technologies is not an effective solution. Due to presence of cobalt this material can be machined by application of electrical discharges. On the other side, electrical conductivity of PCD is on the limit of electrodischarge machining (EDM) possibilities. Proposed paper reports experimental investigation on electrodischarge drilling of PCD samples. The test were carried out with application on of high-voltage (up to 550 V) pulse power unit for two kinds of dielectrics: carbon based (Exxsol D80) and de-ionized water. As output parameters machining accuracy (side gap), material removal rate were selected. Also, based on SEM photographs and energy dispersive X-ray spectroscopy (EDS) analysis, a qualitative evaluation of the obtained results was presented.

  11. Large-volume static compression using nano-polycrystalline diamond for opposed anvils in compact cells

    International Nuclear Information System (INIS)

    Okuchi, T; Sasaki, S; Ohno, Y; Osakabe, T; Odake, S; Kagi, H

    2010-01-01

    In order to extend the pressure regime of intrinsically low-sensitivity methods of measurement, such as neutron scattering and NMR, sample volume to be compressed in compact opposed-anvil cells is desired to be significantly increased. We hereby conducted a series of experiments using two types of compact cells equipped with enforced loading mechanisms. Super-hard nano-polycrystalline diamond (NPD) anvils were carefully prepared for large-volume compression in these cells. These anvils are harder, larger and stronger than single crystal diamond anvils, so that they could play an ideal role to accept the larger forces. Supported and unsupported anvil geometries were separately tested to evaluate this expectation. In spite of insufficient support to the anvils, pressures to 14 GPa were generated for the sample volume of > 0.1 mm 3 , without damaging the NPD anvils. These results demonstrate a large future potential of compact cells equipped with NPD anvils and enforced loading mechanism.

  12. Parametric assessments on hydrogenic species transport in CVD-diamond vacuum windows used in ITER ECRH

    Energy Technology Data Exchange (ETDEWEB)

    Moreno, C.; Sedano, L.A.; Fernandez, A. [EURATOM-CIEMAT Association, Madrid (Spain)

    2007-07-01

    Insulators used as H and CD and Diagnostic vacuum windows (VW) in ITER may become modified by surface intake and bulk transport of hydrogenic species. VW, operating under severe radiation levels, have a primary safety role as tritium confinement barriers. Ionizing radiation enhances the (H') uptake and release at surfaces and diffusion rates in the bulk. Radiation damage modifies the material's bulk trapped inventories by increasing steady state trapping centre concentrations. An experimental programme is ongoing at CIEMAT, to quantify radiation effects on H transport characteristics and also the possible impact on the VW. The reference material for ECRH VW is CVD diamond. As a parallel activity, parametric transport assessments are being made in order to obtain a wide evaluation of permeation fluxes, ranges, and soluted/trapped inventories in CVD diamond. Transport models have been developed based on extended capabilities of finite differences integrator tool TMAP7. Special attention is paid to radiation parameters defining inputs acting on transport magnitudes. These inputs have been analysed by using ionizing/damage radiation transport tools such as MCNPX/SRIM. VW operational scenarios are discussed with special attention being paid to the ITER design assumptions for the values of H-species source terms (neutrals and implanted) in the ECRH system. The available material transport database with and without radiation is discussed and taken as reference for this parametric exercise. Permeation fluxes through base materials are shown to be below DRG limits established for ITER. (orig.)

  13. Superconductivity and low temperature electrical transport in B-doped CVD nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Milos Nesladek, Jiri J. Mares, Dominique Tromson, Christine Mer, Philippe Bergonzo, Pavel Hubik and Jozef Kristofik

    2006-01-01

    Full Text Available In this work, we report on superconductivity (SC found in thin B-doped nanocrystalline diamond films, prepared by the PE-CVD technique. The thickness of the films varies from about 100 to 400 nm, the films are grown on low-alkaline glass at substrate temperatures of about 500–700 °C. The SIMS measurements show that films can be heavily doped with boron in concentrations in the range of 3×1021 cm−3. The Raman spectra show Fano resonances, confirming the substitutional B-incorporation. The low temperature magnetotransport measurements reveal a positive magnetoresistance. The SC transition is observed at about Tc=1.66 K. A simple theory exploiting the concept of weak localization accounting for this transition is proposed.

  14. Diamond pixel modules

    International Nuclear Information System (INIS)

    Asner, D.; Barbero, M.; Bellini, V.; Belyaev, V.; Brom, J-M.; Bruzzi, M.; Chren, D.; Cindro, V.; Claus, G.; Cristinziani, M.; Costa, S.; D'Alessandro, R.; Boer, W. de; Dobos, D.; Dolenc, I.; Dulinski, W.; Duris, J.; Eremin, V.; Eusebi, R.; Frais-Koelbl, H.

    2011-01-01

    With the commissioning of the LHC in 2010 and upgrades expected in 2015, ATLAS and CMS are planning to upgrade their innermost tracking layers with radiation hard technologies. Chemical Vapor Deposition diamond has been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle, CDF and all LHC experiments. This material is now being considered as a sensor material for use very close to the interaction region where the most extreme radiation conditions exist. Recently the RD42 collaboration constructed, irradiated and tested polycrystalline and single-crystal chemical vapor deposition diamond sensors to the highest fluences expected at the super-LHC. We present beam test results of chemical vapor deposition diamond up to fluences of 1.8x10 16 protons/cm 2 illustrating that both polycrystalline and single-crystal chemical vapor deposition diamonds follow a single damage curve. We also present beam test results of irradiated complete diamond pixel modules.

  15. Diamond pixel modules

    Energy Technology Data Exchange (ETDEWEB)

    Asner, D. [Carleton University, Ottawa (Canada); Barbero, M. [Universitaet Bonn (Germany); Bellini, V. [INFN/University of Catania (Italy); Belyaev, V. [MEPHI Institute, Moscow (Russian Federation); Brom, J-M. [IPHC, Strasbourg (France); Bruzzi, M. [INFN/University of Florence (Italy); Chren, D. [Czech Technical University, Prague (Czech Republic); Cindro, V. [Jozef Stefan Institute, Ljubljana (Slovenia); Claus, G. [IPHC, Strasbourg (France); Cristinziani, M. [Universitaet Bonn (Germany); Costa, S. [INFN/University of Catania (Italy); D' Alessandro, R. [Department of Energetics/INFN Florence (Italy); Boer, W. de [Universitaet Karlsruhe, Karlsruhe (Germany); Dobos, D. [CERN, Geneva (Switzerland); Dolenc, I. [Jozef Stefan Institute, Ljubljana (Slovenia); Dulinski, W. [IPHC, Strasbourg (France); Duris, J. [UCLA, Los Angeles, CA (United States); Eremin, V. [Ioffe Institute, St. Petersburg (Russian Federation); Eusebi, R. [FNAL, Batavia (United States); Frais-Koelbl, H. [Fachhochschule fuer Wirtschaft und Technik, Wiener Neustadt (Austria)

    2011-04-21

    With the commissioning of the LHC in 2010 and upgrades expected in 2015, ATLAS and CMS are planning to upgrade their innermost tracking layers with radiation hard technologies. Chemical Vapor Deposition diamond has been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle, CDF and all LHC experiments. This material is now being considered as a sensor material for use very close to the interaction region where the most extreme radiation conditions exist. Recently the RD42 collaboration constructed, irradiated and tested polycrystalline and single-crystal chemical vapor deposition diamond sensors to the highest fluences expected at the super-LHC. We present beam test results of chemical vapor deposition diamond up to fluences of 1.8x10{sup 16} protons/cm{sup 2} illustrating that both polycrystalline and single-crystal chemical vapor deposition diamonds follow a single damage curve. We also present beam test results of irradiated complete diamond pixel modules.

  16. Charge transfer effects, thermo and photochromism in single crystal CVD synthetic diamond.

    Science.gov (United States)

    Khan, R U A; Martineau, P M; Cann, B L; Newton, M E; Twitchen, D J

    2009-09-09

    We report on the effects of thermal treatment and ultraviolet irradiation on the point defect concentrations and optical absorption profiles of single crystal CVD synthetic diamond. All thermal treatments were below 850 K, which is lower than the growth temperature and unlikely to result in any structural change. UV-visible absorption spectroscopy measurements showed that upon thermal treatment (823 K), various broad absorption features diminished: an absorption band at 270 nm (used to deduce neutral single substitutional nitrogen (N(S)(0)) concentrations) and also two broad features centred at approximately 360 and 520 nm. Point defect centre concentrations as a function of temperature were also deduced using electron paramagnetic resonance (EPR) spectroscopy. Above ∼500 K, we observed a decrease in the concentration of N(S)(0) centres and a concomitant increase in the negatively charged nitrogen-vacancy-hydrogen (NVH) complex (NVH(-)) concentration. Both transitions exhibited an activation energy between 0.6 and 1.2 eV, which is lower than that for the N(S)(0) donor (∼1.7 eV). Finally, it was found that illuminating samples with intense short-wave ultraviolet light recovered the N(S)(0) concentration and also the 270, 360 and 520 nm absorption features. From these results, we postulate a valence band mediated charge transfer process between NVH and single nitrogen centres with an acceptor trap depth for NVH of 0.6-1.2 eV. Because the loss of N(S)(0) concentration is greater than the increase in NVH(-) concentration we also suggest the presence of another unknown acceptor existing at a similar energy to NVH. The extent to which the colour in CVD synthetic diamond is dependent on prior history is discussed.

  17. Severe signal loss in diamond beam loss monitors in high particle rate environments by charge trapping in radiation-induced defects

    CERN Document Server

    Kassel, Florian; Dabrowski, Anne; de Boer, Wim

    2016-01-01

    The beam condition monitoring leakage (BCML) system is a beam monitoring device in the compact muon solenoid (CMS) experiment at the large hadron collider (LHC). As detectors 32 poly-crystalline (pCVD) diamond sensors are positioned in rings around the beam pipe. Here, high particle rates occur from the colliding beams scattering particles outside the beam pipe. These particles cause defects, which act as traps for the ionization, thus reducing the charge collection efficiency (CCE). However, the loss in CCE was much more severe than expected from low rate laboratory measurements and simulations, especially in single-crystalline (sCVD) diamonds, which have a low initial concentration of defects. The reason why in real experiments the CCE is much worse than in laboratory experiments is related to the ionization rate. At high particle rates the trapping rate of the ionization is so high compared with the detrapping rate, that space charge builds up. This space charge reduces locally the internal electric field,...

  18. CVD diamond - fundamental phenomena

    Energy Technology Data Exchange (ETDEWEB)

    Yarbrough, W.A. [Pennsylvania State Univ., University Park (United States)

    1993-01-01

    This compilation of figures and diagrams addresses the basic physical processes involved in the chemical vapor deposition of diamond. Different methods of deposition are illustrated. For each method, observations are made of the prominent advantages and disadvantages of the technique. Chemical mechanisms of nucleation are introduced.

  19. Radiation damage in the diamond based beam condition monitors of the CMS experiment at the Large Hadron Collider (LHC) at CERN

    Energy Technology Data Exchange (ETDEWEB)

    Guthoff, Moritz, E-mail: moritz.guthoff@cern.ch [CERN, 1211 Genève 23 (Switzerland); Institut für Experimentelle Kernphysik, Karlsruhe Institute of Technology, Campus Süd, P.O. Box 6980, 76128 Karlsruhe (Germany); Afanaciev, Konstantin [DESY, Platanenallee 6, 15738 Zeuthen (Germany); NC PHEP BSU, Minsk (Belarus); Dabrowski, Anne [CERN, 1211 Genève 23 (Switzerland); Boer, Wim de [Institut für Experimentelle Kernphysik, Karlsruhe Institute of Technology, Campus Süd, P.O. Box 6980, 76128 Karlsruhe (Germany); Lange, Wolfgang [DESY, Platanenallee 6, 15738 Zeuthen (Germany); Lohmann, Wolfgang [DESY, Platanenallee 6, 15738 Zeuthen (Germany); Brandenburgische Technische Universität, Postfach 101344, 03013 Cottbus (Germany); Stickland, David [Princeton University, Princeton, NJ 08544-0708 (United States)

    2013-12-01

    The Beam Condition Monitor (BCM) of the CMS detector at the LHC is a protection device similar to the LHC Beam Loss Monitor system. While the electronics used is the same, poly-crystalline Chemical Vapor Deposition (pCVD) diamonds are used instead of ionization chambers as the BCM sensor material. The main purpose of the system is the protection of the silicon Pixel and Strip tracking detectors by inducing a beam dump, if the beam losses are too high in the CMS detector. By comparing the detector current with the instantaneous luminosity, the BCM detector efficiency can be monitored. The number of radiation-induced defects in the diamond, reduces the charge collection distance, and hence lowers the signal. The number of these induced defects can be simulated using the FLUKA Monte Carlo simulation. The cross-section for creating defects increases with decreasing energies of the impinging particles. This explains, why diamond sensors mounted close to heavy calorimeters experience more radiation damage, because of the high number of low energy neutrons in these regions. The signal decrease was stronger than expected from the number of simulated defects. Here polarization from trapped charge carriers in the defects is a likely candidate for explaining the difference, as suggested by Transient Current Technique (TCT) measurements. A single-crystalline (sCVD) diamond sensor shows a faster relative signal decrease than a pCVD sensor mounted at the same location. This is expected, since the relative increase in the number of defects is larger in sCVD than in pCVD sensors. -- Highlights: •The BCM system and its diamond detectors at the CMS experiment of the LHC are presented. •Detectors show a decreased signal strength with increasing integrated luminosity. •CCD measurements using constant HV and alternating HV to prevent polarization are compared. •TCT measurements show a decreasing signal when polarization builds up. •Polarization effects are a likely

  20. Radiation damage in the diamond based beam condition monitors of the CMS experiment at the Large Hadron Collider (LHC) at CERN

    International Nuclear Information System (INIS)

    Guthoff, Moritz; Afanaciev, Konstantin; Dabrowski, Anne; Boer, Wim de; Lange, Wolfgang; Lohmann, Wolfgang; Stickland, David

    2013-01-01

    The Beam Condition Monitor (BCM) of the CMS detector at the LHC is a protection device similar to the LHC Beam Loss Monitor system. While the electronics used is the same, poly-crystalline Chemical Vapor Deposition (pCVD) diamonds are used instead of ionization chambers as the BCM sensor material. The main purpose of the system is the protection of the silicon Pixel and Strip tracking detectors by inducing a beam dump, if the beam losses are too high in the CMS detector. By comparing the detector current with the instantaneous luminosity, the BCM detector efficiency can be monitored. The number of radiation-induced defects in the diamond, reduces the charge collection distance, and hence lowers the signal. The number of these induced defects can be simulated using the FLUKA Monte Carlo simulation. The cross-section for creating defects increases with decreasing energies of the impinging particles. This explains, why diamond sensors mounted close to heavy calorimeters experience more radiation damage, because of the high number of low energy neutrons in these regions. The signal decrease was stronger than expected from the number of simulated defects. Here polarization from trapped charge carriers in the defects is a likely candidate for explaining the difference, as suggested by Transient Current Technique (TCT) measurements. A single-crystalline (sCVD) diamond sensor shows a faster relative signal decrease than a pCVD sensor mounted at the same location. This is expected, since the relative increase in the number of defects is larger in sCVD than in pCVD sensors. -- Highlights: •The BCM system and its diamond detectors at the CMS experiment of the LHC are presented. •Detectors show a decreased signal strength with increasing integrated luminosity. •CCD measurements using constant HV and alternating HV to prevent polarization are compared. •TCT measurements show a decreasing signal when polarization builds up. •Polarization effects are a likely

  1. Cutting characteristics of dental diamond burs made with CVD technology Características de corte de pontas odontológicas diamantadas obtidas pela tecnologia CVD

    Directory of Open Access Journals (Sweden)

    Luciana Monti Lima

    2006-04-01

    Full Text Available The aim of this study was to determine the cutting ability of chemical vapor deposition (CVD diamond burs coupled to an ultrasonic dental unit handpiece for minimally invasive cavity preparation. One standard cavity was prepared on the mesial and distal surfaces of 40 extracted human third molars either with cylindrical or with spherical CVD burs. The cutting ability was compared regarding type of substrate (enamel and dentin and direction of handpiece motion. The morphological characteristics, width and depth of the cavities were analyzed and measured using scanning electron micrographs. Statistical analysis using the Kruskal-Wallis test (p O objetivo deste estudo foi determinar a habilidade de corte das pontas de diamante obtidas pelo processo de deposição química a vapor (CVD associadas ao aparelho de ultra-som no preparo cavitário minimamente invasivo. Uma cavidade padronizada foi preparada nas faces mesial e distal de 40 terceiros molares, utilizando-se pontas de diamante CVD cilíndrica e esférica. A habilidade de corte foi comparada quanto ao tipo de substrato (esmalte e dentina e quanto à direção do movimento realizado com a ponta. As características morfológicas, a largura e profundidade das cavidades foram analisadas e medidas em microscopia eletrônica de varredura. A análise estatística pelo teste de Kruskal-Wallis (p < 0,05 revelou que a largura e profundidade das cavidades foram significativamente maiores em dentina. Cavidades mais largas foram obtidas quando se utilizou a ponta de diamante CVD cilíndrica, e mais profundas quando a ponta esférica foi empregada. A direção do movimento da ponta não influenciou o tamanho das cavidades, sendo os cortes produzidos pelas pontas de diamante CVD precisos e conservadores.

  2. Study on tribological behavior and cutting performance of CVD diamond and DLC films on Co-cemented tungsten carbide substrates

    International Nuclear Information System (INIS)

    Zhang Dongcan; Shen Bin; Sun Fanghong

    2010-01-01

    The tribological behaviors of diamond and diamond-like carbon (DLC) films play a major role on their machining and mechanical applications. In this study, diamond and diamond-like carbon (DLC) films are deposited on the cobalt cemented tungsten carbide (WC-Co) substrate respectively adopting the hot filament chemical vapor deposition (HFCVD) technique and the vacuum arc discharge with a graphite cathode, and their friction properties are evaluated on a reciprocating ball-on-plate tribometer with counterfaces of silicon nitride (Si 3 N 4 ) ceramic, cemented tungsten carbide (WC) and ball-bearing steel materials, under the ambient air without lubricating condition. Moreover, to evaluate their cutting performance, comparative turning tests are conducted using the uncoated WC-Co and as-fabricated CVD diamond and DLC coated inserts, with glass fiber reinforced plastics (GFRP) composite materials as the workpiece. The as-deposited HFCVD diamond and DLC films are characterized with energy-dispersive X-ray spectroscopy (EDX), scanning electron microscope (SEM), X-ray diffraction spectroscopy (XRD), Raman spectroscopy and 3D surface topography based on white-light interferometry. Furthermore, Rocwell C indentation tests are conducted to evaluate the adhesion of HFCVD diamond and DLC films grown onto WC-Co substrates. SEM and 3D surface topography based on white-light interferometry are also used to investigate the worn region on the surfaces of diamond and DLC films. The friction tests suggest that the obtained friction coefficient curves that of various contacts exhibit similar evolution tendency. For a given counterface, DLC films present lower stable friction coefficients than HFCVD diamond films under the same sliding conditions. The cutting tests results indicate that flank wear of the HFCVD diamond coated insert is lower than that of DLC coated insert before diamond films peeling off.

  3. On the generation of surface depressions in polishing polycrystalline diamond compacts

    International Nuclear Information System (INIS)

    Tang, Fengzai; Chen, Yiqing; Zhang, Liangchi

    2014-01-01

    This paper investigates the surface depressions generated during the polishing of the (1 1 1) surfaces of polycrystalline diamond (PCD) compacts when using the dynamic friction polishing (DFP) method. It was found that surface depressions of six-sided faces along octahedral planes were the typical features created by the DFP. Although the size of the well-developed depressions can vary significantly, the rectilinear edges are always aligned with the directions. Pronounced {1 1 1} planar defects (i.e., twins) were revealed underneath a depression apex. The interception of the defect plane with the polished surface accounts for the generation of the aligned depressions and for the discernible asymmetry of the pyramidal faces with respect to the (1 1 1) plane. It was revealed that the attached debris layer on the PCD surfaces contained sp 2 -bounded amorphous carbon and nano-sized crystals. (paper)

  4. Diamond electrophoretic microchips-Joule heating effects

    International Nuclear Information System (INIS)

    Karczemska, Anna T.; Witkowski, Dariusz; Ralchenko, Victor; Bolshakov, Andrey; Sovyk, Dmitry; Lysko, Jan M.; Fijalkowski, Mateusz; Bodzenta, Jerzy; Hassard, John

    2011-01-01

    Microchip electrophoresis (MCE) has become a mature separation technique in the recent years. In the presented research, a polycrystalline diamond electrophoretic microchip was manufactured with a microwave plasma chemical vapour deposition (MPCVD) method. A replica technique (mould method) was used to manufacture microstructures in diamond. A numerical analysis with CoventorWare TM was used to compare thermal properties during chip electrophoresis of diamond and glass microchips of the same geometries. Temperature distributions in microchips were demonstrated. Thermal, electrical, optical, chemical and mechanical parameters of the polycrystalline diamond layers are advantageous over traditionally used materials for microfluidic devices. Especially, a very high thermal conductivity coefficient gives a possibility of very efficient dissipation of Joule heat from the diamond electrophoretic microchip. This enables manufacturing of a new generation of microdevices.

  5. Diamond electrophoretic microchips-Joule heating effects

    Energy Technology Data Exchange (ETDEWEB)

    Karczemska, Anna T., E-mail: anna.karczemska@p.lodz.pl [Technical University of Lodz, Institute of Turbomachinery, 219/223 Wolczanska str., Lodz (Poland); Witkowski, Dariusz [Technical University of Lodz, Institute of Turbomachinery, 219/223 Wolczanska str., Lodz (Poland); Ralchenko, Victor, E-mail: ralchenko@nsc.gpi.ru [General Physics Institute, Russian Academy of Science, 38 Vavilov str., Moscow (Russian Federation); Bolshakov, Andrey; Sovyk, Dmitry [General Physics Institute, Russian Academy of Science, 38 Vavilov str., Moscow (Russian Federation); Lysko, Jan M., E-mail: jmlysko@ite.waw.pl [Institute of Electron Technology, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Fijalkowski, Mateusz, E-mail: petr.louda@vslib.cz [Technical University of Liberec, Faculty of Mechanical Engineering (Czech Republic); Bodzenta, Jerzy, E-mail: jerzy.bodzenta@polsl.pl [Silesian University of Technology, Institute of Physics, 2 Krzywoustego str., 44-100 Gliwice (Poland); Hassard, John, E-mail: j.hassard@imperial.ac.uk [Imperial College of Science, Technology and Medicine, London (United Kingdom)

    2011-03-15

    Microchip electrophoresis (MCE) has become a mature separation technique in the recent years. In the presented research, a polycrystalline diamond electrophoretic microchip was manufactured with a microwave plasma chemical vapour deposition (MPCVD) method. A replica technique (mould method) was used to manufacture microstructures in diamond. A numerical analysis with CoventorWare{sup TM} was used to compare thermal properties during chip electrophoresis of diamond and glass microchips of the same geometries. Temperature distributions in microchips were demonstrated. Thermal, electrical, optical, chemical and mechanical parameters of the polycrystalline diamond layers are advantageous over traditionally used materials for microfluidic devices. Especially, a very high thermal conductivity coefficient gives a possibility of very efficient dissipation of Joule heat from the diamond electrophoretic microchip. This enables manufacturing of a new generation of microdevices.

  6. The characteristics of ESR and 3-D TL spectra of diamonds

    International Nuclear Information System (INIS)

    Liu Shunsheng; Lu Xu; Fu Huifang

    2003-01-01

    Electron Spin Resonance (ESR) and 3-dimensional Thermoluminescence (3-D TL) spectra of natural diamond, high temperature-high pressure artificial diamond and high temperature-low pressure chemical vapor deposited (CVD) diamond were determined. The characteristics of spectra have been studied. It is found that isolated nitrogen, nitrogen exchange pair and nitrogen atom pair (S=1) are main forms of electron spin resonance nitrogen in natural and high temperature-high pressure artificial diamonds. The spectrum of CVD diamond is sampler, and contains only one peak caused by suspended bond of unsaturated carbon ones. For 3-D TL spectra, natural diamond has two peaks (∼370 nm and ∼510 nm) in 100-200 degree C temperature range, high temperature-high pressure artificial diamond only has ∼370 nm peak, and CVD diamond only has ∼500 nm peak. These characteristics would be useful for the quality inspection and classification of diamonds, as well as for the study of geologic actions associated with natural diamond

  7. Friction and wear properties of diamonds and diamond coatings

    International Nuclear Information System (INIS)

    Hayward, I.P.

    1991-01-01

    The recent development of chemical vapor deposition techniques for diamond growth enables bearings to be designed which exploit diamond's low friction and extreme resistance to wear. However, currently produced diamond coatings differ from natural diamond surfaces in that they are polycrystalline and faceted, and often contain appreciable amounts of non-diamond material (i.e. graphitic or amorphous carbon). Roughness, in particular, influences the friction and wear properties; rough coatings severely abrade softer materials, and can even wear natural diamond sliders. Nevertheless, the best available coatings exhibit friction coefficients as low as those of natural diamond and are highly resistant to wear. This paper reviews the tribological properties of natural diamond, and compares them with those of chemical vapor deposited diamond coatings. Emphasis is placed on the roles played by roughness and material transfer in controlling frictional behavior. (orig.)

  8. Linear antenna microwave plasma CVD diamond deposition at the edge of no-growth region of C-H-O ternary diagram

    Czech Academy of Sciences Publication Activity Database

    Potocký, Štěpán; Babchenko, Oleg; Hruška, Karel; Kromka, Alexander

    2012-01-01

    Roč. 249, č. 12 (2012), s. 2612-2615 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GBP108/12/G108; GA ČR GAP205/12/0908 Institutional research plan: CEZ:AV0Z10100521 Keywords : C-H-O phase diagram * nanocrystalline diamond * plasma enhanced CVD * Raman spectroscopy * SEM Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.489, year: 2012

  9. Thin polycrystalline diamond films protecting zirconium alloys surfaces: from technology to layer analysis and application in nuclear facilities

    Czech Academy of Sciences Publication Activity Database

    Ashcheulov, Petr; Škoda, R.; Škarohlíd, J.; Taylor, Andrew; Fekete, Ladislav; Fendrych, František; Vega, R.; Shao, L.; Kalvoda, L.; Vratislav, S.; Cháb, Vladimír; Horáková, K.; Kůsová, Kateřina; Klimša, Ladislav; Kopeček, Jaromír; Sajdl, P.; Macák, J.; Johnson, S.; Kratochvílová, Irena

    2015-01-01

    Roč. 359, Dec (2015), s. 621-628 ISSN 0169-4332 R&D Projects: GA ČR(CZ) GA15-05095S; GA TA ČR TA04020156; GA MŠk LO1409; GA MŠk(CZ) LM2011029 Grant - others:SAFMAT(XE) CZ.2.16/3.1.00/22132 Institutional support: RVO:68378271 Keywords : metal coatings * thin polycrystalline diamond film * impedance spectroscopy * Raman spectroscopy * XPS Subject RIV: JI - Composite Materials Impact factor: 3.150, year: 2015

  10. Catalytic growth of carbon nanowires on composite diamond/silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sellam, Amine [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Miska, Patrice [Université de Lorraine, Institut Jean Lamour, Département P2M (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Ghanbaja, Jaafar [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Barrat, Silvère, E-mail: Silvere.Barrat@ijl.nancy-universite.fr [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France)

    2014-01-01

    Polycrystalline diamond (PCD) films and carbon nanowires (CNWs) provide individually highly attractive properties for science and technology applications. The possibility of carbon composite materials made from a combination of these materials remains a potential approach widely discussed in literature but modestly investigated. We report in this work an early attempt to explore this opportunity in the light of some specific experimental considerations. Carbon nanowires (CNWs) are grown at low temperature without the conventional use of external hydrocarbon vapor source on silicon substrates partially covered by a thin film of coalesced micrometric CVD diamond. Composite substrates constituted by PCD on silicon were first cleaned with H{sub 2} plasma then used for the PVD deposition of 5 nm Ni thin films. Then, samples were heat treated in a CVD reactor at 580 °C in the presence of pure H{sub 2} pressure of 60 hPa at different annealing times. Comparative effect of annealing time on the dewetting of Ni thin films and the subsequent CNWs growth process was considered in this work using systematic observations by SEM. Possible mechanisms underlying CNWs growth in pure H{sub 2} gas were proposed. The nature and structure of these CNWs have been investigated by TEM microscopy and by Raman spectroscopy on the sample showing the highest CNWs density.

  11. Diamond Nucleation Using Polyethene

    Science.gov (United States)

    Morell, Gerardo (Inventor); Makarov, Vladimir (Inventor); Varshney, Deepak (Inventor); Weiner, Brad (Inventor)

    2013-01-01

    The invention presents a simple, non-destructive and non-abrasive method of diamond nucleation using polyethene. It particularly describes the nucleation of diamond on an electrically viable substrate surface using polyethene via chemical vapor deposition (CVD) technique in a gaseous environment.

  12. Thin polycrystalline diamond films protecting zirconium alloys surfaces: From technology to layer analysis and application in nuclear facilities

    Energy Technology Data Exchange (ETDEWEB)

    Ashcheulov, P. [Institute of Physics, Academy of Sciences Czech Republic v.v.i, Na Slovance 2, CZ-182 21, Prague 8 (Czech Republic); Škoda, R.; Škarohlíd, J. [Czech Technical University in Prague, Faculty of Mechanical Engineering, Technická 4, Prague 6, CZ-160 07 (Czech Republic); Taylor, A.; Fekete, L.; Fendrych, F. [Institute of Physics, Academy of Sciences Czech Republic v.v.i, Na Slovance 2, CZ-182 21, Prague 8 (Czech Republic); Vega, R.; Shao, L. [Texas A& M University, Department of Nuclear Engineering TAMU-3133, College Station, TX TX 77843 (United States); Kalvoda, L.; Vratislav, S. [Faculty of Nuclear Science and Physical Engineering, Czech Technical University in Prague, Brehova 7, CZ-115 19, Prague 1 (Czech Republic); Cháb, V.; Horáková, K.; Kůsová, K.; Klimša, L.; Kopeček, J. [Institute of Physics, Academy of Sciences Czech Republic v.v.i, Na Slovance 2, CZ-182 21, Prague 8 (Czech Republic); Sajdl, P.; Macák, J. [University of Chemistry and Technology, Power Engineering Department, Technická 3, Prague 6, CZ-166 28 (Czech Republic); Johnson, S. [Nuclear Fuel Division, Westinghouse Electric Company, 5801 Bluff Road, Hopkins, SC 29209 (United States); Kratochvílová, I., E-mail: krat@fzu.cz [Institute of Physics, Academy of Sciences Czech Republic v.v.i, Na Slovance 2, CZ-182 21, Prague 8 (Czech Republic); Faculty of Nuclear Science and Physical Engineering, Czech Technical University in Prague, Brehova 7, CZ-115 19, Prague 1 (Czech Republic)

    2015-12-30

    Graphical abstract: - Highlights: • In this work we showed that films prepared by MW-LA-PECVD technology can be used as anticorrosion protective layer for Zircaloy2 nuclear fuel claddings at elevated temperatures (950 °C) when α phase of zirconium changes to β phase (more opened for oxygen/hydrogen diffusion). Quality of PCD films was examined by Raman spectroscopy, XPS, SEM, AFM and SIMS analysis. • The polycrystalline diamond films were of high quality - without defects and contaminations. After hot steam oxidation (950 °C) a high level of structural integrity of PCD layer was observed. Both sp{sup 2} and sp{sup 3} C phases were present in the protective PCD layer. Higher resistance and a lower degree of impedance dispersion was found in the hot steam oxidized PCD coated Zircaloy2 samples, which may suggest better protection of the Zircaloy2 surface. The PCD layer blocks the hydrogen diffusion into the Zircaloy2 surface thus protecting the material from degradation. • Hot steam oxidation tests confirmed that PCD coated Zircaloy2 surfaces were effectively protected against corrosion. Presented results demonstrate that the PCD anticorrosion protection can significantly prolong service life of Zircaloy2 nuclear fuel claddings in nuclear reactors even at elevated temperatures. - Abstract: Zirconium alloys can be effectively protected against corrosion by polycrystalline diamond (PCD) layers grown in microwave plasma enhanced linear antenna chemical vapor deposition apparatus. Standard and hot steam oxidized PCD layers grown on Zircaloy2 surfaces were examined and the specific impact of polycrystalline Zr substrate surface on PCD layer properties was investigated. It was found that the presence of the PCD coating blocks hydrogen diffusion into the Zircaloy2 surface and protects Zircaloy2 material from degradation. PCD anticorrosion protection of Zircaloy2 can significantly prolong life of Zircaloy2 material in nuclear reactors even at temperatures above Zr

  13. Nanocrystalline diamond coatings for cutting operations; Nanokristalline Diamantschichten fuer die Zerspanung

    Energy Technology Data Exchange (ETDEWEB)

    Frank, M.; Breidt, D.; Cremer, R. [CemeCon AG, Wuerselen (Germany). Technology

    2006-06-15

    The history of the CVD diamond synthesis goes back into the fifties. However, the scientific and economical potential was only gradually recognized. In the eighties intensive world-wide research on CVD diamond synthesis and applications were launched. Industrial products, especially diamond-coated cutting tools, were introduced to the market in the middle of the nineties. The article shows the latest developments in this area, which comprises nanocrystalline diamond coating structures. (orig.)

  14. Lateral overgrowth of diamond film on stripes patterned Ir/HPHT-diamond substrate

    Science.gov (United States)

    Wang, Yan-Feng; Chang, Xiaohui; Liu, Zhangcheng; Liu, Zongchen; Fu, Jiao; Zhao, Dan; Shao, Guoqing; Wang, Juan; Zhang, Shaopeng; Liang, Yan; Zhu, Tianfei; Wang, Wei; Wang, Hong-Xing

    2018-05-01

    Epitaxial lateral overgrowth (ELO) of diamond films on patterned Ir/(0 0 1)HPHT-diamond substrates have been carried out by microwave plasma CVD system. Ir/(0 0 1)HPHT-diamond substrates are fabricated by photolithographic and magnetron sputtering technique. The morphology of the as grown ELO diamond film is characterized by optical microscopy and scanning electronic microscopy. The quality and stress of the ELO diamond film are investigated by surface etching pit density and micro-Raman spectroscopy. Two ultraviolet photodetectors are fabricated on ELO diamond area and non-ELO diamond area prepared on same substrate, and that one on ELO diamond area indicates better photoelectric properties. All results indicate quality of ELO diamond film is improved.

  15. Luminescence and conductivity studies on CVD diamond exposed to UV light

    CERN Document Server

    Bizzarri, A; Bruzzi, M; Sciortino, S

    1999-01-01

    The photoluminescence (PL), thermoluminescence (TL) and thermally stimulated currents (TSC) of four high-quality CVD diamond films have been investigated in the range of temperatures between 300 and 700 K. The sample excitation has been carried out by means of an UV xenon lamp and UV laser lines. The features of the signals have been found equal to those obtained from particle excitation. The TL analysis shows the existence of several deep traps with activation energies between 0.6 and 1.0 eV. The contribution to the TL signal from different traps has been singled out by means of successive annealing processes. The TL results are in good agreement with those obtained from TSC measurements. The combined use of the two techniques allows a precise determination of the trap parameters. The spectral content of the TL response has also been compared with the PL signal in order to investigate the recombination process. This analysis shows that, in this temperature range, the TL signal is likely due to recombination ...

  16. Use of the diamond to the detection of particles

    International Nuclear Information System (INIS)

    Mer, C.; Tromson, D.; Brambilla, A.; Foulon, F.; Guizard, B.; Bergonzo

    2001-01-01

    Diamond synthesized by chemical vapor deposition (CVD) is a valuable material for the detection of particles: broad forbidden energy band, high mobility of electron-hole pairs, and a short life-time of charge carriers. Diamond layers have been used in alpha detectors or gamma dose ratemeters designed to be used in hostile environment. Diamond presents a high resistance to radiation and corrosion. The properties of diamond concerning the detection of particles are spoilt by the existence of crystal defects even in high quality natural or synthesized diamond. This article presents recent works that have been performed in CEA laboratories in order to optimize the use of CVD diamond in particle detectors. (A.C.)

  17. Determination of temperature dependent parameters of zero-phonon line in photo-luminescence spectrum of silicon-vacancy centre in CVD diamond thin films

    Czech Academy of Sciences Publication Activity Database

    Dragounová, Kateřina; Potůček, Z.; Potocký, Štěpán; Bryknar, Z.; Kromka, Alexander

    2017-01-01

    Roč. 68, č. 1 (2017), s. 74-78 ISSN 1335-3632 R&D Projects: GA ČR(CZ) GA14-04790S Institutional support: RVO:68378271 Keywords : silicon-vacancy centres * photoluminescence * low temperature * diamond * CVD Subject RIV: BM - Solid Matter Physics ; Magnetism OBOR OECD: Condensed matter physics (including formerly solid state physics, supercond.) Impact factor: 0.483, year: 2016

  18. Optical engineering of diamond

    CERN Document Server

    Rabeau, James R

    2013-01-01

    This is the first comprehensive book on the engineering of diamond optical devices. It will give readers an up-to-date account of the properties of optical quality synthetic diamond (single crystal, nanodiamond and polycrystalline) and reviews the large and growing field of engineering of diamond-based optical devices, with applications in quantum computation, nano-imaging, high performance lasers, and biomedicine. It aims to provide scientists, engineers and physicists with a valuable resource and reference book for the design and performance of diamond-based optical devices.

  19. A Comparative Study of Three Different Chemical Vapor Deposition Techniques of Carbon Nanotube Growth on Diamond Films

    Directory of Open Access Journals (Sweden)

    Betty T. Quinton

    2013-01-01

    Full Text Available This paper compares between the methods of growing carbon nanotubes (CNTs on diamond substrates and evaluates the quality of the CNTs and the interfacial strength. One potential application for these materials is a heat sink/spreader for high-power electronic devices. The CNTs and diamond substrates have a significantly higher specific thermal conductivity than traditional heat sink/spreader materials making them good replacement candidates. Only limited research has been performed on these CNT/diamond structures and their suitability of different growth methods. This study investigates three potential chemical vapor deposition (CVD techniques for growing CNTs on diamond: thermal CVD (T-CVD, microwave plasma-enhanced CVD (MPE-CVD, and floating catalyst thermal CVD (FCT-CVD. Scanning electron microscopy (SEM and high-resolution transmission electron microscopy (TEM were used to analyze the morphology and topology of the CNTs. Raman spectroscopy was used to assess the quality of the CNTs by determining the ID/IG peak intensity ratios. Additionally, the CNT/diamond samples were sonicated for qualitative comparisons of the durability of the CNT forests. T-CVD provided the largest diameter tubes, with catalysts residing mainly at the CNT/diamond interface. The MPE-CVD process yielded non uniform defective CNTs, and FCT-CVD resulted in the smallest diameter CNTs with catalyst particles imbedded throughout the length of the nanotubes.

  20. Catalytic aided electrical discharge machining of polycrystalline diamond - parameter analysis of finishing condition

    Science.gov (United States)

    Haikal Ahmad, M. A.; Zulafif Rahim, M.; Fauzi, M. F. Mohd; Abdullah, Aslam; Omar, Z.; Ding, Songlin; Ismail, A. E.; Rasidi Ibrahim, M.

    2018-01-01

    Polycrystalline diamond (PCD) is regarded as among the hardest material in the world. Electrical Discharge Machining (EDM) typically used to machine this material because of its non-contact process nature. This investigation was purposely done to compare the EDM performances of PCD when using normal electrode of copper (Cu) and newly proposed graphitization catalyst electrode of copper nickel (CuNi). Two level full factorial design of experiment with 4 center points technique was used to study the influence of main and interaction effects of the machining parameter namely; pulse-on, pulse-off, sparking current, and electrode materials (categorical factor). The paper shows interesting discovery in which the newly proposed electrode presented positive impact to the machining performance. With the same machining parameters of finishing, CuNi delivered more than 100% better in Ra and MRR than ordinary Cu electrode.

  1. Electric field deformation in diamond sensors induced by radiation defects

    Energy Technology Data Exchange (ETDEWEB)

    Kassel, Florian; Boer, Wim de; Boegelspacher, Felix; Dierlamm, Alexander; Mueller, Thomas; Steck, Pia [Institut fuer Experimentelle Kernphysik (IEKP), Karlsruher Institut fuer Technologie (KIT) (Germany); Dabrowski, Anne; Guthoff, Moritz [CERN (Switzerland)

    2016-07-01

    The BCML system is a beam monitoring device in the CMS experiment at the LHC. As detectors 32 poly-crystalline CVD diamond sensors are positioned in a ring around the beam pipe at a distance of ±1.8 m and ±14.4 m from the interaction point. The radiation hardness of the diamond sensors in terms of measured signal during operation was significantly lower than expected from laboratory measurements. At high particle rates, such as those occurring during the operation of the LHC, a significant fraction of the defects act as traps for charge carriers. This space charge modifies the electrical field in the sensor bulk leading to a reduction of the charge collection efficiency (CCE). A diamond irradiation campaign was started to investigate the rate dependent electrical field deformation with respect to the radiation damage. Besides the electrical field measurements via the Transient Current Technique, the CCE was measured. The experimental results were used to create an effective trap model that takes the radiation damage into account. Using this trap model the rate dependent electrical field deformation and the CCE were simulated with the software ''SILVACO TCAD''. This talk compares the experimental measurement results with the simulations.

  2. Effect of graphite particle size and content on the formation mechanism of detonation polycrystalline diamond

    Science.gov (United States)

    Tong, Y.; Cao, Y.; Liu, R.; Shang, S. Y.; Huang, F. L.

    2018-03-01

    The formation mechanism of detonation polycrystalline diamond (DPD) generated from the detonation of a mixed RDX/graphite explosive is investigated. It is found experimentally that the DPD conversion rate decreases with both the content and the particle size of the graphite. Moreover, the particle sizes of the generated DPD powder are analyzed, which shows that, with the decrease in the graphite particle size, the mean number diameter of DPD decreases, but the mean volume diameter increases. In addition, with the help of scanning electron microscopy, it is observed that the in situ phase change occurs in the graphite particles, by which the small particles combine to form numerous large DPD particles. Based on both the experimental data and the classical ZND detonation model, we divide such a DPD synthesis process into two stages: In the first stage, the in situ phase change from graphite to diamond is dominant, supplemented by some coalescence growth at high pressure and temperature, which is affected mainly by the detonation performance of the mixed explosive under consideration. In the second stage, the graphitization of DPD caused by the residual heat is dominant, which is affected mainly by the unloading rate of the particle temperature.

  3. Severe signal loss in diamond beam loss monitors in high particle rate environments by charge trapping in radiation-induced defects

    Energy Technology Data Exchange (ETDEWEB)

    Kassel, Florian; Boer, Wim de [Institute for Experimental Nuclear Physics (IEKP), KIT, Karlsruhe (Germany); Guthoff, Moritz; Dabrowski, Anne [CERN, Meyrin (Switzerland)

    2016-10-15

    The beam condition monitoring leakage (BCML) system is a beam monitoring device in the compact muon solenoid (CMS) experiment at the large hadron collider (LHC). As detectors 32 poly-crystalline (pCVD) diamond sensors are positioned in rings around the beam pipe. Here, high particle rates occur from the colliding beams scattering particles outside the beam pipe. These particles cause defects, which act as traps for the ionization, thus reducing the charge collection efficiency (CCE). However, the loss in CCE was much more severe than expected from low rate laboratory measurements and simulations, especially in single-crystalline (sCVD) diamonds, which have a low initial concentration of defects. After an integrated luminosity of a few fb{sup -1} corresponding to a few weeks of LHC operation, the CCE of the sCVD diamonds dropped by a factor of five or more and quickly approached the poor CCE of pCVD diamonds. The reason why in real experiments the CCE is much worse than in laboratory experiments is related to the ionization rate. At high particle rates the trapping rate of the ionization is so high compared with the detrapping rate, that space charge builds up. This space charge reduces locally the internal electric field, which in turn increases the trapping rate and recombination and hence reduces the CCE in a strongly non-linear way. A diamond irradiation campaign was started to investigate the rate-dependent electrical field deformation with respect to the radiation damage. Besides the electrical field measurements via the transient current technique (TCT), the CCE was measured. The experimental results were used to create an effective deep trap model that takes the radiation damage into account. Using this trap model, the rate-dependent electrical field deformation and the CCE were simulated with the software SILVACO TCAD. The simulation, tuned to rate-dependent measurements from a strong radioactive source, was able to predict the non-linear decrease of the

  4. Severe signal loss in diamond beam loss monitors in high particle rate environments by charge trapping in radiation-induced defects

    International Nuclear Information System (INIS)

    Kassel, Florian; Boer, Wim de; Guthoff, Moritz; Dabrowski, Anne

    2016-01-01

    The beam condition monitoring leakage (BCML) system is a beam monitoring device in the compact muon solenoid (CMS) experiment at the large hadron collider (LHC). As detectors 32 poly-crystalline (pCVD) diamond sensors are positioned in rings around the beam pipe. Here, high particle rates occur from the colliding beams scattering particles outside the beam pipe. These particles cause defects, which act as traps for the ionization, thus reducing the charge collection efficiency (CCE). However, the loss in CCE was much more severe than expected from low rate laboratory measurements and simulations, especially in single-crystalline (sCVD) diamonds, which have a low initial concentration of defects. After an integrated luminosity of a few fb -1 corresponding to a few weeks of LHC operation, the CCE of the sCVD diamonds dropped by a factor of five or more and quickly approached the poor CCE of pCVD diamonds. The reason why in real experiments the CCE is much worse than in laboratory experiments is related to the ionization rate. At high particle rates the trapping rate of the ionization is so high compared with the detrapping rate, that space charge builds up. This space charge reduces locally the internal electric field, which in turn increases the trapping rate and recombination and hence reduces the CCE in a strongly non-linear way. A diamond irradiation campaign was started to investigate the rate-dependent electrical field deformation with respect to the radiation damage. Besides the electrical field measurements via the transient current technique (TCT), the CCE was measured. The experimental results were used to create an effective deep trap model that takes the radiation damage into account. Using this trap model, the rate-dependent electrical field deformation and the CCE were simulated with the software SILVACO TCAD. The simulation, tuned to rate-dependent measurements from a strong radioactive source, was able to predict the non-linear decrease of the CCE in

  5. Radiation damage in the diamond based beam condition monitors of the CMS experiment at the Large Hadron Collider (LHC) at CERN

    CERN Document Server

    Guthoff, Moritz; Dabrowski, Anne; De Boer, Wim; Stickland, David; Lange, Wolfgang; Lohmann, Wolfgang

    2013-01-01

    The Beam Condition Monitor (BCM) of the CMS detector at the LHC is a protection device similar to the LHC Beam Loss Monitor system. While the electronics used is the same, poly-crystalline Chemical Vapor Deposition (pCVD) diamonds are used instead of ionization chambers as the BCM sensor material. The main purpose of the system is the protection of the silicon Pixel and Strip tracking detectors by inducing a beam dump, if the beam losses are too high in the CMS detector. By comparing the detector current with the instantaneous luminosity, the BCM detector ef fi ciency can be monitored. The number of radiation-induced defects in the diamond, reduces the charge collection distance, and hence lowers the signal. The number of these induced defects can be simulated using the FLUKA Monte Carlo simulation. The cross-section for creating defects increases with decreasing energies of the impinging particles. This explains, why diamond sensors mounted close to heavy calorimeters experience more radiation damage, becaus...

  6. Nanofocusing optics for synchrotron radiation made from polycrystalline diamond.

    Science.gov (United States)

    Fox, O J L; Alianelli, L; Malik, A M; Pape, I; May, P W; Sawhney, K J S

    2014-04-07

    Diamond possesses many extreme properties that make it an ideal material for fabricating nanofocusing x-ray optics. Refractive lenses made from diamond are able to focus x-ray radiation with high efficiency but without compromising the brilliance of the beam. Electron-beam lithography and deep reactive-ion etching of silicon substrates have been used in a transfer-molding technique to fabricate diamond optics with vertical and smooth sidewalls. Latest generation compound refractive lenses have seen an improvement in the quality and uniformity of the optical structures, resulting in an increase in their focusing ability. Synchrotron beamline tests of two recent lens arrays, corresponding to two different diamond morphologies, are described. Focal line-widths down to 210 nm, using a nanocrystalline diamond lens array and a beam energy of E = 11 keV, and 230 nm, using a microcrystalline diamond lens at E = 15 keV, have been measured using the Diamond Light Source Ltd. B16 beamline. This focusing prowess is combined with relatively high transmission through the lenses compared with silicon refractive designs and other diffractive optics.

  7. Diamond and Diamond-Like Materials as Hydrogen Isotope Barriers

    International Nuclear Information System (INIS)

    Foreman, L.R.; Barbero, R.S.; Carroll, D.W.; Archuleta, T.; Baker, J.; Devlin, D.; Duke, J.; Loemier, D.; Trukla, M.

    1999-01-01

    This is the final report of a two-year, Laboratory Directed Research and Development (LDRD) project at Los Alamos National Laboratory (LANL). The purpose of this project was to develop diamond and diamond-like thin-films as hydrogen isotope permeation barriers. Hydrogen embrittlement limits the life of boost systems which otherwise might be increased to 25 years with a successful non-reactive barrier. Applications in tritium processing such as bottle filling processes, tritium recovery processes, and target filling processes could benefit from an effective barrier. Diamond-like films used for low permeability shells for ICF and HEDP targets were also investigated. Unacceptable high permeabilities for hydrogen were obtained for plasma-CVD diamond-like-carbon films

  8. Growth, characterization, and device development in monocrystalline diamond films

    Science.gov (United States)

    Davis, Robert F.

    1991-12-01

    The nucleation of diamond grains on an unscratched silicon wafer is enhanced by four order of magnitude relative to scratched substrates by using negative bias enhanced microwave plasma CVD in a 2 percent methane/hydrogen plasma for an initial period. In vacuo surface analysis has revealed that the actual nucleation occurs on the amorphous C coating present on the thin SiC layer which forms as the product of the initial reaction with the Si surface. It is believed that the C forms critical clusters which are favorable for diamond nucleation. Similar enhancement was observed together with the occurrence of textured diamond films in the use of bias pretreatment of cubic Beta SiC substrates. Approximately 50 percent of the initial diamond nuclei were aligned with the SiC substrate. In contrast, the use of the biasing pretreatment for one hour on polycrystalline substrates resulted in only about 7 percent coverage with diamond particles. Numerous techniques have been used to analyze the nucleation and growth phenomena, especially micro Raman and scanning tunneling microscopy. The latter technique has shown that the morphology of doped and undoped diamond nuclei are similar, as well as the fact that significant concentrations of vacancy related defects are present. In device related-studies, UV-photoemission studies have shown that TiC occurs at the Ti-diamond (100) interface after a 400 C anneal. The Schottky barrier height from this metal on p-type diamond was determined to be 1.0 eV. Indications of negative electron affinity (NEA) was observed and attributed to emission of electrons that are quasi-thermalized to the bottom of the conduction band. A disordered surface removes the NEA. The microwave performance of p-type (beta-doped) diamond MESFET's at 10 GHz has been further investigated. Elevated temperatures may be necessary to obtain sufficient free charge densities in the conducting channel but this will result in degraded device performance. Each of these

  9. Surface Texturing of CVD Diamond Assisted by Ultrashort Laser Pulses

    Directory of Open Access Journals (Sweden)

    Daniele M. Trucchi

    2017-11-01

    Full Text Available Diamond is a wide bandgap semiconductor with excellent physical properties which allow it to operate under extreme conditions. However, the technological use of diamond was mostly conceived for the fabrication of ultraviolet, ionizing radiation and nuclear detectors, of electron emitters, and of power electronic devices. The use of nanosecond pulse excimer lasers enabled the microstructuring of diamond surfaces, and refined techniques such as controlled ablation through graphitization and etching by two-photon surface excitation are being exploited for the nanostructuring of diamond. On the other hand, ultrashort pulse lasers paved the way for a more accurate diamond microstructuring, due to reduced thermal effects, as well as an effective surface nanostructuring, based on the formation of periodic structures at the nanoscale. It resulted in drastic modifications of the optical and electronic properties of diamond, of which “black diamond” films are an example for future high-temperature solar cells as well as for advanced optoelectronic platforms. Although experiments on diamond nanostructuring started almost 20 years ago, real applications are only today under implementation.

  10. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  11. Synthetic diamond in electrochemistry

    International Nuclear Information System (INIS)

    Pleskov, Yurii V

    1999-01-01

    The results of studies on the electrochemistry of diamond carried out during the last decade are reviewed. Methods for the preparation, the crystalline structure and the main electrophysical properties of diamond thin films are considered. Depending on the doping conditions, the diamond behaves as a superwide-gap semiconductor or as a semimetal. It is shown that the 'metal-like' diamond is corrosion-resistant and can be used advantageously as an electrode in the electrosynthesis (in particular, for the electroreduction of compounds that are difficult to reduce) and electroanalysis. Kinetic characteristics of some redox reactions and the impedance parameters for diamond electrodes are presented. The results of comparative studies of the electrodes made of diamond single crystals, polycrystalline diamond and amorphous diamond-like carbon, which reveal the effect of the crystalline structure (e.g., the influence of intercrystallite boundaries) on the electrochemical properties of diamond, are presented. The bibliography includes 99 references.

  12. Diamond Detector Technology: Status and Perspectives

    CERN Document Server

    Reichmann, M; Artuso, M; Bachmair, F; Bäni, L; Bartosik, M; Beacham, J; Beck, H; Bellini, V; Belyaev, V; Bentele, B; Berdermann, E; Bergonzo, P; Bes, A; Brom, J-M; Bruzzi, M; Cerv, M; Chiodini, G; Chren, D; Cindro, V; Claus, G; Collot, J; Cumalat, J; Dabrowski, A; D'Alessandro, R; Dauvergne, D; de Boer, W; Dorfer, C; Dünser, M; Eremin, V; Eusebi, R; Forcolin, G; Forneris, J; Frais-Kölbl, H; Gallin-Martel, L; Gallin-Martel, M L; Gan, K K; Gastal, M; Giroletti, C; Goffe, M; Goldstein, J; Golubev, A; Gorišek, A; Grigoriev, E; Grosse-Knetter, J; Grummer, A; Gui, B; Guthoff, M; Haughton, I; Hiti, B; Hits, D; Hoeferkamp, M; Hofmann, T; Hosslet, J; Hostachy, J-Y; Hügging, F; Hutton, C; Jansen, H; Janssen, J; Kagan, H; Kanxheri, K; Kasieczka, G; Kass, R; Kassel, F; Kis, M; Konovalov, V; Kramberger, G; Kuleshov, S; Lacoste, A; Lagomarsino, S; Lo Giudice, A; Lukosi, E; Maazouzi, C; Mandic, I; Mathieu, C; Menichelli, M; Mikuž, M; Morozzi, A; Moss, J; Mountain, R; Murphy, S; Muškinja, M; Oh, A; Oliviero, P; Passeri, D; Pernegger, H; Perrino, R; Picollo, F; Pomorski, M; Potenza, R; Quadt, A; Re, A; Riley, G; Roe, S; Sanz-Becerra, D A; Scaringella, M; Schaefer, D; Schmidt, C J; Schnetzer, S; Sciortino, S; Scorzoni, A; Seidel, S; Servoli, L; Smith, S; Sopko, B; Sopko, V; Spagnolo, S; Spanier, S; Stenson, K; Stone, R; Sutera, C; Tannenwald, B; Taylor, A; Traeger, M; Tromson, D; Trischuk, W; Tuve, C; Uplegger, L; Velthuis, J; Venturi, N; Vittone, E; Wagner, S; Wallny, R; Wang, J C; Weingarten, J; Weiss, C; Wengler, T; Wermes, N; Yamouni, M; Zavrtanik, M

    2018-01-01

    The planned upgrade of the LHC to the High-Luminosity-LHC will push the luminosity limits above the original design values. Since the current detectors will not be able to cope with this environment ATLAS and CMS are doing research to find more radiation tolerant technologies for their innermost tracking layers. Chemical Vapour Deposition (CVD) diamond is an excellent candidate for this purpose. Detectors out of this material are already established in the highest irradiation regimes for the beam condition monitors at LHC. The RD42 collaboration is leading an effort to use CVD diamonds also as sensor material for the future tracking detectors. The signal behaviour of highly irradiated diamonds is presented as well as the recent study of the signal dependence on incident particle flux. There is also a recent development towards 3D detectors and especially 3D detectors with a pixel readout based on diamond sensors.

  13. CVD diamond coatings on titanium : Characterisation by XRD techniques

    Energy Technology Data Exchange (ETDEWEB)

    Cappuccio, G [CNR, Frascati, Rome (Italy). Istituto di Strutturistica Chimica; [INFN-LNF, Frascati, Rome (Italy). Laboratorio Dafne Luce

    1996-09-01

    Here, the authors report an analysis carried out on diamond coatings on titanium substrates to show the potentially of x-ray diffraction techniques in the structural characterisation both of diamond thin films and of the other phases (TiC and TiH{sub 2}) present in the interfacial layer. It should be noted that the composition and microstructure of the interface layers strongly affect the characteristics of the diamond films, particularly adhesion, which is one of the most important elements determining the final quality of the coating.

  14. CN distribution in flame deposition of diamond and its relation to the growth rate, morphology, and nitrogen incorporation of the diamond layer

    NARCIS (Netherlands)

    Klein-Douwel, R.J.H.; Schermer, J.J.; Meulen, ter J.J.

    1998-01-01

    Two-dimensional laser-induced fluorescence (2D-LIF) measurements areapplied to the chemical vapour deposition (CVD) of diamond by anoxyacetylene flame to visualize the distribution of CN in the gas phaseduring the diamond growth process. The obtained diamond deposits arecharacterized by optical as

  15. Performance and perspectives of the diamond based Beam Condition Monitor for beam loss monitoring at CMS

    CERN Document Server

    AUTHOR|(CDS)2080862

    2015-01-01

    At CMS, a beam loss monitoring system is operated to protect the silicon detectors from high particle rates, arising from intense beam loss events. As detectors, poly-crystalline CVD diamond sensors are placed around the beam pipe at several locations inside CMS. In case of extremely high detector currents, the LHC beams are automatically extracted from the LHC rings.Diamond is the detector material of choice due to its radiation hardness. Predictions of the detector lifetime were made based on FLUKA monte-carlo simulations and irradiation test results from the RD42 collaboration, which attested no significant radiation damage over several years.During the LHC operational Run1 (2010 â?? 2013), the detector efficiencies were monitored. A signal decrease of about 50 times stronger than expectations was observed in the in-situ radiation environment. Electric field deformations due to charge carriers, trapped in radiation induced lattice defects, are responsible for this signal decrease. This so-called polarizat...

  16. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  17. Mechanism-Based FE Simulation of Tool Wear in Diamond Drilling of SiCp/Al Composites.

    Science.gov (United States)

    Xiang, Junfeng; Pang, Siqin; Xie, Lijing; Gao, Feinong; Hu, Xin; Yi, Jie; Hu, Fang

    2018-02-07

    The aim of this work is to analyze the micro mechanisms underlying the wear of macroscale tools during diamond machining of SiC p /Al6063 composites and to develop the mechanism-based diamond wear model in relation to the dominant wear behaviors. During drilling, high volume fraction SiC p /Al6063 composites containing Cu, the dominant wear mechanisms of diamond tool involve thermodynamically activated physicochemical wear due to diamond-graphite transformation catalyzed by Cu in air atmosphere and mechanically driven abrasive wear due to high-frequency scrape of hard SiC reinforcement on tool surface. An analytical diamond wear model, coupling Usui abrasive wear model and Arrhenius extended graphitization wear model was proposed and implemented through a user-defined subroutine for tool wear estimates. Tool wear estimate in diamond drilling of SiC p /Al6063 composites was achieved by incorporating the combined abrasive-chemical tool wear subroutine into the coupled thermomechanical FE model of 3D drilling. The developed drilling FE model for reproducing diamond tool wear was validated for feasibility and reliability by comparing numerically simulated tool wear morphology and experimentally observed results after drilling a hole using brazed polycrystalline diamond (PCD) and chemical vapor deposition (CVD) diamond coated tools. A fairly good agreement of experimental and simulated results in cutting forces, chip and tool wear morphologies demonstrates that the developed 3D drilling FE model, combined with a subroutine for diamond tool wear estimate can provide a more accurate analysis not only in cutting forces and chip shape but also in tool wear behavior during drilling SiC p /Al6063 composites. Once validated and calibrated, the developed diamond tool wear model in conjunction with other machining FE models can be easily extended to the investigation of tool wear evolution with various diamond tool geometries and other machining processes in cutting different

  18. Mechanical design of thin-film diamond crystal mounting apparatus with optimized thermal contact and crystal strain for coherence preservation x-ray optics

    Science.gov (United States)

    Shu, Deming; Shvydko, Yury; Stoupin, Stanislav; Kim, Kwang-Je

    2018-05-08

    A method and mechanical design for a thin-film diamond crystal mounting apparatus for coherence preservation x-ray optics with optimized thermal contact and minimized crystal strain are provided. The novel thin-film diamond crystal mounting apparatus mounts a thin-film diamond crystal supported by a thick chemical vapor deposition (CVD) diamond film spacer with a thickness slightly thicker than the thin-film diamond crystal, and two groups of thin film thermal conductors, such as thin CVD diamond film thermal conductor groups separated by the thick CVD diamond spacer. The two groups of thin CVD film thermal conductors provide thermal conducting interface media with the thin-film diamond crystal. A piezoelectric actuator is integrated into a flexural clamping mechanism generating clamping force from zero to an optimal level.

  19. Experiment and equipment of depositing diamond films with CVD system

    International Nuclear Information System (INIS)

    Xie Erqing; Song Chang'an

    2002-01-01

    CVD (chemical vapor deposition) emerged in recent years is a new technique for thin film deposition, which play a key role in development of modern physics. It is important to predominate the principle and technology of CVD for studying modern physics. In this paper, a suit of CVD experimental equipment for teaching in college physics is presented, which has simple design and low cost. The good result was gained in past teaching practices

  20. The first bump-bonded pixel detectors on CVD diamond

    International Nuclear Information System (INIS)

    Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Palmieri, V.G.; Pan, L.S.; Peitz, A.; Pernicka, M.; Pirollo, S.; Polesello, P.; Pretzl, K.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Steuerer, J.; Stone, R.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Turchetta, R.; Vittone, E.; Wagner, A.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Zeuner, W.; Ziock, H.; Zoeller, M.; Charles, E.; Ciocio, A.; Dao, K.; Einsweiler, K.; Fasching, D.; Gilchriese, M.; Joshi, A.; Kleinfelder, S.; Milgrome, O.; Palaio, N.; Richardson, J.; Sinervo, P.; Zizka, G.

    1999-01-01

    Diamond is a nearly ideal material for detecting ionising radiation. Its outstanding radiation hardness, fast charge collection and low leakage current allow it to be used in high radiation environments. These characteristics make diamond sensors particularly appealing for use in the next generation of pixel detectors. Over the last year, the RD42 collaboration has worked with several groups that have developed pixel readout electronics in order to optimise diamond sensors for bump-bonding. This effort resulted in an operational diamond pixel sensor that was tested in a pion beam. We demonstrate that greater than 98% of the channels were successfully bump-bonded and functioning. The device shows good overall hit efficiency as well as clear spatial hit correlation to tracks measured in a silicon reference telescope. A position resolution of 14.8 μm was observed, consistent with expectations given the detector pitch

  1. The first bump-bonded pixel detectors on CVD diamond

    CERN Document Server

    Adam, W; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Fried, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Palmieri, V G; Pan, L S; Peitz, A; Pernicka, Manfred; Pirollo, S; Polesello, P; Pretzl, Klaus P; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Steuerer, J; Stone, R; Tapper, R J; Tesarek, R J; Trawick, M L; Trischuk, W; Turchetta, R; Vittone, E; Wagner, A; Walsh, A M; Wedenig, R; Weilhammer, Peter; Zeuner, W; Ziock, H J; Zöller, M; Charles, E; Ciocio, A; Dao, K; Einsweiler, Kevin F; Fasching, D; Gilchriese, M G D; Joshi, A; Kleinfelder, S A; Milgrome, O; Palaio, N; Richardson, J; Sinervo, P K; Zizka, G

    1999-01-01

    Diamond is a nearly ideal material for detecting ionising radiation. Its outstanding radiation hardness, fast charge collection and low leakage current allow it to be used in high radiation environments. These characteristics make diamond sensors particularly appealing for use in the next generation of pixel detectors. Over the last year, the RD42 collaboration has worked with several groups that have developed pixel readout electronics in order to optimise diamond sensors for bump-bonding. This effort resulted in an operational diamond pixel sensor that was tested in a pion beam. We demonstrate that greater than 98565544f the channels were successfully bump-bonded and functioning. The device shows good overall hit efficiency as well as clear spatial hit correlation to tracks measured in a silicon reference telescope. A position resolution of 14.8 mu m was observed, consistent with expectations given the detector pitch. (13 refs).

  2. The first bump-bonded pixel detectors on CVD diamond

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Palmieri, V.G.; Pan, L.S.; Peitz, A.; Pernicka, M.; Pirollo, S.; Polesello, P.; Pretzl, K.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Steuerer, J.; Stone, R.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W. E-mail: william@physics.utoronto.ca; Turchetta, R.; Vittone, E.; Wagner, A.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Zeuner, W.; Ziock, H.; Zoeller, M.; Charles, E.; Ciocio, A.; Dao, K.; Einsweiler, K.; Fasching, D.; Gilchriese, M.; Joshi, A.; Kleinfelder, S.; Milgrome, O.; Palaio, N.; Richardson, J.; Sinervo, P.; Zizka, G

    1999-11-01

    Diamond is a nearly ideal material for detecting ionising radiation. Its outstanding radiation hardness, fast charge collection and low leakage current allow it to be used in high radiation environments. These characteristics make diamond sensors particularly appealing for use in the next generation of pixel detectors. Over the last year, the RD42 collaboration has worked with several groups that have developed pixel readout electronics in order to optimise diamond sensors for bump-bonding. This effort resulted in an operational diamond pixel sensor that was tested in a pion beam. We demonstrate that greater than 98% of the channels were successfully bump-bonded and functioning. The device shows good overall hit efficiency as well as clear spatial hit correlation to tracks measured in a silicon reference telescope. A position resolution of 14.8 {mu}m was observed, consistent with expectations given the detector pitch.

  3. Profiling of Current Transients in Capacitor Type Diamond Sensors

    Science.gov (United States)

    Gaubas, Eugenijus; Ceponis, Tomas; Meskauskaite, Dovile; Kazuchits, Nikolai

    2015-01-01

    The operational characteristics of capacitor-type detectors based on HPHT and CVD diamond have been investigated using perpendicular and parallel injection of carrier domain regimes. Simulations of the drift-diffusion current transients have been implemented by using dynamic models based on Shockley-Ramo’s theorem, under injection of localized surface domains and of bulk charge carriers. The bipolar drift-diffusion regimes have been analyzed for the photo-induced bulk domain (packet) of excess carriers. The surface charge formation and polarization effects dependent on detector biasing voltage have been revealed. The screening effects ascribed to surface charge and to dynamics of extraction of the injected bulk excess carrier domain have been separated and explained. The parameters of drift mobility of the electrons μe = 4000 cm2/Vs and holes μh = 3800 cm2/Vs have been evaluated for CVD diamond using the perpendicular profiling of currents. The coefficient of carrier ambipolar diffusion Da = 97 cm2/s and the carrier recombination lifetime τR,CVD ≌ 110 ns in CVD diamond were extracted by combining analysis of the transients of the sensor current and the microwave probed photoconductivity. The carrier trapping with inherent lifetime τR,HPHT ≌ 2 ns prevails in HPHT diamond. PMID:26061200

  4. Luminescence and conductivity studies on CVD diamond exposed to UV light

    Science.gov (United States)

    Bizzarri, A.; Bogani, F.; Bruzzi, M.; Sciortino, S.

    1999-04-01

    The photoluminescence (PL), thermoluminescence (TL) and thermally stimulated currents (TSC) of four high-quality CVD diamond films have been investigated in the range of temperatures between 300 and 700 K. The sample excitation has been carried out by means of an UV xenon lamp and UV laser lines. The features of the signals have been found equal to those obtained from particle excitation. The TL analysis shows the existence of several deep traps with activation energies between 0.6 and 1.0 eV. The contribution to the TL signal from different traps has been singled out by means of successive annealing processes. The TL results are in good agreement with those obtained from TSC measurements. The combined use of the two techniques allows a precise determination of the trap parameters. The spectral content of the TL response has also been compared with the PL signal in order to investigate the recombination process. This analysis shows that, in this temperature range, the TL signal is likely due to recombination from bound states rather than due to radiative free to bound transitions, as generally assumed in TL theory. The TSC signal is likely to arise from impurity band rather than from free carriers conduction.

  5. Luminescence and conductivity studies on CVD diamond exposed to UV light

    International Nuclear Information System (INIS)

    Bizzarri, A.; Bogani, F.; Bruzzi, M.; Sciortino, S.

    1999-01-01

    The photoluminescence (PL), thermoluminescence (TL) and thermally stimulated currents (TSC) of four high-quality CVD diamond films have been investigated in the range of temperatures between 300 and 700 K. The sample excitation has been carried out by means of an UV xenon lamp and UV laser lines. The features of the signals have been found equal to those obtained from particle excitation. The TL analysis shows the existence of several deep traps with activation energies between 0.6 and 1.0 eV. The contribution to the TL signal from different traps has been singled out by means of successive annealing processes. The TL results are in good agreement with those obtained from TSC measurements. The combined use of the two techniques allows a precise determination of the trap parameters. The spectral content of the TL response has also been compared with the PL signal in order to investigate the recombination process. This analysis shows that, in this temperature range, the TL signal is likely due to recombination from bound states rather than due to radiative free to bound transitions, as generally assumed in TL theory. The TSC signal is likely to arise from impurity band rather than from free carriers conduction

  6. Luminescence and conductivity studies on CVD diamond exposed to UV light

    Energy Technology Data Exchange (ETDEWEB)

    Bizzarri, A.; Bogani, F.; Bruzzi, M.; Sciortino, S

    1999-04-21

    The photoluminescence (PL), thermoluminescence (TL) and thermally stimulated currents (TSC) of four high-quality CVD diamond films have been investigated in the range of temperatures between 300 and 700 K. The sample excitation has been carried out by means of an UV xenon lamp and UV laser lines. The features of the signals have been found equal to those obtained from particle excitation. The TL analysis shows the existence of several deep traps with activation energies between 0.6 and 1.0 eV. The contribution to the TL signal from different traps has been singled out by means of successive annealing processes. The TL results are in good agreement with those obtained from TSC measurements. The combined use of the two techniques allows a precise determination of the trap parameters. The spectral content of the TL response has also been compared with the PL signal in order to investigate the recombination process. This analysis shows that, in this temperature range, the TL signal is likely due to recombination from bound states rather than due to radiative free to bound transitions, as generally assumed in TL theory. The TSC signal is likely to arise from impurity band rather than from free carriers conduction.

  7. Recent results on the development of radiation-hard diamond detectors

    CERN Document Server

    Conway, J S; Bauer, C; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Dabrowski, W; Da Graca, J; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Jamieson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Plano, R; Polesello, P; Prawer, S; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Russ, J; Schnetzer, S; Sciortino, S; Somalwar, S V; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R; Thomson, G B; Trawick, M; Trischuk, W; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; White, C; Ziock, H J; Zöller, M

    1999-01-01

    Charged particle detectors made from chemical vapor deposition (CVD) diamond have radiation hardness greatly exceeding that of silicon- based detectors. The CERN-based RD42 Collaboration has developed and tested CVD diamond microstrip and pixel detectors with an eye to their application in the intense radiation environment near the interaction region of hadron colliders. This paper presents recent results from tests of these detectors. (4 refs).

  8. Comparison of the quality of single-crystal diamonds grown on two types of seed substrates by MPCVD

    Science.gov (United States)

    Zhao, Yun; Guo, Yanzhao; Lin, Liangzhen; Zheng, Yuting; Hei, Lifu; Liu, Jinlong; Wei, Junjun; Chen, Liangxian; Li, Chengming

    2018-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was used to grow single-crystal diamonds on two types of single-crystal diamond seed substrates prepared by high-pressure, high-temperature (HPHT) and chemical vapor deposition (CVD) methods. The quality of diamonds grown on the different seed substrates was compared. Fluorescence characteristics showed that the sectors of the HPHT seed substrates were obviously partitioned. Raman and absorption spectra showed that the CVD seed substrate produced higher-quality crystals with fewer nitrogen impurities. X-ray topography showed that the HPHT seed substrate had obvious growth sector boundaries, inclusions, dislocations, and stacking faults. The polarization characteristics of HPHT seed substrate were obvious, and the stress distribution was not uniform. When etching HPHT and CVD seed substrates using the same parameters, the etching morphology and extent of different growth sectors of the two substrates differed. Although extended defects were inevitably formed at the interface and propagated in the CVD layer, the dislocation density of a 1 mm-thick CVD layer grown on a CVD seed substrate was only half that of a 1 mm-thick CVD layer grown on an HPHT seed substrate. Therefore, the use of CVD seed substrate enabled the growth of a relatively higher-quality CVD single-crystal diamond.

  9. Growing of synthetic diamond boron-doped films for analytical applications

    International Nuclear Information System (INIS)

    Barros, Rita de Cassia Mendes de; Suarez-Iha, Maria Encarnacion Vazquez; Corat, Evaldo Jose; Iha, Koshun

    1999-01-01

    Chemical vapor deposition (CVD) technology affords the possibility of producing synthetic diamond film electrodes, with several advantageous properties due the unique characteristics of diamond. In this work, we present the study of boron-doped diamond films growth on molybdenum and silicon substrates, using boron trioxide as dopant in a filament assisted CVD reactor. The objective was to obtain semiconductor diamond for use as electrode. The samples were characterized by scanning electron microscopy and Raman spectroscopy to confirm morphology and doping levels. We have assembled electrodes with the various samples, Pt, Mo, Si and diamond, by utilizing brass and left as base materials. The electrodes were tested in neutralization potentiometric titrations for future use in electroanalysis. Boron-doped electrodes have very good performance compared with Pt, widely used in analytical chemistry. (author)

  10. Note: Evaluation of microfracture strength of diamond materials using nano-polycrystalline diamond spherical indenter

    Science.gov (United States)

    Sumiya, H.; Hamaki, K.; Harano, K.

    2018-05-01

    Ultra-hard and high-strength spherical indenters with high precision and sphericity were successfully prepared from nanopolycrystalline diamond (NPD) synthesized by direct conversion sintering from graphite under high pressure and high temperature. It was shown that highly accurate and stable microfracture strength tests can be performed on various super-hard diamond materials by using the NPD spherical indenters. It was also verified that this technique enables quantitative evaluation of the strength characteristics of single crystal diamonds and NPDs which have been quite difficult to evaluate.

  11. Diamond film growth with modification properties of adhesion between substrate and diamond film

    Directory of Open Access Journals (Sweden)

    Setasuwon P.

    2004-03-01

    Full Text Available Diamond film growth was studied using chemical vapor deposition (CVD. A special equipment was build in-house, employing a welding torch, and substrate holder with a water-cooling system. Acetylene and oxygen were used as combustion gases and the substrate was tungsten carbide cobalt. It was found that surface treatments, such as diamond powder scratching or acid etching, increase the adhesion and prevent the film peel-off. Diamond powder scratching and combined diamond powder scratching with acid etching gave the similar diamond film structure with small grain and slightly rough surface. The diamond film obtained with both treatments has high adhesion and can withstand internal stress better than ones obtained by untreated surface or acid etching alone. It was also found that higher substrate temperature produced smoother surface and more uniform diamond grain.

  12. The study and the realization of radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique

    International Nuclear Information System (INIS)

    Jany, Ch.

    1998-01-01

    The aim of this work was to develop radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique. The influence of surface treatments, contact technology and diamond growth parameters on the diamond detectors characteristics was investigated in order to optimise the detector response to alpha particles. The first part of the study focused on the electrical behaviour of as-deposited diamond surface, showing a p type conduction and its influence on the leakage current of the device. A surface preparation process was established in order to reduce the leakage current of the device by surface dehydrogenation using an oxidising step. Several methods to form and treat electrical contacts were also investigated showing that the collection efficiency of the device decreases after contact annealing. In the second part, we reported the influence of the diamond deposition parameters on the characteristics of the detectors. The increase of the deposition temperature and/or methane concentration was shown to lead η to decrease. In contrast, η was found to increase with the micro-wave power. The evolution of the diamond detector characteristics results from the variation in sp 2 phases incorporation and in the crystallography quality of the films. These defects increase the leakage current and reduce the carrier mobility and lifetime. Measurements carried out on detectors with different thicknesses showed that the physical properties varies along the growth direction, improving with the film thickness. Finally, the addition of nitrogen (> 10 ppm) in the gas mixture during diamond deposition was found to strongly reduce the collection efficiency of the detectors. To conclude the study, we fabricated and characterised diamond devices which were used for thermal neutron detection and for the intensity and shape measurement of VUV and soft X-ray pulses. (author)

  13. Development of diamond coated tool and its performance in ...

    Indian Academy of Sciences (India)

    Unknown

    Mechanical Engineering Department, Indian Institute of Technology, Kharagpur 721 302, India ... chemical inertness of diamond coating towards the work material, did not show any .... CVD diamond coated carbide tools, Ph D Thesis, Indian.

  14. Recent Advances in the Deposition of Diamond Coatings on Co-Cemented Tungsten Carbides

    Directory of Open Access Journals (Sweden)

    R. Polini

    2012-01-01

    Full Text Available Co-cemented tungsten carbides, namely, hard metals are largely used to manufacture high wear resistant components in several manufacturing segments. Coating hard metals with superhard materials like diamond is of utmost interest as it can further extend their useful lifespan. The deposition of diamond coatings onto WC-Co can be extremely complicated as a result of poor adhesion. This can be essentially ascribed to (i the mismatch in thermal expansion coefficients between diamond and WC-Co, at the typical high temperatures inside the chemical vapour deposition (CVD chamber, generates large residual stresses at the interface; (ii the role of surface Co inside the WC-Co matrix during diamond CVD, which promotes carbon dissolution and diffusion. The present investigation reviews the techniques by which Co-cemented tungsten carbides can be treated to make them prone to receive diamond coatings by CVD. Further, it proposes interesting ecofriendly and sustainable alternatives to further improve the diamond deposition process as well as the overall performance of the coated hard metals.

  15. Status of diamond particle detectors

    Science.gov (United States)

    Krammer, M.; Adam, W.; Bauer, C.; Berdermann, E.; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fish, D.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Knöpfle, K. T.; Manfredi, P. F.; Meier, D.; Mishina, M.; LeNormand, F.; Pan, L. S.; Pernegger, H.; Pernicka, M.; Re, V.; Riester, G. L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R. J.; Tesarek, R.; Thomson, G. B.; Trawick, M.; Trischuk, W.; Turchetta, R.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Ziock, H.; Zoeller, M.

    1998-11-01

    To continue the exciting research in the field of particle physics new accelerators and experiments are under construction. In some of these experiments, e.g. ATLAS and CMS at the Large Hadron Collider at CERN or HERA-B at DESY, the detectors have to withstand an extreme environment. The detectors must be radiation hard, provide a very fast signal, and be as thin as possible. The properties of CVD diamond allow to fulfill these requirements and make it an ideal material for the detectors close to the interaction region of these experiments, i.e. the vertex detectors or the inner trackers. The RD42 collaboration is developing diamond detectors for these applications. The program of RD42 includes the improvement of the charge collection properties of CVD diamond, the study of the radiation hardness and the development of low-noise radiation hard readout electronics. An overview of the progress achieved during the last years will be given.

  16. Chemical Analysis of Impurity Boron Atoms in Diamond Using Soft X-ray Emission Spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Muramatsu, Yasuji; Iihara, Junji; Takebe, Toshihiko; Denlinger, Jonathan D.

    2008-03-29

    To analyze the local structure and/or chemical states of boron atoms in boron-doped diamond, which can be synthesized by the microwave plasma-assisted chemical vapor deposition method (CVD-B-diamond) and the temperature gradient method at high pressure and high temperature (HPT-B-diamond), we measured the soft X-ray emission spectra in the CK and BK regions of B-diamonds using synchrotron radiation at the Advanced Light Source (ALS). X-ray spectral analyses using the fingerprint method and molecular orbital calculations confirm that boron atoms in CVD-B-diamond substitute for carbon atoms in the diamond lattice to form covalent B-C bonds, while boron atoms in HPT-B-diamond react with the impurity nitrogen atoms to form hexagonal boron nitride. This suggests that the high purity diamond without nitrogen impurities is necessary to synthesize p-type B-diamond semiconductors.

  17. Nanosecond formation of diamond and lonsdaleite by shock compression of graphite.

    Science.gov (United States)

    Kraus, D; Ravasio, A; Gauthier, M; Gericke, D O; Vorberger, J; Frydrych, S; Helfrich, J; Fletcher, L B; Schaumann, G; Nagler, B; Barbrel, B; Bachmann, B; Gamboa, E J; Göde, S; Granados, E; Gregori, G; Lee, H J; Neumayer, P; Schumaker, W; Döppner, T; Falcone, R W; Glenzer, S H; Roth, M

    2016-03-14

    The shock-induced transition from graphite to diamond has been of great scientific and technological interest since the discovery of microscopic diamonds in remnants of explosively driven graphite. Furthermore, shock synthesis of diamond and lonsdaleite, a speculative hexagonal carbon polymorph with unique hardness, is expected to happen during violent meteor impacts. Here, we show unprecedented in situ X-ray diffraction measurements of diamond formation on nanosecond timescales by shock compression of pyrolytic as well as polycrystalline graphite to pressures from 19 GPa up to 228 GPa. While we observe the transition to diamond starting at 50 GPa for both pyrolytic and polycrystalline graphite, we also record the direct formation of lonsdaleite above 170 GPa for pyrolytic samples only. Our experiment provides new insights into the processes of the shock-induced transition from graphite to diamond and uniquely resolves the dynamics that explain the main natural occurrence of the lonsdaleite crystal structure being close to meteor impact sites.

  18. UV detectors based on epitaxial diamond films grown on single-crystal diamond substrates by vapor-phase synthesis

    International Nuclear Information System (INIS)

    Sharonov, G.V.; Petrov, S.A.; Bol'shakov, A.P.; Ral'chenko, V.G.; Kazyuchits, N.M.

    2010-01-01

    The prospects for use of CVD-technology for epitaxial growth of single-crystal diamond films of instrumental quality in UHF plasma for the production of optoelectronic devices are discussed. A technology for processing diamond single crystals that provides a perfect surface crystal structure with roughness less than 0,5 nm was developed. It was demonstrated that selective UV detectors based on synthetic single-crystal diamond substrates coated with single-crystal films can be produced. A criterion for selecting clean and structurally perfect single crystals of synthetic diamond was developed for the epitaxial growth technology. (authors)

  19. Chemical vapor deposition of nanocrystalline diamond films

    International Nuclear Information System (INIS)

    Vyrovets, I.I.; Gritsyna, V.I.; Dudnik, S.F.; Opalev, O.A.; Reshetnyak, O.M.; Strel'nitskij, V.E.

    2008-01-01

    The brief review of the literature is devoted to synthesis of nanocrystalline diamond films. It is shown that the CVD method is an effective way for deposition of such nanostructures. The basic technological methods that allow limit the size of growing diamond crystallites in the film are studied.

  20. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  1. Encapsulation of electroless copper patterns into diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Pimenov, S.M.; Shafeev, G.A.; Lavrischev, S.V. [General Physics Institute, Moscow (Russian Federation)] [and others

    1995-12-31

    The results are reported on encapsulating copper lines into diamond films grown by a DC plasma CVD. The process includes the steps of (i) laser activation of diamond for electroless metal plating, (ii) electroless copper deposition selectively onto the activated surface regions, and (iii) diamond regrowth on the Cu-patterned diamond films. The composition and electrical properties of the encapsulated copper lines were examined, revealing high purity and low electrical resistivity of the encapsulated electroless copper.

  2. Heavy-ion irradiation induced diamond formation in carbonaceous materials

    International Nuclear Information System (INIS)

    Daulton, T. L.

    1999-01-01

    The basic mechanisms of metastable phase formation produced under highly non-equilibrium thermodynamic conditions within high-energy particle tracks are investigated. In particular, the possible formation of diamond by heavy-ion irradiation of graphite at ambient temperature is examined. This work was motivated, in part, by earlier studies which discovered nanometer-grain polycrystalline diamond aggregates of submicron-size in uranium-rich carbonaceous mineral assemblages of Precambrian age. It was proposed that the radioactive decay of uranium formed diamond in the fission particle tracks produced in the carbonaceous minerals. To test the hypothesis that nanodiamonds can form by ion irradiation, fine-grain polycrystalline graphite sheets were irradiated with 400 MeV Kr ions. The ion irradiated graphite (and unirradiated graphite control) were then subjected to acid dissolution treatments to remove the graphite and isolate any diamonds that were produced. The acid residues were then characterized by analytical and high-resolution transmission electron microscopy. The acid residues of the ion-irradiated graphite were found to contain ppm concentrations of nanodiamonds, suggesting that ion irradiation of bulk graphite at ambient temperature can produce diamond

  3. Influence of defects on diamond detection properties

    International Nuclear Information System (INIS)

    Tromson, Dominique

    2000-01-01

    This work focuses on the study of the influence of defects on the detection properties of diamond. Devices are fabricated using natural as well as synthetic diamond samples grown using the plasma enhanced chemical vapour deposition (CVD). Optical studies with infrared and Raman spectrometry are used to characterise the material properties as well as thermoluminescence and thermally stimulated current measurements. These thermally stimulated analyses reveal the presence of several trapping levels with emission temperatures below or near room temperature as well as an important level near 550 K. The influence of these defects on the alpha and X-ray detector responses is studied as a function of the initial state of the detectors (thermal treatment, irradiation) and of the measurement conditions (time, temperature). The results show a significant correlation between the charged state of traps, namely filled or empty and the response of the detectors. It appears that filling and emptying the traps respectively enhances the sensitivity and stability of detection devices to be used at room temperature and decreases the detection properties at higher temperature. Localised measurements are also used to study the spatial inhomogeneity of natural and CVD diamond samples from the 2D mapping of the detector responses. Non uniformity are attributed to a non-isotropic distribution of defects in natural diamonds. By comparing the detector responses to the topographical map of CVD samples a correlation appears between grains and grain boundaries with the variation of the detector sensitivity. Devices fabricated for detection applications with CVD samples are presented and namely for the monitoring and profiling of synchrotron beams as well as dose rate measurements in harsh environments. (author) [fr

  4. Novel morphology of chemical vapor deposited diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Tang, C.J. [I3N and Department of Physics, University of Aveiro (Portugal); Jiangsu Key Laboratory for Advanced Functional Materials and Department of Physics, Changshu Institute of Technology, Changshu (China); TEMA and Department of Mechanical Engineering, University of Aveiro (Portugal); Fernandes, A.J.S.; Abe, I.; Pinto, J.L. [I3N and Department of Physics, University of Aveiro (Portugal); Gracio, J. [TEMA and Department of Mechanical Engineering, University of Aveiro (Portugal); Buijnsters, J.G. [Institute for Molecules and Materials (IMM), Radboud University Nijmegen (Netherlands)

    2010-04-15

    We have obtained simultaneously nanocrystalline and {l_brace}100{r_brace} faceted large-grained polycrystalline diamond films not only on different substrates but also on the same substrate in only one deposition run using a novel approach for substrate arrangement. Furthermore, interesting unusual morphologies and microstructures composed by non-faceted nanostructures and terminated with large smooth {l_brace}100{r_brace} facet-like belt are found near the edges of the top square sample. The morphology variation is likely caused by the so called edge effect, where a strong variation in temperature is also present. We have modelled the temperature distribution on the substrates by computer simulations using the finite element method. The novel feature, namely the coexistence of oval non-faceted nanocrystalline diamond grains and large smooth {l_brace}100{r_brace} facet-like belt in one diamond grain, is in the transition from {l_brace}100{r_brace} faceted polycrystalline diamond to cauliflower-like nanocrystalline diamond. The formation mechanism is discussed based on the temperature analysis and other simulation results described in the literature. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. A Bayesian method to estimate the neutron response matrix of a single crystal CVD diamond detector

    International Nuclear Information System (INIS)

    Reginatto, Marcel; Araque, Jorge Guerrero; Nolte, Ralf; Zbořil, Miroslav; Zimbal, Andreas; Gagnon-Moisan, Francis

    2015-01-01

    Detectors made from artificial chemical vapor deposition (CVD) single crystal diamond are very promising candidates for applications where high resolution neutron spectrometry in very high neutron fluxes is required, for example in fusion research. We propose a Bayesian method to estimate the neutron response function of the detector for a continuous range of neutron energies (in our case, 10 MeV ≤ E n ≤ 16 MeV) based on a few measurements with quasi-monoenergetic neutrons. This method is needed because a complete set of measurements is not available and the alternative approach of using responses based on Monte Carlo calculations is not feasible. Our approach uses Bayesian signal-background separation techniques and radial basis function interpolation methods. We present the analysis of data measured at the PTB accelerator facility PIAF. The method is quite general and it can be applied to other particle detectors with similar characteristics

  6. Status of diamond particle detectors

    Energy Technology Data Exchange (ETDEWEB)

    Krammer, M.; Adam, W.; Friedl, M.; Hrubec, J.; Pernegger, H.; Pernicka, M. [Institut fuer Hochenergiephysik der Oesterr. Akademie d. Wissenschaften, Nikolsdorferg. 18, A-1050 Vienna (Austria); Bauer, C. [MPI fuer Kernphysik, D-69029 Heidelberg (Germany); Berdermann, E.; Stelzer, H. [GSI, Darmstadt (Germany); Bogani, F. [LENS, Florence (Italy); Borchi, E.; Bruzzi, M.; Sciortino, S. [University of Florence, Florence (Italy); Colledani, C.; Dulinski, W.; Husson, D.; LeNormand, F.; Riester, G.L.; Turchetta, R. [LEPSI, CRN Strasbourg (France); Conway, J.; Fish, D.; Schnetzer, S.; Stone, R.; Tesarek, R.; Thomson, G.B.; Walsh, A.M. [Rutgers University, Piscataway, NJ (United States); Dabrowski, W.; Kaplon, J.; Meier, D.; Roe, S.; Rudge, A.; Wedenig, R.; Weilhammer, P. [CERN, CH-1211 Geneva (Switzerland); Delpierre, P.; Hallewell, G. [CPPM, Marseille (France); Deneuville, A.; Cheeraert, E. [LEPES, Grenoble (France); Eijk, B.V.; Hartjes, F. [NIKHEF, Amsterdam (Netherlands); Fallou, A. [CPPM, Marseille (France); Foulon, F. [Centre d' Etudes de Saclay, 91191 Gif-Sur-Yvette (France); Gan, K.K.; Kagan, H.; Kass, R.; Trawick, M.; Zoeller, M. [The Ohio State University, Columbus, OH (United States); Grigoriev, E.; Knoepfle, K.T. [MPI fuer Kernphysik, D-69029 Heidelberg (Germany); Hall-Wilton, R. [Bristol University, Bristol (United Kingdom); Han, S.; Ziock, H. [Los Alamos National Laboratory, Research Division, Los Alamos, NM (United States); Kania, D. [Lawrence Livermore National Laboratory, Livermore, CA (United States); Manfredi, P.F.; Re, V.; Speziali, V. [Universita di Pavia, Dipartimento di Elettronica, 27100 Pavia (Italy); Mishina, M. [FNAL, Batavia, IL (United States); Pan, L.S. [Sandia National Laboratory, Albuquerque, NM (United States); Roff, D.; Tapper, R.J. [Bristol University, Bristol (United Kingdom); Trischuk, W. [University of Toronto, Toronto (Canada)

    1998-11-21

    To continue the exciting research in the field of particle physics new accelerators and experiments are under construction. In some of these experiments, e.g. ATLAS and CMS at the Large Hadron Collider at CERN or HERA-B at DESY, the detectors have to withstand an extreme environment. The detectors must be radiation hard, provide a very fast signal, and be as thin as possible. The properties of CVD diamond allow to fulfill these requirements and make it an ideal material for the detectors close to the interaction region of these experiments, i.e. the vertex detectors or the inner trackers. The RD42 collaboration is developing diamond detectors for these applications. The program of RD42 includes the improvement of the charge collection properties of CVD diamond, the study of the radiation hardness and the development of low-noise radiation hard readout electronics. An overview of the progress achieved during the last years will be given. (Copyright (c) 1998 Elsevier Science B.V., Amsterdam. All rights reserved.)

  7. Status of diamond particle detectors

    International Nuclear Information System (INIS)

    Krammer, M.; Adam, W.; Friedl, M.; Hrubec, J.; Pernegger, H.; Pernicka, M.; Bauer, C.; Berdermann, E.; Stelzer, H.; Bogani, F.; Borchi, E.; Bruzzi, M.; Sciortino, S.; Colledani, C.; Dulinski, W.; Husson, D.; LeNormand, F.; Riester, G.L.; Turchetta, R.; Conway, J.; Fish, D.; Schnetzer, S.; Stone, R.; Tesarek, R.; Thomson, G.B.; Walsh, A.M.; Dabrowski, W.; Kaplon, J.; Meier, D.; Roe, S.; Rudge, A.; Wedenig, R.; Weilhammer, P.; Delpierre, P.; Hallewell, G.; Deneuville, A.; Cheeraert, E.; Eijk, B.V.; Hartjes, F.; Fallou, A.; Foulon, F.; Gan, K.K.; Kagan, H.; Kass, R.; Trawick, M.; Zoeller, M.; Grigoriev, E.; Knoepfle, K.T.; Hall-Wilton, R.; Han, S.; Ziock, H.; Kania, D.; Manfredi, P.F.; Re, V.; Speziali, V.; Mishina, M.; Pan, L.S.; Roff, D.; Tapper, R.J.; Trischuk, W.

    1998-01-01

    To continue the exciting research in the field of particle physics new accelerators and experiments are under construction. In some of these experiments, e.g. ATLAS and CMS at the Large Hadron Collider at CERN or HERA-B at DESY, the detectors have to withstand an extreme environment. The detectors must be radiation hard, provide a very fast signal, and be as thin as possible. The properties of CVD diamond allow to fulfill these requirements and make it an ideal material for the detectors close to the interaction region of these experiments, i.e. the vertex detectors or the inner trackers. The RD42 collaboration is developing diamond detectors for these applications. The program of RD42 includes the improvement of the charge collection properties of CVD diamond, the study of the radiation hardness and the development of low-noise radiation hard readout electronics. An overview of the progress achieved during the last years will be given. (Copyright (c) 1998 Elsevier Science B.V., Amsterdam. All rights reserved.)

  8. Bragg superlattice for obtaining individual photoluminescence of diamond color centers in dense 3D ensembles

    Science.gov (United States)

    Kukushkin, V. A.

    2017-10-01

    A way to significantly increase the spatial resolution of the color center photoluminescence collection in chemically vapor-deposited (CVD) diamond at a fixed exciting beam focal volume is suggested. It is based on the creation of a narrow waveguide for the color center photoluminescence with a small number of allowed vertical indices of guided modes. The waveguide is formed between the top surface of a CVD diamond film and an underlaid mirror—a Bragg superlattice made of interchanging high- and low boron-doped layers of CVD diamond. The guided color center photoluminescence is extracted through the top surface of a CVD diamond film with the frustrated total internal reflection method. According to the results of simulation made for a case when color centers are nitrogen-vacancy (NV) centers, the suggested way allows to increase the maximal value of the NV center concentration still compatible with selective collection of their photoluminescence by several times at a fixed exciting beam focal volume. This increase is provided without the deterioration of the NV center photoluminescence collection efficiency.

  9. Optically stimulated luminescence and thermoluminescence in CVD diamond and dosimetric evaluation in fields of ionizing radiation; Luminiscencia opticamente estimulada y termoluminiscencia en diamante DQV y evaluacion dosimetrica en campos de radiacion ionizante

    Energy Technology Data Exchange (ETDEWEB)

    Barboza F, M.; Chernov, V.; Pedroza M, M. [Centro de Investigaciones en Fisica, Universidad de Sonora, A.P. 5-088, 83190 Hermosillo, Sonora (Mexico); Schreck, M. [Universitat Augsburg, Institut fur Physik D-86135, Augsburg (Germany); Preciado F, S.; Melendrez, R. [Universidad de Sonora, A.P. 130, 83000 Hermosillo, Sonora (Mexico)

    2006-07-01

    The optically stimulated luminescence (OSL) results a highly appropriate dosimetric technique for readings of absorbed radiation 'in alive' and 'in situ', as well as in real time. The CVD diamond on the other hand presents excellent qualities like radiation reader thanks to its reproducibility, radiation resistance, biocompatibility and non toxicity. The present work studies the answer of two diamond films pure and polluted with nitrogen (750 ppm) grown by the Chemical Vapor Deposition method (CVD) on silicon substrate (001) irradiated with beta (Sr-90) in the 0.833-100 Gy interval. The optical stimulation was carried out by 40 seconds with infrared laser (830 nm, 0.36 W/cm{sup 2}) and the filter BG-39 (300-600 nm) coupled the PM. The intensity and the decay of the hyperbolic type of the LOE curves were similar in both samples, for the non doped diamond were observed trapping states in 200-380 C being compared with those that it presents the polluted diamond with nitrogen in 80-277 C. The dosimetric behavior in the pure sample is observed lineal in two regions 0-16 Gy and in 30-100 Gy, only the doped sample didn't present linearity in the all range of the studied dose. The advantage is stood out of LOE on TL because the first one not requires of thermal stimulation in such a way that is more appropriate to detect and to measure radiation doses in biomedicine. (Author)

  10. n-type diamond growth by phosphorus doping on (0 0 1)-oriented surface

    International Nuclear Information System (INIS)

    Kato, Hiromitsu; Makino, Toshiharu; Yamasaki, Satoshi; Okushi, Hideyo

    2007-01-01

    The properties of phosphorus incorporation for n-type doping of diamond are discussed and summarized. Doping of (0 0 1)-oriented diamond is introduced and compared with results achieved on (1 1 1) diamond. This review describes detailed procedures and conditions of plasma-enhanced chemical vapour deposition (CVD) growth and characteristics of electrical properties of phosphorus-doped diamond. The phosphorus incorporation was characterized by SIMS analysis including mapping. n-type conductivity is evaluated by Hall-effect measurements over a temperature regime of 300-1000 K. The crystal perfection of (0 0 1)-oriented n-type diamond is also evaluated by x-ray diffraction, Raman spectroscopy, reflection high-energy electron diffraction and cathodoluminescence analyses. The results show that phosphorus atoms are incorporated into the diamond network during (0 0 1) CVD diamond growth and that phosphorus acts as a donor as in (1 1 1)-oriented diamond. This result eliminates the restriction on substrate orientation, which had previously created a bottleneck in the development of diamond electronic devices. (review article)

  11. Performance and characterisation of CVD diamond coated, sintered diamond and WC-Co cutting tools for dental and micromachining applications

    International Nuclear Information System (INIS)

    Sein, Htet; Ahmed, Waqar; Jackson, Mark; Woodwards, Robert; Polini, Riccardo

    2004-01-01

    Diamond coatings are attractive for cutting processes due to their high hardness, low friction coefficient, excellent wear resistance and chemical inertness. The application of diamond coatings on cemented tungsten carbide (WC-Co) tools was the subject of much attention in recent years in order to improve cutting performance and tool life. WC-Co tools containing 6% Co and 94% WC substrate with an average grain size 1-3 μm were used in this study. In order to improve the adhesion between diamond and WC substrates, it is necessary to etch away the surface Co and prepare the surface for subsequent diamond growth. Hot filament chemical vapour deposition with a modified vertical filament arrangement has been employed for the deposition of diamond films. Diamond film quality and purity have been characterised using scanning electron microscopy and micro-Raman spectroscopy. The performance of diamond coated WC-Co bur, uncoated WC-Co bur, and diamond embedded (sintered) bur have been compared by drilling a series of holes into various materials such as human teeth, borosilicate glass and porcelain teeth. Flank wear has been used to assess the wear rates of the tools. The materials subjected to cutting processes have been examined to assess the quality of the finish. Diamond coated WC-Co microdrills and uncoated microdrills were also tested on aluminium alloys. Results show that there was a 300% improvement when the drills were coated with diamond compared to the uncoated tools

  12. Method to fabricate micro and nano diamond devices

    Energy Technology Data Exchange (ETDEWEB)

    Morales, Alfredo M.; Anderson, Richard J.; Yang, Nancy Y. C.; Skinner, Jack L.; Rye, Michael J.

    2017-04-11

    A method including forming a diamond material on the surface of a substrate; forming a first contact and a separate second contact; and patterning the diamond material to form a nanowire between the first contact and the second contact. An apparatus including a first contact and a separate second contact on a substrate; and a nanowire including a single crystalline or polycrystalline diamond material on the substrate and connected to each of the first contact and the second contact.

  13. X-ray beam monitor made by thin-film CVD single-crystal diamond.

    Science.gov (United States)

    Marinelli, Marco; Milani, E; Prestopino, G; Verona, C; Verona-Rinati, G; Angelone, M; Pillon, M; Kachkanov, V; Tartoni, N; Benetti, M; Cannatà, D; Di Pietrantonio, F

    2012-11-01

    A novel beam position monitor, operated at zero bias voltage, based on high-quality chemical-vapor-deposition single-crystal Schottky diamond for use under intense synchrotron X-ray beams was fabricated and tested. The total thickness of the diamond thin-film beam monitor is about 60 µm. The diamond beam monitor was inserted in the B16 beamline of the Diamond Light Source synchrotron in Harwell (UK). The device was characterized under monochromatic high-flux X-ray beams from 6 to 20 keV and a micro-focused 10 keV beam with a spot size of approximately 2 µm × 3 µm square. Time response, linearity and position sensitivity were investigated. Device response uniformity was measured by a raster scan of the diamond surface with the micro-focused beam. Transmissivity and spectral responsivity versus beam energy were also measured, showing excellent performance of the new thin-film single-crystal diamond beam monitor.

  14. Prospects for the synthesis of large single-crystal diamonds

    International Nuclear Information System (INIS)

    Khmelnitskiy, R A

    2015-01-01

    The unique properties of diamond have stimulated the study of and search for its applications in many fields, including optics, optoelectronics, electronics, biology, and electrochemistry. Whereas chemical vapor deposition allows the growth of polycrystalline diamond plates more than 200 mm in diameter, most current diamond application technologies require large-size (25 mm and more) single-crystal diamond substrates or films suitable for the photolithography process. This is quite a challenge, because the largest diamond crystals currently available are 10 mm or less in size. This review examines three promising approaches to fabricating large-size diamond single crystals: growing large-size single crystals, the deposition of heteroepitaxial diamond films on single-crystal substrates, and the preparation of composite diamond substrates. (reviews of topical problems)

  15. Diamond bio electronics.

    Science.gov (United States)

    Linares, Robert; Doering, Patrick; Linares, Bryant

    2009-01-01

    The use of diamond for advanced applications has been the dream of mankind for centuries. Until recently this dream has been realized only in the use of diamond for gemstones and abrasive applications where tons of diamonds are used on an annual basis. Diamond is the material system of choice for many applications, but its use has historically been limited due to the small size, high cost, and inconsistent (and typically poor) quality of available diamond materials until recently. The recent development of high quality, single crystal diamond crystal growth via the Chemical Vapor Deposition (CVD) process has allowed physcists and increasingly scientists in the life science area to think beyond these limitations and envision how diamond may be used in advanced applications ranging from quantum computing, to power generation and molecular imaging, and eventually even diamond nano-bots. Because of diamond's unique properties as a bio-compatible material, better understanding of diamond's quantum effects and a convergence of mass production, semiconductor-like fabrication process, diamond now promises a unique and powerful key to the realization of the bio-electronic devices being envisioned for the new era of medical science. The combination of robust in-the-body diamond based sensors, coupled with smart bio-functionalized diamond devices may lead to diamond being the platform of choice for bio-electronics. This generation of diamond based bio-electronic devices would contribute substantially to ushering in a paradigm shift for medical science, leading to vastly improved patient diagnosis, decrease of drug development costs and risks, and improved effectiveness of drug delivery and gene therapy programs through better timed and more customized solutions.

  16. The Many Facets of Diamond Crystals

    Directory of Open Access Journals (Sweden)

    Yuri N. Palyanov

    2018-01-01

    Full Text Available This special issue is intended to serve as a multidisciplinary forum covering broad aspects of the science, technology, and application of synthetic and natural diamonds. This special issue contains 12 papers, which highlight recent investigations and developments in diamond research related to the diverse problems of natural diamond genesis, diamond synthesis and growth using CVD and HPHT techniques, and the use of diamond in both traditional applications, such as mechanical machining of materials, and the new recently emerged areas, such as quantum technologies. The results presented in the contributions collected in this special issue clearly demonstrate that diamond occupies a very special place in modern science and technology. After decades of research, this structurally very simple material still poses many intriguing scientific questions and technological challenges. It seems undoubted that diamond will remain the center of attraction for many researchers for many years to come.

  17. Role of high microwave power on growth and microstructure of thick nanocrystalline diamond films: A comparison with large grain polycrystalline diamond films

    Science.gov (United States)

    Tang, C. J.; Fernandes, A. J. S.; Girão, A. V.; Pereira, S.; Shi, Fa-Nian; Soares, M. R.; Costa, F.; Neves, A. J.; Pinto, J. L.

    2014-03-01

    In this work, we study the growth habit of nanocrystalline diamond (NCD) films by exploring the very high power regime, up to 4 kW, in a 5 kW microwave plasma chemical vapour deposition (MPCVD) reactor, through addition of a small amount of nitrogen and oxygen (0.24%) into 4% CH4 in H2 plasma. The coupled effect of high microwave power and substrate temperature on NCD growth behaviour is systematically investigated by varying only power, while fixing the remaining operating parameters. When the power increases from 2 kW to 4 kW, resulting also in rise of the Si substrate temperature higher than 150 °C, the diamond films obtained maintain the NCD habit, while the growth rate increases significantly. The highest growth rate of 4.6 μm/h is achieved for the film grown at 4 kW, which represents a growth rate enhancement of about 15 times compared with that obtained when using 2 kW power. Possible factors responsible for such remarkable growth rate enhancement of the NCD films are discussed. The evolution of NCD growth characteristics such as morphology, microstructure and texture is studied by growing thick films and comparing it with that of large grain polycrystalline (PCD) films. One important characteristic of the NCD films obtained, in contrast to PCD films, is that irrespective of deposition time (i.e. film thickness), their grain size and surface roughness remain in the nanometer range throughout the growth. Finally, based on our present and previous experimental results, a potential parameter window is established for fast growth of NCD films under high power conditions.

  18. Diamond particle detectors systems in high energy physics

    CERN Document Server

    Gan, Kock Kiam

    2015-01-01

    The measurement of luminosity at the Large Hadron Collider (LHC) using diamond detect or s has matured from devices based on a rather large pads to highly granular pixelated device s . The ATLAS experiment has recently installed a diamond pixel detector, the Diamond Beam Monitor (DBM), to measure the luminosity in the upgraded LHC with higher instantaneous luminosity. Polycrystalline diamonds were used to fabricate the diamond pixel modules. The design , production, and test beam result s are described. CMS also has a similar plan to construct a diamond based luminosity monitor, the Pixel Luminos ity Telescope s (PLT) . In a pilot run using single crystal diamond, the pulse height was found to depend on the luminosity . Consequently the collaboration decided to use silicon instead due to time constrain ts .

  19. Carbon photonics

    Energy Technology Data Exchange (ETDEWEB)

    Konov, V I [A M Prokhorov General Physics Institute, Russian Academy of Sciences, Moscow (Russian Federation)

    2015-11-30

    The properties of new carbon materials (single-crystal and polycrystalline CVD diamond films and wafers, single-wall carbon nanotubes and graphene) and the prospects of their use as optical elements and devices are discussed. (optical elements of laser devices)

  20. Non-classical crystallization of thin films and nanostructures in CVD and PVD processes

    CERN Document Server

    Hwang, Nong Moon

    2016-01-01

    This book provides a comprehensive introduction to a recently-developed approach to the growth mechanism of thin films and nanostructures via chemical vapour deposition (CVD). Starting from the underlying principles of the low pressure synthesis of diamond films, it is shown that diamond growth occurs not by individual atoms but by charged nanoparticles. This newly-discovered growth mechanism turns out to be general to many CVD and some physical vapor deposition (PVD) processes. This non-classical crystallization is a new paradigm of crystal growth, with active research taking place on growth in solution, especially in biomineralization processes. Established understanding of the growth of thin films and nanostructures is based around processes involving individual atoms or molecules. According to the author’s research over the last two decades, however, the generation of charged gas phase nuclei is shown to be the rule rather than the exception in the CVD process, and charged gas phase nuclei are actively ...

  1. Diamond nanostructured devices for chemical sensing applications

    OpenAIRE

    Ahmad, R. K.

    2011-01-01

    Research in the area of CVD single crystal diamond plates of which only recently has been made commercially available saw significant advancements during the last decade. In parallel to that, detonation nanodiamond (DND) particles also now widely made accessible for requisition are provoking a lot of scientific investigations. The remarkable properties of diamond including its extreme hardness, low coefficient of friction, chemical inertness, biocompatibility, high thermal c...

  2. THIN DIAMOND FILMS FOR SNS H INJECTIONS STRIPPING

    International Nuclear Information System (INIS)

    SHAW, R.W.; HERR, A.D.; FEIGERLE, C.S.; CUTLER, R.J.; LIAW, C.J.; LEE, Y.Y.

    2004-01-01

    We have investigated the preparation and testing of thin diamond foils for use in stripping the SNS H - Linac beam. A long useful lifetime for these foils is desirable to improve operational efficiency. Preliminary data presented at PAC 2001 indicated that diamond foils were superior to conventional evaporated carbon foils, exhibiting lifetimes approximately five-fold longer [1]. That work employed a fully supported diamond foil, a format that is not acceptable for the SNS application; at least two edges of the approximately 1 x 1 cm foils must be free standing to allow for beam rastering. Residual stress in a chemical vapor deposited (CVD) diamond foil results in film distortion (scrolling) when the film is released from its silicon growth substrate. We have attacked this problem by initially patterning the surface of CVD growth substrates with a 50 or 100 line/inch trapezoidal grating, followed by conformal diamond film growth on the patterned substrate. Then removal of the substrate by chemical etching produced a foil that possessed improved mechanical integrity due to its corrugation. The high nucleation density required to grow continuous, pinhole free diamond foils of the desired thickness (1 (micro)m, 350 (micro)g/cm 2 ) was achieved by a combination of substrate surface scratching and seeding. A variety of diamond foils have been tested using the BNL 750 keV Radio Frequency Quadrupole H - beam to simulate energy loss in the SNS. Those include flat, corrugated, microcrystalline, and nanocrystalline foils. Foil lifetimes are reported

  3. Formation of diamonds out of hydrocarbon gas in the earth's mantle

    International Nuclear Information System (INIS)

    Krason, J.; Szymanski, A.; Savkevitch, S.S.

    1991-01-01

    This paper discusses the concept of formation of polycrystalline diamonds being discussed dint he context of a very rapid, dynamic decomposition of the hydrocarbon gas, initially biogenic or thermogenic condensed in gas hydrates, naturally locked and highly compressed in the hosting rocks. Gas hydrates are of solid, ice-like composition, mostly of hydrocarbon. Gas hydrates, composed of polyhedral cages, may have two types of structural forms: the body-centered structure or Structure I (small molecules) and diamond lattice or Structure II (large molecules). The crystal structure of the gas hydrate depends on the geometry of gas molecules. The thermodynamic conditions required for stabilization and preservation of the gas hydrates can be changed. Thus, in this concept, the principal source for at least some diamond deposits can originally be highly condensed hydrocarbons. In this case, if all the above indicated thermodynamic conditions and processes are met, naturally precondensed hydrocarbons can be directly converted into polycrystalline, extremely coherent diamonds

  4. Performance evaluation of synthetic diamond to realize ionisation chamber for radiotherapy application

    International Nuclear Information System (INIS)

    Guerrero Waryn, M.J.

    2005-09-01

    This work focuses on the optimisation of synthetic CVD diamond quality to realize ionisation chambers for radiotherapy. Diamonds samples have been synthesized and characterized using thermally stimulated measurement. These measurements showed the presence of trapping levels due to crystalline defects or impurities in material. The study of the response of these samples under irradiation has showed a correlation between the charged state of traps (priming and overshoot phenomena) and the response of the detector (stabilization of the signal). To remove the overshoot phenomenon which prevents from reproducible measurements, we used a specific operating set up controlling the device temperature. This technique enables to neutralize these instable phenomena and to use CVD diamond for ionisation chamber fabrication. (author)

  5. Grating-assisted coupling to nanophotonic circuits in microcrystalline diamond thin films

    Directory of Open Access Journals (Sweden)

    Patrik Rath

    2013-05-01

    Full Text Available Synthetic diamond films can be prepared on a waferscale by using chemical vapour deposition (CVD on suitable substrates such as silicon or silicon dioxide. While such films find a wealth of applications in thermal management, in X-ray and terahertz window design, and in gyrotron tubes and microwave transmission lines, their use for nanoscale optical components remains largely unexplored. Here we demonstrate that CVD diamond provides a high-quality template for realizing nanophotonic integrated optical circuits. Using efficient grating coupling devices prepared from partially etched diamond thin films, we investigate millimetre-sized optical circuits and achieve single-mode waveguiding at telecoms wavelengths. Our results pave the way towards broadband optical applications for sensing in harsh environments and visible photonic devices.

  6. Systematic study of radiation hardness of single crystal CVD diamond material investigated with an Au beam and IBIC method

    Energy Technology Data Exchange (ETDEWEB)

    Pietraszko, Jerzy; Koenig, Wolfgang; Traeger, Michael [GSI, Darmstadt (Germany); Draveny, Antoine; Galatyuk, Tetyana [TU, Darmstadt (Germany); Grilj, Veljko [RBI, Zagreb (Croatia); Collaboration: HADES-Collaboration

    2016-07-01

    For the future high rate CBM experiment at FAIR a radiation hard and fast beam detector is required. The detector has to perform precise T0 measurement (σ<50 ps) and should also offer decent beam monitoring capability. These tasks can be performed by utilizing single-crystal Chemical Vapor Deposition (ScCVD) diamond based detector. A prototype, segmented, detector have been constructed and the properties of this detector have been studied with a high current density beam (about 3.10{sup 6}/s/mm{sup 2}) of 1.23 A GeV Au ions in HADES. The irradiated detector properties have been studied at RBI in Zagreb by means of IBIC method. Details of the design, the intrinsic properties of the detectors and their performance after irradiation with such beam are reported.

  7. The effect of ion-beam induced strain on the nucleation density of chemical vapour deposited diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N.

    1995-01-01

    The effect of ion implantation on the nucleation of CVD diamond on silicon and diamond substrates has been investigated. The strategy employed is to create laterally confined regions of strain in the substrates by focused MeV implantation of light ions. Raman Microscopy has been employed to obtain spatially resolved maps of the strain in these implanted regions. On diamond substrates a homo-epitaxial CVD diamond film was grown on top of both the implanted and unimplanted regions of the substrate. Raman analysis of the film grown on top of the implanted region revealed it to be under slightly tensile strain as compared to that grown on the unimplanted diamond substrate. The film deposited on the implanted portion of the diamond showed a lower fluorescence background; indicating a lower concentration of incorporated defects. These results suggest that the strain and defects in the diamond substrate material have an important influence on the quality of the homo-epitaxially grown diamond films. 6 refs., 5 figs

  8. Tracking with CVD diamond radiation sensors at high luminosity colliders (1999-3.1507)

    CERN Document Server

    Schnetzer, S R; Bauer, C; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Da Graca, J; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Jamieson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Plano, R J; Polesello, P; Prawer, S; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Runólfsson, O; Russ, J; Sciortino, S; Somalwar, S V; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Thomson, G B; Trawick, M L; Trischuk, W; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; White, C; Ziock, H J; Zöller, M

    1999-01-01

    Recent progress on developing diamond-based sensors for vertex detection at high luminosity hadron colliders is described. Measurements of the performance of diamond sensors after irradiation to fluences of up to 5*10/sup 15/ hadrons/cm/sup 2/ are shown. These indicate that diamond sensors will operate at distances as close as 5 cm from the interaction point at the Large Hadron Collider (LHC) for many years at full luminosity without significant degradation in performance. Measurements of the quality of the signals from diamond sensors as well as spatial uniformity are presented. Test beam results on measurements of diamond-based microstrip and pixels devices are described.

  9. Diamond growth in oxygen-acetylene flame

    International Nuclear Information System (INIS)

    Haga, Mario S.; Nagai, Y. Ernesto; Suzuki, Carlos K.

    1995-01-01

    What was supposed to be a laboratory curiosity in the 80's, in recent years the low pressure process for the production of man-made diamond turned out to be a major target for research and development of many high-tech companies. The main reason for such an interest stems on the possibility of coating many materials with a diamond film possessing the same amazing properties of the bulk natural diamond. Polycrystalline diamond film has been deposited on Mo substrate by using oxygen-acetylene flame of a welding torch. The substrate temperature has been held constant about 700 d eg C by means of a water cooled mount designed properly. Precision flowmeters have been used to control the flow ratio oxygen/acetylene, a key parameter for the success in diamond growth. Diamond has been detected by X-ray diffraction, a fast foolproof technique for crystal identification. Another method of analysis often used in Raman spectroscopy, which is able to exhibit amorphous structure besides crystalline phase. (author)

  10. Alpha particle response study of polycrstalline diamond radiation detector

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Amit; Topkar, Anita [Electronics Division, Bhabha Atomic Research Centre, Trombay, Mumbai-400085 (India)

    2016-05-23

    Chemical vapor deposition has opened the possibility to grow high purity synthetic diamond at relatively low cost. This has opened up uses of diamond based detectors for wide range of applications. These detectors are most suitable for harsh environments where standard semiconductor detectors cannot work. In this paper, we present the fabrication details and performance study of polycrystalline diamond based radiation detector. Effect of different operating parameters such as bias voltage and shaping time for charge collection on the performance of detector has been studied.

  11. Preliminary results from a novel CVD diamond detector system for molecular imaging applications

    International Nuclear Information System (INIS)

    Mahon, A.R.

    1996-01-01

    A novel biomolecular imaging system incorporating a Chemical Vapour Deposition diamond detector is in development. The synthetic diamond is used as a UV detector to image nucleic acids in electrophoresis gels. The microstrip diamond detector currently has a spatial resolution of 30 μm. Preliminary results are presented which include: QE measurements of diamond detectors, detector time response, detector UV response and current detection limits of biomolecules in gel. The potential applications of the technology, and its significant advantages in speed and sensitivity over the current systems are discussed

  12. Characterization of chemical vapour deposited diamond films: correlation between hydrogen incorporation and film morphology and quality

    International Nuclear Information System (INIS)

    Tang, C J; Neves, A J; Carmo, M C

    2005-01-01

    In order to tailor diamond synthesized through chemical vapour deposition (CVD) for different applications, many diamond films of different colours and variable quality were deposited by a 5 kW microwave plasma CVD reactor under different growth conditions. The morphology, quality and hydrogen incorporation of these films were characterized using scanning electron microscopy (SEM), Raman and Fourier-transform infrared (FTIR) spectroscopy, respectively. From this study, a general trend between hydrogen incorporation and film colour, morphology and quality was found. That is, as the films sorted by colour gradually become darker, ranging from white through grey to black, high magnification SEM images illustrate that the smoothness of the well defined crystalline facet gradually decreases and second nucleation starts to appear on it, indicating gradual degradation of the crystalline quality. Correspondingly, Raman spectra evidence that the diamond Raman peak at 1332 cm -1 becomes broader and the non-diamond carbon band around 1500 cm -1 starts to appear and becomes stronger, confirming increase of the non-diamond component and decrease of the phase purity of the film, while FTIR spectra show that the CH stretching band and the two CVD diamond specific peaks around 2830 cm -1 rise rapidly, and this indicates that the total amount of hydrogen incorporated into the film increases significantly

  13. Thermal diffusivity of diamond films using a laser pulse technique

    International Nuclear Information System (INIS)

    Albin, S.; Winfree, W.P.; Crews, B.S.

    1990-01-01

    Polycrystalline diamond films were deposited using a microwave plasma-enhanced chemical vapor deposition process. A laser pulse technique was developed to measure the thermal diffusivity of diamond films deposited on a silicon substrate. The effective thermal diffusivity of a diamond film on silicon was measured by observing the phase and amplitude of the cyclic thermal waves generated by laser pulses. An analytical model is presented to calculate the effective inplane (face-parallel) diffusivity of a two-layer system. The model is used to reduce the effective thermal diffusivity of the diamond/silicon sample to a value for the thermal diffusivity and conductivity of the diamond film

  14. Extended defect related energy loss in CVD diamond revealed by spectrum imaging in a dedicated STEM

    International Nuclear Information System (INIS)

    Bangert, U.; Harvey, A.J.; Schreck, M.; Hoermann, F.

    2005-01-01

    This article aims at investigations of the low EEL region in the wide band gap system diamond. The advent of the UHV Enfina electron energy loss spectrometer combined with Digital Micrograph acquisition and processing software has made reliable detection of absorption losses below 10 eV possible. Incorporated into a dedicated STEM this instrumentation allows the acquisition of spectral information via spectrum maps (spectrum imaging) of sample areas hundreds of nanometers across, with nanometers pixel sizes, adequate spectrum statistics and 0.3 eV energy resolution, in direct correlation with microstructural features in the mapping area. We aim at discerning defect related losses at band gap energies, and discuss different routes to simultaneously process and analyse the spectra in a map. This involves extracting the zero loss peak from each spectrum and constructing ratio maps from the intensities in two energy windows, one defect related and one at a higher, crystal bandstructure dominated energy. This was applied to the residual spectrum maps and their first derivatives. Secondly, guided by theoretical EEL spectra calculations, the low loss spectra were fitted by a series of gaussian distributions. Pixel maps were constructed from amplitude ratios of gaussians, situated in the defect and the unaffected energy regime. The results demonstrate the existence of sp 2 -bonded carbon in the vicinity of stacking faults and partial dislocations in CVD diamond as well as additional states below conduction band, tailing deep into the band gap, at a node in a perfect dislocation. Calculated EEL spectra of shuffle dislocations give similar absorption features at 5-8 eV, and it is thought that this common feature is due to sp 2 -type bonding

  15. The potential use of diamond coated tungsten tips as a field ionisation source

    Energy Technology Data Exchange (ETDEWEB)

    Brown, A.; Prawer, S.; Legge, G.J.F.; Kostidis, L.I. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    Tungsten tips are convenient for use in a high brightness gaseous phase field ionisation source. However, the lifetime of these tips is not adequate for practical use. The authors are investigating whether coating tungsten tips with diamond using Chemical Vapor Deposition (CVD) will improve the practicality of using these tips by an improvement in longevity of the source and/or an improvement in brightness due to the effects of the property of negative electron affinity which has been observed on CVD diamond. 1 ref.

  16. The potential use of diamond coated tungsten tips as a field ionisation source

    Energy Technology Data Exchange (ETDEWEB)

    Brown, A; Prawer, S; Legge, G J.F.; Kostidis, L I [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    Tungsten tips are convenient for use in a high brightness gaseous phase field ionisation source. However, the lifetime of these tips is not adequate for practical use. The authors are investigating whether coating tungsten tips with diamond using Chemical Vapor Deposition (CVD) will improve the practicality of using these tips by an improvement in longevity of the source and/or an improvement in brightness due to the effects of the property of negative electron affinity which has been observed on CVD diamond. 1 ref.

  17. Engineering NV centres in Synthetic Diamond

    International Nuclear Information System (INIS)

    Matthew Markham

    2014-01-01

    The quantum properties of the nitrogen vacancy (NV) centre in diamond has prompted rapid growth in diamond research. This initial growth was driven by the fact the NV centre provides an 'easy' to manipulate quantum system along with opening up the possibility of a new material to deliver a solid state quantum computer. The NV defect is now moving from a quantum curiosity to a commercial development platform for a range of application such as as gyroscopes, timing and magnetometry as well as the more traditional quantum technologies such as quantum encryption and quantum simulation. These technologies are pushing the development needs of the material, and the processing of that material. The paper will describes the advances in CVD diamond synthesis with special attention to getting NV defects close to the surface of the diamond and how to process the material for diamond quantum optical applications. (author)

  18. Optical studies of high quality synthetic diamond

    International Nuclear Information System (INIS)

    Sharp, S.J.

    1999-01-01

    This thesis is concerned with the study of fundamental and defect induced optical properties of synthetic diamond grown using high pressure, high temperature (HPHT) synthesis or chemical vapour deposition (CVD). The primary technique used for investigation is cathodoluminescence (including imaging and decay-time measurements) in addition to other forms of optical spectroscopy. This thesis is timely in that the crystallinity and purity of synthetic diamond has increased ten fold over the last few years. The diamond exciton emission, which is easily quenched by the presence of defects, is studied in high quality samples in detail. In addition the ability now exists to engineer the isotopic content of synthetic diamond to a high degree of accuracy. The experimental chapters are divided as follows: Chapter 2: High resolution, low temperature spectra reveal a splitting of the free-exciton phonon recombination emission peaks and the bound-exciton zero phonon line. Included are measurements of the variation in intensity and decay-time as a function of temperature. Chapter 3: The shift in energy of the phonon-assisted free-exciton phonon replicas with isotopic content has been measured. The shift is in agreement with the results of interatomic force model for phonon scattering due to isotope disorder. Chapter 4: A study of the shift in energy with isotopic content of the diamond of the GR1 band due to the neutral vacancy has allowed a verification of the theoretical predictions due to the Jahn Teller effect. Chapter 5: The spatial distribution of the free-exciton luminescence is studied in HPHT synthetic and CVD diamond. A variation in intensity with distance from the surface is interpreted as a significant non-radiative loss of excitons to the surface. Chapter 6: The decay-times of all known self-interstitial related centres have been measured in order to calculate the concentration of these centres present in electron irradiated diamond. (author)

  19. Preparation of diamond like carbon thin film on stainless steel and ...

    Indian Academy of Sciences (India)

    Diamond-like carbon; buffer layer; plasma CVD; surface characterization; biomedical applications. Abstract. We report the formation of a very smooth, continuous and homogeneous diamond-like carbon DLC thin coating over a bare stainless steel surface without the need for a thin Si/Cr/Ni/Mo/W/TiN/TiC interfacial layer.

  20. A nitrogen doped low-dislocation density free-standing single crystal diamond plate fabricated by a lift-off process

    Energy Technology Data Exchange (ETDEWEB)

    Mokuno, Yoshiaki, E-mail: mokuno-y@aist.go.jp; Kato, Yukako; Tsubouchi, Nobuteru; Chayahara, Akiyoshi; Yamada, Hideaki; Shikata, Shinichi [Research Institute for Ubiquitous Energy Devices, National Institute of Advanced Industrial Science and Technology (AIST), 1-8-31 Midorigaoka, Ikeda, Osaka 563-8577 (Japan)

    2014-06-23

    A nitrogen-doped single crystal diamond plate with a low dislocation density is fabricated by chemical vapor deposition (CVD) from a high pressure high temperature synthetic type IIa seed substrate by ion implantation and lift-off processes. To avoid sub-surface damage, the seed surface was subjected to deep ion beam etching. In addition, we introduced a nitrogen flow during the CVD step to grow low-strain diamond at a relatively high growth rate. This resulted in a plate with low birefringence and a dislocation density as low as 400 cm{sup −2}, which is the lowest reported value for a lift-off plate. Reproducing this lift-off process may allow mass-production of single crystal CVD diamond plates with low dislocation density and consistent quality.

  1. Sadhana | Indian Academy of Sciences

    Indian Academy of Sciences (India)

    Home; Journals; Sadhana. Sandip Bysakh. Articles written in Sadhana. Volume 39 Issue 4 August 2014 pp 957-970. Correlation between optical emission spectra and the process parameters of a 915 MHz microwave plasma CVD reactor used for depositing polycrystalline diamond coatings · Awadesh Kumar Mallik Sandip ...

  2. Potential and pH dependence of photocurrent transients for boron-doped diamond electrodes in aqueous electrolyte

    International Nuclear Information System (INIS)

    Green, S.J.; Mahe, L.S.A.; Rosseinsky, D.R.; Winlove, C.P.

    2013-01-01

    Using illumination at energies below the intrinsic diamond energy gap, photocurrent transients have been recorded for boron-doped diamond (BDD) as an electrode in an aqueous electrolyte of 0.1 M KH 2 PO 4 . The commercially-supplied BDD was in the form of a free-standing, polycrystalline film grown by chemical vapour deposition (CVD), with a boron acceptor concentration of ≥10 20 cm −3 . The effects of mechanical polishing of the BDD, of electrochemical hydrogen evolution and of electrochemical oxygen evolution (in 0.1 M KH 2 PO 4 ), on the potential dependence of the photocurrent transients have been examined. Measurements of the cathodic photocurrent at light switch-on have been used to determine the photocurrent onset potential as a measure of the flatband potential. Comparison with and between related literature observations has shown broad agreement across considerably varying BDD/electrolyte systems. The flatband potential shifted positively following electrochemical oxygen evolution, indicating the formation of oxygen-containing groups on the diamond surface, these increasing the potential drop across the Helmholtz layer. For the electrochemically oxidised electrode, the cathodic photocurrent transient at a fixed potential changed reproducibly with changing solution pH, owing to the participation of the oxygen-containing surface groups in acid–base equilibrium with the solution. This clear demonstration of BDD as a photoelectrochemical pH sensor is in principle extendable to mapping the spatial variation in pH across a BDD surface by use of a focussed light spot

  3. Polarized Raman spectroscopy of chemically vapour deposited diamond films

    International Nuclear Information System (INIS)

    Prawer, S.; Nugent, K.W.; Weiser, P.S.

    1994-01-01

    Polarized micro-Raman spectra of chemically vapour deposited diamond films are presented. It is shown that important parameters often extracted from the Raman spectra such as the ratio of the diamond to non-diamond component of the films and the estimation of the level of residual stress depend on the orientation of the diamond crystallites with respect to the polarization of the incident laser beam. The dependence originates from the fact that the Raman scattering from the non-diamond components in the films is almost completely depolarized whilst the scattering from the diamond components is strongly polarized. The results demonstrate the importance of taking polarization into account when attempting to use Raman spectroscopy in even a semi-quantitative fashion for the assessment of the purity, perfection and stress in CVD diamond films. 8 refs., 1 tab. 2 figs

  4. Initial damage processes for diamond film exposure to hydrogen plasma

    International Nuclear Information System (INIS)

    Deslandes, A.; Guenette, M.C.; Samuell, C.M.; Karatchevtseva, I.; Ionescu, M.; Cohen, D.D.; Blackwell, B.; Corr, C.; Riley, D.P.

    2013-01-01

    Graphical abstract: -- Highlights: • Exposing chemical vapour deposited (CVD) diamond films in a recently constructed device, MAGPIE, specially commissioned to simulate fusion plasma conditions. • Non-diamond material is etched from the diamond. • There is no hydrogen retention observed, which suggests diamond is an excellent candidate for plasma facing materials. • Final structure of the surface is dependent on synergistic effects of etching and ion-induced structural change. -- Abstract: Diamond is considered to be a possible alternative to other carbon based materials as a plasma facing material in nuclear fusion devices due to its high thermal conductivity and resistance to chemical erosion. In this work CVD diamond films were exposed to hydrogen plasma in the MAGnetized Plasma Interaction Experiment (MAGPIE): a linear plasma device at the Australian National University which simulates plasma conditions relevant to nuclear fusion. Various negative sample stage biases of magnitude less than 500 V were applied to control the energies of impinging ions. Characterisation results from SEM, Raman spectroscopy and ERDA are presented. No measureable quantity of hydrogen retention was observed, this is either due to no incorporation of hydrogen into the diamond structure or due to initial incorporation as a hydrocarbon followed by subsequent etching back into the plasma. A model is presented for the initial stages of diamond erosion in fusion relevant hydrogen plasma that involves chemical erosion of non-diamond material from the surface by hydrogen radicals and damage to the subsurface region from energetic hydrogen ions. These results show that the initial damage processes in this plasma regime are comparable to previous studies of the fundamental processes as reported for less extreme plasma such as in the development of diamond films

  5. Radiation hard diamond sensors for future tracking applications

    International Nuclear Information System (INIS)

    Adam, W.; Boer, W. de; Borchi, E.

    2006-01-01

    Progress in experimental particle physics in the coming decade depends crucially upon the ability to carry out experiments in high-radiation areas. In order to perform these complex and expensive experiments, new radiation hard technologies must be developed. This paper discusses the use of diamond detectors in future tracking applications and their survivability in the highest radiation environments. We present results of devices constructed with the newest polycrystalline and single crystal Chemical Vapor Deposition diamond and their tolerance to radiation

  6. A multilayer innovative solution to improve the adhesion of nanocrystalline diamond coatings

    Energy Technology Data Exchange (ETDEWEB)

    Poulon-Quintin, A., E-mail: poulon@icmcb-bordeaux.cnrs.fr [CNRS, ICMCB, UPR 9048, F-33600 Pessac (France); Univ. Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); Faure, C.; Teulé-Gay, L.; Manaud, J.P. [CNRS, ICMCB, UPR 9048, F-33600 Pessac (France); Univ. Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France)

    2015-03-15

    Highlights: • Improvement of the NCD adhesion on WC-12%Co substrates for tooling applications using a multi-interlayer additional system. • Reduction of the graphite layer thickness and continuity at the interface with the diamond. • Transmission electron microscopy study for a better understanding of the diffusion phenomena occurring at the interfaces. - Abstract: Nano-crystalline diamond (NCD) films grown under negative biased substrates by chemical vapor deposition (CVD) are widely used as surface overlay coating onto cermet WC-Co cutting tools to get better performances. To improve the diamond adhesion to the cermet substrate, suitable multi-layer systems have been added. They are composed of a cobalt diffusion barrier close to the substrate (single and sequenced nitrides layers) coated with a nucleation extra layer to improve the nucleus density of diamond during CVD processing. For all systems, before and after diamond deposition, transmission electron microscopy (TEM) has been performed for a better understanding of the diffusion phenomena occurring at the interfaces and to evaluate the presence of graphitic species at the interface with the diamond. Innovative multilayer system dedicated to the regulation of cobalt diffusion coated with a bilayer system optimized for the carbon diffusion control, is shown as an efficient solution to significantly reduce the graphite layer formation at the interface with the diamond down to 10 nm thick and to increase the adhesion of NCD diamond layer as scratch-tests confirm.

  7. Comparative study of dlc coatings by pvd against cvd technique on textile dents

    International Nuclear Information System (INIS)

    Malik, M.; Alam, S.; Iftikhar, F.

    2007-01-01

    Diamond like Carbon (DLC) film is a hard amorphous carbon hydride film formed by Physical or Chemical vapor deposition (PVD or CVD) techniques. Due to its unique properties especially high hardness, lower coefficient of friction and lubricious nature, these coatings are not only used to extend the life of cutting tools but also for non cutting applications such as for forming dies, molds and on many functional parts of textile. In the present work two techniques were employed i.e. PVD and CVD for deposition of diamond like carbon film on textile dents. These dents are used as thread guider in high speed weaving machine. The measurement of coating thickness, adhesion, hardness and roughness values indicates that overall properties of DLC coating developed by PVD LARC technology reduces abrasion and increases the workability and durability of textile dents as well as suppress the need of lubricants. (author)

  8. Analysis of the application of poly-nanocrystalline diamond tools for ultra precision machining of steel with ultrasonic assistance

    Science.gov (United States)

    Doetz, M.; Dambon, O.; Klocke, F.; Bulla, B.; Schottka, K.; Robertson, D. J.

    2017-10-01

    Ultra-precision diamond turning enables the manufacturing of parts with mirror-like surfaces and highest form accuracies out of non-ferrous, a few crystalline and plastic materials. Furthermore, an ultrasonic assistance has the ability to push these boundaries and enables the machining of materials like steel, which is not possible in a conventional way due to the excessive tool wear caused by the affinity of carbon to iron. Usually monocrystalline diamonds tools are applied due to their unsurpassed cutting edge properties. New cutting tool material developments have shown that it is possible to produce tools made of nano-polycrystalline diamonds with cutting edges equivalent to monocrystalline diamonds. In nano-polycrystalline diamonds ultra-fine grains of a few tens of nanometers are firmly and directly bonded together creating an unisotropic structure. The properties of this material are described to be isotropic, harder and tougher than those of the monocrystalline diamonds, which are unisotropic. This publication will present machining results from the newest investigations of the process potential of this new polycrystalline cutting material. In order to provide a baseline with which to characterize the cutting material cutting experiments on different conventional machinable materials like Cooper or Aluminum are performed. The results provide information on the roughness and the topography of the surface focusing on the comparison to the results while machining with monocrystalline diamond. Furthermore, the cutting material is tested in machining steel with ultrasonic assistance with a focus on tool life time and surface roughness. An outlook on the machinability of other materials will be given.

  9. Diamond-coated ATR prism for infrared absorption spectroscopy of surface-modified diamond nanoparticles

    Czech Academy of Sciences Publication Activity Database

    Remeš, Zdeněk; Kozak, Halyna; Rezek, Bohuslav; Ukraintsev, Egor; Babchenko, Oleg; Kromka, Alexander; Girard, H.A.; Arnault, J.-C.; Bergonzo, P.

    2013-01-01

    Roč. 270, APR (2013), s. 411-417 ISSN 0169-4332 R&D Projects: GA ČR GAP108/12/0910; GA ČR GPP205/12/P331; GA MŠk LH12236; GA MŠk LH12186 Institutional support: RVO:68378271 Keywords : ATR FTIR * CVD * hydrogenation * microwave * nanocrystalline diamond * nanopowder Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.538, year: 2013

  10. Radiation hardness of a single crystal CVD diamond detector for MeV energy protons

    Energy Technology Data Exchange (ETDEWEB)

    Sato, Yuki, E-mail: y.sato@riken.jp [The Institute of Physical and Chemical Research (RIKEN), 2-1 Hirosawa, Wako, Saitama 351-0198 (Japan); Shimaoka, Takehiro; Kaneko, Junichi H. [Graduate School of Engineering, Hokkaido University, N13, W8, Sapporo 060-8628 (Japan); Murakami, Hiroyuki [The Institute of Physical and Chemical Research (RIKEN), 2-1 Hirosawa, Wako, Saitama 351-0198 (Japan); Isobe, Mitsutaka; Osakabe, Masaki [National Institute for Fusion Science, 322-6, Oroshi-cho Toki-city, Gifu 509-5292 (Japan); Tsubota, Masakatsu [Graduate School of Engineering, Hokkaido University, N13, W8, Sapporo 060-8628 (Japan); Ochiai, Kentaro [Fusion Research and Development Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki 319-1195 (Japan); Chayahara, Akiyoshi; Umezawa, Hitoshi; Shikata, Shinichi [National Institute of Advanced Industrial Science and Technology (AIST), 1-8-31 Midorigaoka, Ikeda, Osaka 563-8577 (Japan)

    2015-06-01

    We have fabricated a particle detector using single crystal diamond grown by chemical vapor deposition. The irradiation dose dependence of the output pulse height from the diamond detector was measured using 3 MeV protons. The pulse height of the output signals from the diamond detector decreases as the amount of irradiation increases at count rates of 1.6–8.9 kcps because of polarization effects inside the diamond crystal. The polarization effect can be cancelled by applying a reverse bias voltage, which restores the pulse heights. Additionally, the radiation hardness performance for MeV energy protons was compared with that of a silicon surface barrier detector.

  11. Influence of Fiber Orientation on Single-Point Cutting Fracture Behavior of Carbon-Fiber/Epoxy Prepreg Sheets

    OpenAIRE

    Wei, Yingying; An, Qinglong; Cai, Xiaojiang; Chen, Ming; Ming, Weiwei

    2015-01-01

    The purpose of this article is to investigate the influences of carbon fibers on the fracture mechanism of carbon fibers both in macroscopic view and microscopic view by using single-point flying cutting method. Cutting tools with three different materials were used in this research, namely, PCD (polycrystalline diamond) tool, CVD (chemical vapor deposition) diamond thin film coated carbide tool and uncoated carbide tool. The influence of fiber orientation on the cutting force and fracture to...

  12. Ionization signals from diamond detectors in fast-neutron fields

    Energy Technology Data Exchange (ETDEWEB)

    Weiss, C. [European Organization for Nuclear Research (CERN), Geneva (Switzerland); CIVIDEC Instrumentation, Wien (Austria); Frais-Koelbl, H. [University of Applied Sciences, Wiener Neustadt (Austria); Griesmayer, E.; Kavrigin, P. [CIVIDEC Instrumentation, Wien (Austria); Vienna University of Technology, Wien (Austria)

    2016-09-15

    In this paper we introduce a novel analysis technique for measurements with single-crystal chemical vapor deposition (sCVD) diamond detectors in fast-neutron fields. This method exploits the unique electronic property of sCVD diamond sensors that the signal shape of the detector current is directly proportional to the initial ionization profile. In fast-neutron fields the diamond sensor acts simultaneously as target and sensor. The interaction of neutrons with the stable isotopes {sup 12}C and {sup 13}C is of interest for fast-neutron diagnostics. The measured signal shapes of detector current pulses are used to identify individual types of interactions in the diamond with the goal to select neutron-induced reactions in the diamond and to suppress neutron-induced background reactions as well as γ-background. The method is verified with experimental data from a measurement in a 14.3 MeV neutron beam at JRC-IRMM, Geel/Belgium, where the {sup 13}C(n, α){sup 10}Be reaction was successfully extracted from the dominating background of recoil protons and γ-rays and the energy resolution of the {sup 12}C(n, α){sup 9}Be reaction was substantially improved. The presented analysis technique is especially relevant for diagnostics in harsh radiation environments, like fission and fusion reactors. It allows to extract the neutron spectrum from the background, and is particularly applicable to neutron flux monitoring and neutron spectroscopy. (orig.)

  13. Spatial distributions of H, CN, and C2 in a diamond growing oxyacetylene flame

    NARCIS (Netherlands)

    Klein-Douwel, R.J.H.; Meulen, ter J.J.

    1998-01-01

    Two-dimensional laser-induced fluorescence (2D-LIF) measurements are applied to the chemical vapor deposition (CVD) of diamond by an oxyacetylene flame to visualize the distributions of atomic hydrogen, C2, and CN in the gas phase during diamond growth. Experiments are carried out in laminar flames

  14. Thin film diamond microstructure applications

    Science.gov (United States)

    Roppel, T.; Ellis, C.; Ramesham, R.; Jaworske, D.; Baginski, M. E.; Lee, S. Y.

    1991-01-01

    Selective deposition and abrasion, as well as etching in atomic oxygen or reduced-pressure air, have been used to prepare patterned polycrystalline diamond films which, on further processing by anisotropic Si etching, yield the microstructures of such devices as flow sensors and accelerometers. Both types of sensor have been experimentally tested in the respective functions of hot-wire anemometer and both single- and double-hinged accelerometer.

  15. Low resistance polycrystalline diamond thin films deposited by hot ...

    Indian Academy of Sciences (India)

    Administrator

    silicon wafers using a hydrocarbon gas (CH4) highly diluted with H2 at low pressure in a hot filament chemi- cal vapour ... the laser spot was focused on the sample surface using a ... tative spectra of diamond thin films with a typical dia-.

  16. Synthetic diamond devices for radiotherapy applications: Thermoluminescent dosimeter and ionisation chamber

    International Nuclear Information System (INIS)

    Descamps, C.; Tromson, D.; Mer, C.; Nesladek, M.; Bergonzo, P.

    2006-01-01

    In radiotherapy field, the major usage of dosimeters is in the measurement of the dose received by the patient during radiotherapy (in-vivo measurements) and in beam calibration and uniformity checks. Diamond exhibits several interesting characteristics that make it a good candidate for radiation detection. It is indeed soft-tissue equivalent (Z=6 compared to Z=7.42 for human tissue), mechanically robust and relatively insensitive to radiation damage, chemically stable and non toxic. Moreover, the recent availability of synthetic samples, grown under controlled conditions using the chemical vapour deposition (C.V.D.) technique, allowed decreasing the high cost and the long delivery time of diamond devices. Diamond can be use for off-line dosimetry as thermoluminescent dosimeters or for on-line dosimetry as ionisation chamber [2,3]. These both applications are reported here. For this study, samples were grown in the laboratory and devices were then tested under X-ray irradiations and in clinical environment under medical cobalt source. The work described in this paper was performed in the framework of the European Integrated Project M.A.E.S.T.R.O., Methods and Advanced Equipment for Simulation and Treatment in Radio-Oncology, (6. FP) which is granted by the European Commission.The first results of this study clearly show that C.V.D. diamond detectors are suitable for dosimetry in radiotherapy applications. Moreover, for both T.L. dosimeters and ionisation chambers applications, and even though the sensitivity is subsequently reduced, nitrogen incorporation in films seems to significantly improve the dosimetric characteristics of the devices. Therefore, the optimisation of the material quality appears as a very important issue in order to increase the dosimetric characteristics of devices and more particularly, for use as thermoluminescent dosimeters, other impurities (Nickel, Phosphorus) will be tested. For ionisation chamber applications, experiments with

  17. B P Bag

    Indian Academy of Sciences (India)

    Home; Journals; Bulletin of Materials Science. B P Bag. Articles written in Bulletin of Materials Science. Volume 35 Issue 1 February 2012 pp 1-5. Structural and optical characterization of thick and thin polycrystalline diamond films deposited by microwave plasma activated CVD · S K Pradhan B Satpati B P Bag T Sharda.

  18. Electrochemical reactivity at graphitic micro-domains on polycrystalline boron doped diamond thin-films electrodes

    International Nuclear Information System (INIS)

    Mahe, E.; Devilliers, D.; Comninellis, Ch.

    2005-01-01

    This paper deals with the electrochemical reactivity of boron doped diamond (BDD) electrodes. A comparative study has been carried out to show the influence of the presence of graphitic micro-domains upon the surface of these films. Those graphitic domains are sometimes present on as-grown boron doped diamond electrodes. The effect of doping a pure Csp 3 diamond electrode is established by highly oriented pyrolytic graphite (HOPG) abrasion onto the diamond surface. In order to establish the effect of doping on a pure Csp 3 diamond electrode, the amount of graphitic domains was increased by means of HOPG crystals grafted onto the BDD surface. Indeed that method allows the enrichment of the Csp 2 contribution of the electrode. The presence of graphitic domains can be correlatively associated with the presence of kinetically active redox sites. The electrochemical reactivity of boron doped diamond electrodes shows a distribution of kinetic constants on the whole surface of the electrode corresponding to different active sites. In this paper, we have studied by cyclic voltammetry and electrochemical impedance spectroscopy the kinetics parameters of the ferri/ferrocyanide redox couple in KCl electrolyte. A method is proposed to diagnose the presence of graphitic domains on diamond electrodes, and an electrochemical 'pulse cleaning' procedure is proposed to remove them

  19. Modeling of diamond radiation detectors

    International Nuclear Information System (INIS)

    Milazzo, L.; Mainwood, A.

    2004-01-01

    We have built up a computer simulation of the detection mechanism in the diamond radiation detectors. The diamond detectors can be fabricated from a chemical vapour deposition polycrystalline diamond film. In this case, the trapping-detrapping and recombination at the defects inside the grains and at the grain boundaries degrade the transport properties of the material and the charge induction processes. These effects may strongly influence the device's response. Previous simulations of this kind of phenomena in the diamond detectors have generally been restricted to the simple detector geometries and homogeneous distribution of the defects. In our model, the diamond film (diamond detector) is simulated by a grid. We apply a spatial and time discretization, regulated by the grid resolution, to the equations describing the charge transport and, by using the Shockley-Ramo theorem, we calculate the signal induced on the electrodes. In this way, we can simulate the effects of the nonhomogeneous distributions of the trapping, recombination, or scattering centers and can investigate the differences observed when different particles, energies, and electrode configurations are used. The simulation shows that the efficiency of the detector increases linearly with the average grain size, that the charge collection distance is small compared to the dimensions of a single grain, and that for small grains, the trapping at the intragrain defects is insignificant compared to the effect of the grain boundaries

  20. Plasma boriding of a cobalt–chromium alloy as an interlayer for nanostructured diamond growth

    Energy Technology Data Exchange (ETDEWEB)

    Johnston, Jamin M.; Jubinsky, Matthew; Catledge, Shane A., E-mail: catledge@uab.edu

    2015-02-15

    Highlights: • Metal-boride layer creates a compatible surface for NSD deposition. • PECVD boriding on CoCrMo produces robust metal-boride layer. • Deposition temperature comparison shows 750 °C boriding masks surface cobalt. • EDS shows boron diffusion as well as deposition. • Nanoindentation hardness of CoCrMo substantially increases after boriding. - Abstract: Chemical vapor deposited (CVD) diamond coatings can potentially improve the wear resistance of cobalt–chromium medical implant surfaces, but the high cobalt content in these alloys acts as a catalyst to form graphitic carbon. Boriding by high temperature liquid baths and powder packing has been shown to improve CVD diamond compatibility with cobalt alloys. We use the microwave plasma-enhanced (PE) CVD process to deposit interlayers composed primarily of the borides of cobalt and chromium. The use of diborane (B{sub 2}H{sub 6}) in the plasma feedgas allows for the formation of a robust boride interlayer for suppressing graphitic carbon during subsequent CVD of nano-structured diamond (NSD). This metal–boride interlayer is shown to be an effective diffusion barrier against elemental cobalt for improving nucleation and adhesion of NSD coatings on a CoCrMo alloy. Migration of elemental cobalt to the surface of the interlayer is significantly reduced and undetectable on the surface of the subsequently-grown NSD coating. The effects of PECVD boriding are compared for a range of substrate temperatures and deposition times and are evaluated using glancing-angle X-ray diffraction (XRD), cross-sectional scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS), and micro-Raman spectroscopy. Boriding of CoCrMo results in adhered nanostructured diamond coatings with low surface roughness.

  1. Electrochemical reactivity at graphitic micro-domains on polycrystalline boron doped diamond thin-films electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Mahe, E. [LI2C CNRS/UMR 7612, Laboratoire d' Electrochimie, Universite Pierre-et-Marie Curie - case courrier 51, 4, Place Jussieu, 75252 Paris Cedex 05 (France); Devilliers, D. [LI2C CNRS/UMR 7612, Laboratoire d' Electrochimie, Universite Pierre-et-Marie Curie - case courrier 51, 4, Place Jussieu, 75252 Paris Cedex 05 (France); Comninellis, Ch. [Unite de Genie Electrochimique, Institut de sciences des procedes chimiques et biologiques, Ecole Polytechnique Federale de Lausanne, 1015, Lausanne (Switzerland)

    2005-04-01

    This paper deals with the electrochemical reactivity of boron doped diamond (BDD) electrodes. A comparative study has been carried out to show the influence of the presence of graphitic micro-domains upon the surface of these films. Those graphitic domains are sometimes present on as-grown boron doped diamond electrodes. The effect of doping a pure Csp{sup 3} diamond electrode is established by highly oriented pyrolytic graphite (HOPG) abrasion onto the diamond surface. In order to establish the effect of doping on a pure Csp{sup 3} diamond electrode, the amount of graphitic domains was increased by means of HOPG crystals grafted onto the BDD surface. Indeed that method allows the enrichment of the Csp{sup 2} contribution of the electrode. The presence of graphitic domains can be correlatively associated with the presence of kinetically active redox sites. The electrochemical reactivity of boron doped diamond electrodes shows a distribution of kinetic constants on the whole surface of the electrode corresponding to different active sites. In this paper, we have studied by cyclic voltammetry and electrochemical impedance spectroscopy the kinetics parameters of the ferri/ferrocyanide redox couple in KCl electrolyte. A method is proposed to diagnose the presence of graphitic domains on diamond electrodes, and an electrochemical 'pulse cleaning' procedure is proposed to remove them.

  2. Diamond as a scaffold for bone growth.

    Science.gov (United States)

    Fox, Kate; Palamara, Joseph; Judge, Roy; Greentree, Andrew D

    2013-04-01

    Diamond is an attractive material for biomedical implants. In this work, we investigate its capacity as a bone scaffold. It is well established that the bioactivity of a material can be evaluated by examining its capacity to form apatite-like calcium phosphate phases on its surface when exposed to simulated body fluid. Accordingly, polycrystalline diamond (PCD) and ultrananocrystalline diamond (UNCD) deposited by microwave plasma chemical vapour deposition were exposed to simulated body fluid and assessed for apatite growth when compared to the bulk silicon. Scanning electron microscopy and X-ray photoelectron spectroscopy showed that both UNCD and PCD are capable of acting as a bone scaffold. The composition of deposited apatite suggests that UNCD and PCD are suitable for in vivo implantation with UNCD possible favoured in applications where rapid osseointegration is essential.

  3. Diamond detector time resolution for large angle tracks

    Energy Technology Data Exchange (ETDEWEB)

    Chiodini, G., E-mail: chiodini@le.infn.it [INFN - Sezione di Lecce (Italy); Fiore, G.; Perrino, R. [INFN - Sezione di Lecce (Italy); Pinto, C.; Spagnolo, S. [INFN - Sezione di Lecce (Italy); Dip. di Matematica e Fisica “Ennio De Giorgi”, Uni. del Salento (Italy)

    2015-10-01

    The applications which have stimulated greater interest in diamond sensors are related to detectors close to particle beams, therefore in an environment with high radiation level (beam monitor, luminosity measurement, detection of primary and secondary-interaction vertices). Our aims is to extend the studies performed so far by developing the technical advances needed to prove the competitiveness of this technology in terms of time resolution, with respect to more usual ones, which does not guarantee the required tolerance to a high level of radiation doses. In virtue of these goals, measurements of diamond detector time resolution with tracks incident at different angles are discussed. In particular, preliminary testbeam results obtained with 5 GeV electrons and polycrystalline diamond strip detectors are shown.

  4. Industrial science and technology research and development project of university cooperative type in fiscal 2000. Report on achievements in semiconductor device manufacturing processes using Cat-CVD method (Development of technology to rationalize energy usage); 2000 nendo daigaku renkeigata sangyo kagaku gijutsu kenkyu kaihatsu project. Cat-CVD ho ni yoru handotai device seizo process seika hokokusho (energy shiyo gorika gijutsu kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The catalytic chemical vapor deposition (Cat-CVD) method is a low-temperature thin film depositing technology that can achieve improvement in quality of semiconductor thin films and can perform inexpensive film deposition in a large area. This paper summarizes the achievements in fiscal 2000 in the demonstrative research and development theme of the present project, centering on the following five areas: 1) discussions on application of the Cat-CVD method to the mass production process for gallium arsenide integrated circuits, 2) studies on the possibility to apply the Cat-CVD method to the process to fabricate nitrided silicon protective film for ferroelectric memory devices, 3) formation of nitrided silicon films for silicon integrated circuits by means of the Cat-CVD method, and development of a chamber cleaning technology, 4) fabrication of high-mobility poly-crystalline silicon thin film transistors formed by using the Cat-CVD method and large particle size poly-crystalline silicon films by using the catalytic chemical sputtering process, and 5) discussions on properties of amorphous silicon thin film transistors formed by using the Cat-CVD method and formation of large area films by using a catalyst integrated shower head. (NEDO)

  5. Faraday effect of polycrystalline bismuth iron garnet thin film prepared by mist chemical vapor deposition method

    International Nuclear Information System (INIS)

    Yao, Situ; Kamakura, Ryosuke; Murai, Shunsuke; Fujita, Koji; Tanaka, Katsuhisa

    2017-01-01

    We have synthesized polycrystalline thin film composed of a single phase of metastable bismuth iron garnet, Bi_3Fe_5O_1_2, on a fused silica substrate, one of the most widely utilized substrates in the solid-state electronics, by using mist chemical vapor deposition (mist CVD) method. The phase purity and stoichiometry are confirmed by X-ray diffraction and Rutherford backscattering spectrometry. The resultant thin film shows a small surface roughness of 3.251 nm. The saturation magnetization at room temperature is 1200 G, and the Faraday rotation angle at 633 nm reaches −5.2 deg/μm. Both the magnetization and the Faraday rotation angles are somewhat higher than those of polycrystalline BIG thin films prepared by other methods. - Highlights: • Thin film of polycrystalline Bi_3Fe_5O_1_2 was prepared by the mist CVD method. • Optimized conditions were found for the synthesis of single phase of Bi_3Fe_5O_1_2. • The Faraday rotation angle at 633 nm is –5.2 deg/μm at room temperature. • The Faraday rotation is interpreted by the electronic transitions of Fe"3"+ ions.

  6. High-temperature Infrared Transmission of Free-standing Diamond Films

    Directory of Open Access Journals (Sweden)

    HEI Li-fu

    2017-02-01

    Full Text Available The combination of low absorption and extreme mechanical and thermal properties make diamond a compelling choice for some more extreme far infrared (8-12 μm window applications. The optical properties of CVD diamond at elevated temperatures are critical to many of these extreme applications. The infrared transmission of free-standing diamond films prepared by DC arc plasma jet were studied at temperature varied conditions. The surface morphology, structure feature and infrared optical properties of diamond films were tested by optical microscope, X-ray diffraction, laser Raman and Fourier-transform infrared spectroscopy. The results show that the average transmittance for 8-12μm is decreased from 65.95% at 27℃ to 52.5% at 500℃,and the transmittance drop is in three stages. Corresponding to the drop of transmittance with the temperature, diamond film absorption coefficient increases with the rise of temperature. The influence of the change of surface state of diamond films on the optical properties of diamond films is significantly greater than the influence on the internal structure.

  7. P-type diamond stripper foils for tandem ion accelerators

    International Nuclear Information System (INIS)

    Phelps, A.W.; Koba, R.

    1989-01-01

    The authors are developing a stripper foil composed of a p-type diamond membrane. This diamond stripper foil should have a significantly longer lifetime than any conventional stripper foil material. To be useful for stripper foils, the boron-doped blue diamond films must be thinner than 0.8 μm and pore-free. Two methods are compared for their ability to achieve a high nucleation areal density on a W substrate. Some W substrates were first coated with think layer of boron (≤20 nm) in order to enhance nucleation. Other W substrates were scratched with submicron diamond particles. A schematic diagram of the stripper foil is shown. Stripper foils were created by etching away the central area of W substrates. The diamond membrane was then supported by an annulus of W. Tungsten was selected as a ring-support material because of its high electrical and thermal conductivity, relatively low thermal expansion, and proven suitability as a substrate for diamond CVD. Warping or fracture of the diamond film after substrate etch-back was investigated

  8. Radiation hard 3D diamond sensors for vertex detectors at HL-LHC

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00336619; Quadt, Arnulf; Grosse-Knetter, Jörn; Weingarten, Jens

    Diamond is a good candidate to replace silicon as sensor material in the innermost layer of a tracking detector at HL-LHC, due to its high radiation tolerance. After particle fluences of $10^{16}\\,{\\rm protons/cm^2}$, diamond sensors are expected to achieve a higher signal to noise ratio than silicon. In order to use low grade polycrystalline diamonds as sensors, electrodes inside the diamond bulk, so called 3D electrodes, are produced. Typically, this kind of diamond material has a lower charge collection distance (CCD) than higher grade diamond, which results in a decreased signal amplitude. With 3D electrodes it is possible to achieve full charge collection even in samples with low CCDs by decoupling the spacing of the electrodes from the thickness of the diamond bulk. The electrodes are produced using a femtosecond laser, which changes the phase of the diamond material. The phase changed material is conductive and identified as nanocrystalline graphite using Raman spectroscopy. Due to a crater like struct...

  9. Unravelling merging behaviors and electrostatic properties of CVD-grown monolayer MoS2 domains

    International Nuclear Information System (INIS)

    Hao, Song; Yang, Bingchu; Gao, Yongli

    2016-01-01

    The presence of grain boundaries is inevitable for chemical vapor deposition (CVD)-grown MoS 2 domains owing to various merging behaviors, which greatly limits its potential applications in novel electronic and optoelectronic devices. It is therefore of great significance to unravel the merging behaviors of the synthesized polygon shape MoS 2 domains. Here we provide systematic investigations of merging behaviors and electrostatic properties of CVD-grown polycrystalline MoS 2 crystals by multiple means. Morphological results exhibit various polygon shape features, ascribed to polycrystalline crystals merged with triangle shape MoS 2 single crystals. The thickness of triangle and polygon shape MoS 2 crystals is identical manifested by Raman intensity and peak position mappings. Three merging behaviors are proposed to illustrate the formation mechanisms of observed various polygon shaped MoS 2 crystals. The combined photoemission electron microscopy and kelvin probe force microscopy results reveal that the surface potential of perfect merged crystals is identical, which has an important implication for fabricating MoS 2 -based devices.

  10. Low-temperature (200 C or below) fabrication of diamond films for electronic application

    International Nuclear Information System (INIS)

    Hiraki, A.

    2003-01-01

    Fabrication of Diamond (including Diamond Like Carbon: DLC) films as electronic materials, for example: to be used as electron-emitter, requires several following conditions. They are: 1 ) Low temperature fabrication (or deposition on several substrates and sometimes ones with low melting point, like glasses) below 400 C, 2) Wide area film deposition onto wide substrates of several square inches, like Si wafer and glass substrate, 3) Reproducible deposition of well defined film quality, 4) others. In these respects, we have initiated, in the author's laboratories at Osaka University and Kochi University of Technology, a quite new approach to satisfy the above requirements by using microwave plasma CVD under a magnetic field to be called as m agneto-active plasma CVD . The films fabricated by the magnets-active plasma CVD and also recently by cathodic arc methods combined with cur special nano-seeding method, have been utilized for electron emitter to exhibit very high efficiency. (Author)

  11. Growth, characterization and device development in monocrystalline diamond films

    Science.gov (United States)

    Davis, R. F.; Glass, J. T.; Nemanich, R. J.; Bozeman, S. P.; Sowers, A. T.

    1995-06-01

    Experimental and theoretical studies concerned with interface interactions of diamond with Si, Ni, and Ni3Si substrates have been conducted. Oriented diamond films deposited on (100) Si were characterized by polar Raman, polar x-ray diffraction (XRD), and cross-sectional high resolution transmission electron microscopy (HRTEM). These sutides showed that the diamond(100)/Si(100) interface adopted the 3:2-match arrangement rather than a 45 deg rotation. Extended Hueckel tight-binding (EHTB) electronic structure calculations for a model system revealed that the interface interaction favors the 3:2-match arrangement. Growth on polycrystalline Ni3Si resulted in oriented diamond particles; under the same growth conditions, graphite was formed on the nickel substrate. Our EHTB electronic structure calculations showed that the (111) and (100) surfaces of Ni3Si have a strong preference for diamond nucleation over graphite nucleation, but this was not the case for the (111) and (100) surfaces of Ni.

  12. Nucleation and adhesion of diamond films on Co cemented tungsten carbide

    Energy Technology Data Exchange (ETDEWEB)

    Polini, R.; Santarelli, M.; Traversa, E.

    1999-12-01

    Diamond deposits were grown using hot filament chemical vapor deposition (CVD) on pretreated Co cemented tungsten carbide (WC-Co) substrates with an average grain size of 6 {micro}m. Depositions were performed with 0.5 or 1.0% methane concentration and with substrate temperatures ranging from 750 to 1,000 C. Diamond nucleation densities were measured by scanning electron microscopy. Scratched and bias-enhanced nucleation pretreated substrates showed the larger nucleation densities. Etching of the WC performed by Murakami's reagent, followed by surface-Co dissolution (MP pretreatment), led to a roughened but scarcely nucleating surface. The performance of a scratching prior to the MP pretreatment allowed one to increase the nucleation density, due scratching-induced defects, confined in the outermost layer of WC grains, which act as nucleation sites. Smaller nucleation densities were observed with increasing the substrate temperature and reducing the methane concentration, confirming that diamond nucleates via a heterogeneous process. The adhesion of continuous films was evaluated by the reciprocal of the slope of crack radius-indentation load functions. The substrate pretreatments mainly affected the film adhesion, while the influence of CVD process conditions was minor. The two main factors that improve the diamond film adhesion are the coating-substrate contact area and the surface-Co removal.

  13. Application of heat treatment and dispersive strengthening concept in interlayer deposition to enhance diamond film adherence

    Energy Technology Data Exchange (ETDEWEB)

    Lin Chiiruey [Tatung Inst. of Technol., Taipei (Taiwan, Province of China). Dept. of Mech. Eng.; Kuo Chengtzu; Chang Rueyming [Institute of Materials Science and Engineering, National Chiao Tung University, 1001 Ta-Hsueh Road, Hsinchu 30050 (Taiwan, Province of China)

    1997-10-31

    Two different deposition processes were carried out to enhance adherence of diamond films on WC+3-5%Co substrate with Ti-Si as the interlayer. One process can be called two-step diamond deposition process. Another process can be called interlayer heat treatment process. Diamond films were deposited by a microwave plasma chemical vapor deposition system. Ti and Si interlayer are deposited by DC sputter and an E-gun, respectively. Film morphologies, interface structure and film quality were examined by SEM, XRD, Auger electron spectroscopy and Raman spectroscopy. The residual stresses and adhesion strengths of the films were determined by Raman spectroscopy and indentation adhesion testing, respectively. Comparing the regular one-step diamond deposition process with the present two different new processes, the average dP/dX values, which are a measure of the adherence of the film, are 354 kgf/mm, 494 kgf/mm and 787 kgf/mm, respectively. In other words, the interlayer heat treatment process gives the best film adherence on average. For the two-step diamond deposition process, the interlayer thickness and the percent diamond surface coverage of the first diamond deposition step are the main parameters, and there exists an optimum Ti thickness and percent diamond coverage for the best film adherence. The main contribution to better film adherence is not a large difference in residual stress, but is due to the following reasons. The interlayer heat treatment can transform amorphous Si to polycrystalline Si, and may form strong TiC and SiC bonding. The polycrystalline Si and the diamond particles from the first diamond deposition step can be an effective seeds to enhance diamond nucleation. (orig.) 11 refs.

  14. Anisotropic electrical conduction and reduction in dangling-bond density for polycrystalline Si films prepared by catalytic chemical vapor deposition

    Science.gov (United States)

    Niikura, Chisato; Masuda, Atsushi; Matsumura, Hideki

    1999-07-01

    Polycrystalline Si (poly-Si) films with high crystalline fraction and low dangling-bond density were prepared by catalytic chemical vapor deposition (Cat-CVD), often called hot-wire CVD. Directional anisotropy in electrical conduction, probably due to structural anisotropy, was observed for Cat-CVD poly-Si films. A novel method to separately characterize both crystalline and amorphous phases in poly-Si films using anisotropic electrical conduction was proposed. On the basis of results obtained by the proposed method and electron spin resonance measurements, reduction in dangling-bond density for Cat-CVD poly-Si films was achieved using the condition to make the quality of the included amorphous phase high. The properties of Cat-CVD poly-Si films are found to be promising in solar-cell applications.

  15. CVD of SiC and AlN using cyclic organometallic precursors

    Science.gov (United States)

    Interrante, L. V.; Larkin, D. J.; Amato, C.

    1992-01-01

    The use of cyclic organometallic molecules as single-source MOCVD precursors is illustrated by means of examples taken from our recent work on AlN and SiC deposition, with particular focus on SiC. Molecules containing (AlN)3 and (SiC)2 rings as the 'core structure' were employed as the source materials for these studies. The organoaluminum amide, (Me2AlNH2)3, was used as the AlN source and has been studied in a molecular beam sampling apparatus in order to determine the gas phase species present in a hot-wall CVD reactor environment. In the case of SiC CVD, a series of disilacyclobutanes (Si(XX')CH2)2 (with X and X' = H, CH3, and CH2SiH2CH3), were examined in a cold-wall, hot-stage CVD reactor in order to compare their relative reactivities and prospective utility as single-source CVD precursors. The parent compound, disilacyclobutane, (SiH2CH2)2, was found to exhibit the lowest deposition temperature (ca. 670 C) and to yield the highest purity SiC films. This precursor gave a highly textured, polycrystalline film on the Si(100) substrates.

  16. The Magnetic Properties Of Aggregate Polycrystalline Diamond: Implications For Carbonado Petrogenesis

    Science.gov (United States)

    Kleteschka, Gunther; Taylor, Patrick T.; Wasilewski, Peter J.; Hill, Hugh G. M.

    2000-01-01

    Carbonados are a type of diamond, which are made up of many aggregrates of small crystalline diamonds or microdiamonds. The term "carbonado" comes from the Portuguese word carbonated. They are only found in sedimentary deposits in the Central African Republic (CAR) and the Bahia Province of Brazil. They were once the source of the world's supply of industrial diamonds. Their origin is uncertain but several mutually exclusive hypotheses have been proposed. This theories are: (1) extraterrestrial, that is they formed from the dust cloud of original solar nebulae; (2) produced by the high temperatures and pressures of the Earth's mantle; (3) or as the result of an extra-terrestrial impact into a carbon rich layer of sediment. Our study was done to further the understanding of their origin. We measured the magnetic properties on some twenty samples from the CAR. An earlier study was done on whole samples of carbonados and the "common" or kimberlitic diamond. Our work differed in that we started at the surface and subsequently removed the surface layers (by days of acid immersion) into the interior; measuring the magnetic properties at each interval. This procedure permits us to monitor the distribution of magnetic substances within the samples. Our results showed that the magnetic carriers are distributed on the surface including the open pores and that the carbonado interior is essentially non-magnetic. This result suggests that the initial formation environment was deficient in magnetic particles. Such a situation could indicate that their formation was the result of an extra-terrestrial body impacting carbon-rich sediment. Obviously, more work will be required on isotopic and chemical analyses before a more detailed ori-in can be determined.

  17. First result on biased CMOS MAPs-on-diamond devices

    Energy Technology Data Exchange (ETDEWEB)

    Kanxheri, K., E-mail: keida.kanxheri@pg.infn.it [Università degli Studi di Perugia, Perugia (Italy); INFN Perugia, Perugia (Italy); Citroni, M.; Fanetti, S. [LENS Firenze, Florence (Italy); Lagomarsino, S. [Università degli Studi di Firenze, Florence (Italy); INFN Firenze, Pisa (Italy); Morozzi, A. [Università degli Studi di Perugia, Perugia (Italy); INFN Perugia, Perugia (Italy); Parrini, G. [Università degli Studi di Firenze, Florence (Italy); Passeri, D. [Università degli Studi di Perugia, Perugia (Italy); INFN Perugia, Perugia (Italy); Sciortino, S. [Università degli Studi di Firenze, Florence (Italy); INFN Firenze, Pisa (Italy); Servoli, L. [INFN Perugia, Perugia (Italy)

    2015-10-01

    Recently a new type of device, the MAPS-on-diamond, obtained bonding a thinned to 25 μm CMOS Monolithic Active Pixel Sensor to a standard 500 μm pCVD diamond substrate, has been proposed and fabricated, allowing a highly segmented readout (10×10 μm pixel size) of the signal produced in the diamond substrate. The bonding between the two materials has been obtained using a new laser technique to deliver the needed energy at the interface. A biasing scheme has been adopted to polarize the diamond substrate to allow the charge transport inside the diamond without disrupting the functionalities of the CMOS Monolithic Active Pixel Sensor. The main concept of this class of devices is the capability of the charges generated in the diamond by ionizing radiation to cross the silicon–diamond interface and to be collected by the MAPS photodiodes. In this work we demonstrate that such passage occurs and measure its overall efficiency. This study has been carried out first calibrating the CMOS MAPS with monochromatic X-rays, and then testing the device with charged particles (electrons) either with and without biasing the diamond substrate, to compare the amount of signal collected.

  18. Room temperature CVD diamond X-ray and charged particle microdetectors

    CERN Document Server

    Vittone, E; Lo Giudice, A; Polesello, P; Manfredotti, C

    1999-01-01

    Hot filament chemical vapour deposition technique is particularly suitable for the realisation of diamond tip and wire detectors working in a coaxial geometry with a built-in internal metal electrode. By using tungsten wires of different diameters and by controlling the shape of the tip by an electrochemical etch, it is possible to obtain various kinds of microdetectors, with diameters ranging from 50 to 300 mu m. The response of these diamond tip and wire detectors has been tested at low X-ray energies (50-250 keV) and at relatively high energies (6-15 MeV) both in terms of sensitivity (collected charge with respect to the absorbed dose) and of linearity as a function of X-ray fluence. Sensitivities larger than 2 nC/Gy are achieved, with a good linearity in the dose rate range used in applications. Such microprobes have been proved to be suitable as narrow X-ray beam profilers and as surface or in vivo microdosimeters for on-line monitoring of radiotherapy plans. Such detectors have also been used as nuclear...

  19. Carbon diffusion in uncoated and titanium nitride coated iron substrates during microwave plasma assisted chemical vapor deposition of diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Manory, R.R.; Paterson, P.J.K.; Stuart, Sue-Anne

    1992-01-01

    Auger Electron Spectroscopy has been employed to investigate the effectiveness of thin films of TiN as barriers to carbon diffusion during Chemical Vapor Deposition (CVD) of diamond onto Fe substrates. Auger Depth Profiling was used to monitor the C concentration in the TiN layer, through the interface and into the substrate both before and after CVD diamond deposition. The results show that a layer of TiN only 250 Angstroems thick is sufficient to inhibit soot formation on the Fe surface and C diffusion into the Fe bulk. 14 refs., 4 figs

  20. Cutting force and wear evaluation in peripheral milling by CVD diamond dental tools

    International Nuclear Information System (INIS)

    Polini, R.; Allegri, A.; Guarino, S.; Quadrini, F.; Sein, H.; Ahmed, W.

    2004-01-01

    Co-cemented tungsten carbide (WC-Co) tools are currently employed in dental application for prosthesis fabrication. The deposition of a diamond coating onto WC-Co tools could allow both to increase the tool life and tool performance at higher speeds. However, at present it is very difficult to quantify the effective advantage of the application of a diamond coating onto dental tools compared to traditional uncoated tools. Therefore, in this work, we have deposited diamond coatings onto WC-Co dental tools having different geometries by Hot Filament Chemical Vapour Deposition (HFCVD). Prior to deposition, the WC-Co tools were pre-treated in order to roughen the surface and to modify the chemical surface composition. The use of the HFCVD process enabled the deposition of a uniform coating despite the complex geometries of the dental mills. For the first time, in accordance to the knowledge of the authors, we have studied and compared the cutting behaviour of both virgin and diamond-coated dental tools by measuring both wear and cutting force time evolution under milling a very hard Co-Cr-Mo dental alloy. To ensure constant cutting rate (20,000-r.p.m. cutting rate, 0.01-m/min feed rate and 0.5-mm depth of cut), a proper experimental apparatus was used. Three different mill geometries were considered in both coated and uncoated conditions. The results showed that, under the high-speed conditions employed, uncoated tools underwent to catastrophic failure within a few seconds of machining. Diamond-coated tools exhibited much longer tool lives. Lower forces were measured when the coated tool was employed due to the much lower material-mill friction. The best behaviour was observed for coated mills with the presence of a chip-breaker

  1. Unravelling merging behaviors and electrostatic properties of CVD-grown monolayer MoS{sub 2} domains

    Energy Technology Data Exchange (ETDEWEB)

    Hao, Song; Yang, Bingchu, E-mail: bingchuyang@csu.edu.cn [College of Physics and Electronics, Institute of Super Microstructure and Ultrafast Process in Advanced Materials, Central South University, 605 South Lushan Road, Changsha 410012 (China); Hunan Key Laboratory for Super-Microstructure and Ultrafast Process, Central South University, 932 South Lushan Road, Changsha 410012 (China); Gao, Yongli [College of Physics and Electronics, Institute of Super Microstructure and Ultrafast Process in Advanced Materials, Central South University, 605 South Lushan Road, Changsha 410012 (China); Hunan Key Laboratory for Super-Microstructure and Ultrafast Process, Central South University, 932 South Lushan Road, Changsha 410012 (China); Department of Physics and Astronomy, University of Rochester, Rochester, New York 14534 (United States)

    2016-08-28

    The presence of grain boundaries is inevitable for chemical vapor deposition (CVD)-grown MoS{sub 2} domains owing to various merging behaviors, which greatly limits its potential applications in novel electronic and optoelectronic devices. It is therefore of great significance to unravel the merging behaviors of the synthesized polygon shape MoS{sub 2} domains. Here we provide systematic investigations of merging behaviors and electrostatic properties of CVD-grown polycrystalline MoS{sub 2} crystals by multiple means. Morphological results exhibit various polygon shape features, ascribed to polycrystalline crystals merged with triangle shape MoS{sub 2} single crystals. The thickness of triangle and polygon shape MoS{sub 2} crystals is identical manifested by Raman intensity and peak position mappings. Three merging behaviors are proposed to illustrate the formation mechanisms of observed various polygon shaped MoS{sub 2} crystals. The combined photoemission electron microscopy and kelvin probe force microscopy results reveal that the surface potential of perfect merged crystals is identical, which has an important implication for fabricating MoS{sub 2}-based devices.

  2. Diamond MEMS: wafer scale processing, devices, and technology insertion

    Science.gov (United States)

    Carlisle, J. A.

    2009-05-01

    Diamond has long held the promise of revolutionary new devices: impervious chemical barriers, smooth and reliable microscopic machines, and tough mechanical tools. Yet it's been an outsider. Laboratories have been effectively growing diamond crystals for at least 25 years, but the jump to market viability has always been blocked by the expense of diamond production and inability to integrate with other materials. Advances in chemical vapor deposition (CVD) processes have given rise to a hierarchy of carbon films ranging from diamond-like carbon (DLC) to vapor-deposited diamond coatings, however. All have pros and cons based on structure and cost, but they all share some of diamond's heralded attributes. The best performer, in theory, is the purest form of diamond film possible, one absent of graphitic phases. Such a material would capture the extreme hardness, high Young's modulus and chemical inertness of natural diamond. Advanced Diamond Technologies Inc., Romeoville, Ill., is the first company to develop a distinct chemical process to create a marketable phase-pure diamond film. The material, called UNCD® (for ultrananocrystalline diamond), features grain sizes from 3 to 300 nm in size, and layers just 1 to 2 microns thick. With significant advantages over other thin films, UNCD is designed to be inexpensive enough for use in atomic force microscopy (AFM) probes, microelectromechanical machines (MEMS), cell phone circuitry, radio frequency devices, and even biosensors.

  3. Faraday effect of polycrystalline bismuth iron garnet thin film prepared by mist chemical vapor deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Yao, Situ; Kamakura, Ryosuke; Murai, Shunsuke; Fujita, Koji; Tanaka, Katsuhisa, E-mail: tanaka@dipole7.kuic.kyoto-u.ac.jp

    2017-01-15

    We have synthesized polycrystalline thin film composed of a single phase of metastable bismuth iron garnet, Bi{sub 3}Fe{sub 5}O{sub 12}, on a fused silica substrate, one of the most widely utilized substrates in the solid-state electronics, by using mist chemical vapor deposition (mist CVD) method. The phase purity and stoichiometry are confirmed by X-ray diffraction and Rutherford backscattering spectrometry. The resultant thin film shows a small surface roughness of 3.251 nm. The saturation magnetization at room temperature is 1200 G, and the Faraday rotation angle at 633 nm reaches −5.2 deg/μm. Both the magnetization and the Faraday rotation angles are somewhat higher than those of polycrystalline BIG thin films prepared by other methods. - Highlights: • Thin film of polycrystalline Bi{sub 3}Fe{sub 5}O{sub 12} was prepared by the mist CVD method. • Optimized conditions were found for the synthesis of single phase of Bi{sub 3}Fe{sub 5}O{sub 12}. • The Faraday rotation angle at 633 nm is –5.2 deg/μm at room temperature. • The Faraday rotation is interpreted by the electronic transitions of Fe{sup 3+} ions.

  4. Optimization of Cvd Diamond Coating Type on Micro Drills in Pcb Machining

    Science.gov (United States)

    Lei, X. L.; He, Y.; Sun, F. H.

    2016-12-01

    The demand for better tools for machining printed circuit boards (PCBs) is increasing due to the extensive usage of these boards in digital electronic products. This paper is aimed at optimizing coating type on micro drills in order to extend their lifetime in PCB machining. First, the tribotests involving micro crystalline diamond (MCD), nano crystalline diamond (NCD) and bare tungsten carbide (WC-Co) against PCBs show that NCD-PCB tribopair exhibits the lowest friction coefficient (0.35) due to the unique nano structure and low surface roughness of NCD films. Thereafter, the dry machining performance of the MCD- and NCD-coated micro drills on PCBs is systematically studied, using diamond-like coating (DLC) and TiAlN-coated micro drills as comparison. The experiments show that the working lives of these micro drills can be ranked as: NCD>TiAlN>DLC>MCD>bare WC-Co. The superior cutting performance of NCD-coated micro drills in terms of the lowest flank wear growth rate, no tool degradation (e.g. chipping, tool tipping) appearance, the best hole quality as well as the lowest feed force may come from the excellent wear resistance, lower friction coefficient against PCB as well as the high adhesive strength on the underneath substrate of NCD films.

  5. Fabrication of periodically ordered diamond nanostructures by microsphere lithography

    Czech Academy of Sciences Publication Activity Database

    Domonkos, Mária; Ižák, Tibor; Štolcová, L.; Proška, J.; Kromka, Alexander

    2014-01-01

    Roč. 251, č. 12 (2014), s. 2587-2592 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:68378271 Keywords : CVD growth * diamond * microsphere lithography * selective area deposition Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.489, year: 2014

  6. Optical and mechanical properties of diamond like carbon films ...

    Indian Academy of Sciences (India)

    Diamond like carbon (DLC) films were deposited on Si (111) substrates by microwave electron cyclotron resonance (ECR) plasma chemical vapour deposition (CVD) process using plasma of argon and methane gases. During deposition, a d.c. self-bias was applied to the substrates by application of 13.56 MHz rf power.

  7. Effect of Nano-Ni Catalyst on the Growth and Characterization of Diamond Films by HFCVD

    Directory of Open Access Journals (Sweden)

    Chien-Chung Teng

    2010-01-01

    Full Text Available Four different catalysts, nanodiamond seed, nano-Ni, diamond powder, and mixture of nano-Ni/diamond powder, were used to activate Si wafers for diamond film growth by hot-filament CVD (HFCVD. Diamond crystals were shown to grow directly on both large diamond powder and small nanodiamond seed, but a better crystallinity of diamond film was observed on the ultrasonicated nanodiamond seeded Si substrate. On the other hand, nano-Ni nanocatalysts seem to promote the formation of amorphous carbon but suppress transpolyacetylene (t-PA phases at the initial growth of diamond films. The subsequent nucleation and growth of diamond crystals on the amorphous carbon layer leads to generation of the spherical diamond particles and clusters prior to coalescence into continuous diamond films based on the CH3 addition mechanism as characterized by XRD, Raman, ATR/FT-IR, XPS, TEM, SEM, and AFM techniques. Moreover, a 36% reduction in surface roughness of diamond film assisted by nano-Ni catalyst is quite significant.

  8. Test of a Diamond Detector Using Unbunched Beam Halo Particles

    CERN Document Server

    Dehning, B; Pernegger, H; Dobos, D; Frais-Kolbl, H; Griesmayer, E

    2010-01-01

    A pCVD diamond detector has been evaluated as a beam loss monitor for future applications in the LHC accelerator. The test monitor was mounted in the SPS BA5 downstream of a LHC collimator during the LHC beam set-up. CVD diamond particle detectors are already in use in the CERN experiments ATLAS, CMS, LHCb and Alice. This is a proven technology with high radiation tolerance and very fast signal read-out. It can be used for single-particle detection, as well as for measuring particle cascades, for timing measurements on the nanosecond scale and for beam protection systems. Despite the read-out being made through 250 m of CK50 cable, the tests have shown a very good signal-to-noise ratio of 6.8, an excellent double-pulse resolution of less than 5 ns and a high dynamic range of 1:350 MIP particles. The efficiency of particle detection is practically 100% for charged particles.

  9. Diamond-Based Supercapacitors: Realization and Properties.

    Science.gov (United States)

    Gao, Fang; Nebel, Christoph E

    2016-10-26

    In this Spotlight on Applications, we describe our recent progress on the fabrication of surface-enlarged boron-doped polycrystalline diamond electrodes, and evaluate their performance in supercapacitor applications. We begin with a discussion of the fabrication methods of porous diamond materials. The diamond surface enlargement starts with a top-down plasma etching method. Although the extra surface area provided by surface roughening or nanostructuring provides good outcome for sensing applications, a capacitance value <1 mF cm -2 or a surface-enlargement factor <100 fail to meet the requirement of a practical supercapacitor. Driven by the need for large surface areas, we recently focused on the tempated-growth method. We worked on both supported and free-standing porous diamond materials to enhance the areal capacitance to the "mF cm -2 " range. With our newly developed free-standing diamond paper, areal capacitance can be multiplied by stacking multilayers of the electrode material. Finally, considering the fact that there is no real diamond-based supercapacitor device up to now, we fabricated the first prototype pouch-cell device based on the free-standing diamond paper to evaluate its performance. The results reveal that the diamond paper is suitable for operation in high potential windows (up to 2.5 V) in aqueous electrolyte with a capacitance of 0.688 mF cm -2 per layer of paper (or 0.645 F g -1 ). Impedance spectroscopy revealed that the operation frequency of the device exceeds 30 Hz. Because of the large potential window and the ability to work at high frequency, the specific power of the device reached 1 × 10 5 W kg -1 . In the end, we made estimations on the future target performance of diamond supercapacitors based on the existing information.

  10. Spallation Neutron Source SNS Diamond Stripper Foil Development

    International Nuclear Information System (INIS)

    Shaw, Robert W.; Plum, Michael A.; Wilson, Leslie L.; Feigerle, Charles S.; Borden, Michael J.; Irie, Y.; Sugai, I.; Takagi, A.

    2007-01-01

    Diamond stripping foils are under development for the SNS. Freestanding, flat 300 to 500 (micro)g/cm 2 foils as large as 17 x 25 mm 2 have been prepared. These nano-textured polycrystalline foils are grown by microwave plasma-assisted chemical vapor deposition in a corrugated format to maintain their flatness. They are mechanically supported on a single edge by a residual portion of their silicon growth substrate; fine foil supporting wires are not required for diamond foils. Six foils were mounted on the SNS foil changer in early 2006 and have performed well in commissioning experiments at reduced operating power. A diamond foil was used during a recent experiment where 15 (micro)C of protons, approximately 64% of the design value, were stored in the ring. A few diamond foils have been tested at LANSCE/PSR, where one foil was in service for a period of five months (820 C of integrated injected charge) before it was replaced. Diamond foils have also been tested in Japan at KEK (640 keV H - ) where their lifetimes slightly surpassed those of evaporated carbon foils, but fell short of those for Sugai's new hybrid boron carbon (HBC) foils.

  11. Diamond coating deposition by synergy of thermal and laser methods-A problem revisited

    International Nuclear Information System (INIS)

    Ristic, Gordana S.; Trtica, Milan S.; Bogdanov, Zarko D.; Romcevic, Nebojsa Z.; Miljanic, Scepan S.

    2007-01-01

    Diamond coatings were deposited by synergy of the hot filament CVD method and the pulse TEA CO 2 laser, in spectroactive and spectroinactive diamond precursor atmospheres. Resulting diamond coatings are interpreted relying on evidence of scanning electron microscopy as well as microRaman spectroscopy. Thermal synergy component (hot filament) possesses an activating agent for diamond deposition, and contributes significantly to quality and extent of diamond deposition. Laser synergy component comprises a solid surface modification as well as the spectroactive gaseous atmosphere modification. Surface modification consists in changes of the diamond coating being deposited and, at the same time, in changes of the substrate surface structure. Laser modification of the spectroactive diamond precursor atmosphere means specific consumption of the precursor, which enables to skip the deposition on a defined substrate location. The resulting process of diamond coating elimination from certain, desired locations using the CO 2 laser might contribute to tailoring diamond coatings for particular applications. Additionally, the substrate laser modification could be optimized by choice of a proper spectroactive precursor concentration, or by a laser radiation multiple pass through an absorbing medium

  12. Diamond Growth in the Subduction Factory

    Science.gov (United States)

    Bureau, H.; Frost, D. J.; Bolfan-Casanova, N.; Leroy, C.; Estève, I.

    2014-12-01

    Natural diamonds are fabulous probes of the deep Earth Interior. They are the evidence of the deep storage of volatile elements, carbon at first, but also hydrogen and chlorine trapped as hydrous fluids in inclusions. The study of diamond growth processes in the lithosphere and mantle helps for our understanding of volatile elements cycling between deep reservoirs. We know now that inclusion-bearing diamonds similar to diamonds found in nature (i.e. polycrystalline, fibrous and coated diamonds) can grow in hydrous fluids or melts (Bureau et al., GCA 77, 202-214, 2012). Therefore, we propose that the best environment to promote such diamonds is the subduction factory, where highly hydrous fluids or melts are present. When oceanic plates are subducted in the lithosphere, they carry an oceanic crust soaked with seawater. While the slabs are traveling en route to the mantle, dehydration processes generate saline fluids highly concentrated in NaCl. In the present study we have experimentally shown that diamonds can grow from the saline fluids (up to 30 g/l NaCl in water) generated in subducted slabs. We have performed multi-anvil press experiments at 6-7 GPa and from 1300 to 1400°C during 6:00 hours to 30:00 hours. We observed large areas of new diamond grown in epitaxy on pure diamond seeds in salty hydrous carbonated melts, forming coated gems. The new rims are containing multi-component primary inclusions. Detailed characterizations of the diamonds and their inclusions have been performed and will be presented. These experimental results suggest that multi-component salty fluids of supercritical nature migrate with the slabs, down to the deep mantle. Such fluids may insure the first stage of the deep Earth's volatiles cycling (C, H, halogen elements) en route to the transition zone and the lower mantle. We suggest that the subduction factory may also be a diamond factory.

  13. High pressure sintering (HP-HT) of diamond powders with titanium and titanium carbide

    International Nuclear Information System (INIS)

    Jaworska, L.

    1999-01-01

    Polycrystalline diamond compacts for cutting tools are mostly manufactured using high pressure sintering (HP-HT). The standard diamond compacts are prepared by diamond powders sintering with metallic binding phase. The first group of metallic binder are metals able to solve carbon - Co, Ni. The second group of metal binders are carbide forming elements - Ti, Cr, W and others. The paper describes high pressure sintering of diamond powder with titanium and nonstoichiometry titanium carbide for cutting tool application. A type of binding phase has the significant influence on microstructure and mechanical properties of diamond compacts. Very homogeneous structure was achieved in case of compacts obtained from metalized diamond where diamond-TiC-diamond connection were predominant. In the case of compacts prepared by mechanical mixing of diamond with titanium powders the obtained structure was nonhomogeneous with titanium carbide clusters. They had more diamond to diamond connections. These compacts compared to the compact made of metallized diamond have greater wear resistance. In the case of the diamond and TiC 0.92 sintering the strong bonding of TiC diamond grains was obtained. The microstructure observations for diamond with 5% wt. Ti and diamond with 5% wt. TiC 0.92 (the initial composition) compacts were performed in transmission microscope. For two type of compacts the strong bonding phase TiC without defects is creating. (author)

  14. Diamond-coated probe head for measurements in the deep SOL and beyond

    DEFF Research Database (Denmark)

    Schrittwieser, R.; Xu, G. S.; Yan, Ning

    We have tested two cylindrical graphite probe heads coated by a layer of electrically isolating UNCD (Ultra Nano-Crystalline Diamond) using a CVD (Chemical Vapour Deposition) method. The probe heads were mounted on the reciprocating probe manipulator of the Experimental Advanced Superconducting T...

  15. Diamond-based photoconductors for deep UV detection

    International Nuclear Information System (INIS)

    Balducci, A.; Bruzzi, M.; De Sio, A.; Donato, M.G.; Faggio, G.; Marinelli, M.; Messina, G.; Milani, E.; Morgada, M.E.; Pace, E.; Pucella, G.; Santangelo, S.; Scoccia, M.; Scuderi, S.; Tucciarone, A.; Verona-Rinati, G.

    2006-01-01

    This work reports on the development and characterization of bi-dimensional deep-UV sensor arrays based on synthetic diamond to address the requirements of space-born astrophysical experiments. The material was synthesized at the University of Rome 'Tor Vergata' where both heteroepitaxial polycrystalline diamond films and homoepitaxial single-crystal diamonds are grown using a tubular MWCVD reactor. The quality of chemical vapour deposited diamond was characterized by cathodoluminescence, photoluminescence, Raman spectroscopy and thermally stimulated currents. Then, suitable samples were selected and used to fabricate photoconductive single-pixel and 2D array devices by evaporating metal contacts on the growth surface. The electro-optical characterization of the devices was carried out in a wide spectral region, ranging from 120 to 2400 nm. A deuterium lamp and a 0.5 m vacuum monochromator were used to measure the detector responsivity under continuous monochromatic irradiation in the 120-250 nm spectral range, while an optical parametric oscillator tunable laser producing 5 ns pulses was used as light source from 210 up to 2400 nm. Time response, signal-to-noise ratio, responsivity and visible rejection factor were evaluated and the results are hereafter summarized

  16. Diamond-turning HP-21 beryllium to achieve an optical surface

    International Nuclear Information System (INIS)

    Allen, D.K.; Hauschildt, H.W.; Bryan, J.B.

    1975-01-01

    Investigation of diamond turning on beryllium was made in anticipation of obtaining an optical finish. Although results of past experiences were poor, it was decided to continue diamond turning on beryllium beyond initial failures. By changing speed and using coolant, partial success was achieved. Tool wear was the major problem. Tests were made to establish and plot wear as a function of cutting speed and time. Slower speeds did cause lower wear rates, but at no time did wear reach an acceptable level. The machine, tools, and procedure used were chosen based on the results of preliminary attempts and on previous experience. It was unnecessary to use an air-bearing spindle because tool failure governed the best finish that could be expected. All tools of diamond composition, whether single crystal or polycrystalline, wore at unacceptable rates. Based on present technology, it must be concluded that beryllium cannot be feasibly diamond turned to achieve an optical finish. (22 fig.)

  17. Boron-doped diamond electrode: synthesis, characterization, functionalization and analytical applications.

    Science.gov (United States)

    Luong, John H T; Male, Keith B; Glennon, Jeremy D

    2009-10-01

    In recent years, conductive diamond electrodes for electrochemical applications have been a major focus of research and development. The impetus behind such endeavors could be attributed to their wide potential window, low background current, chemical inertness, and mechanical durability. Several analytes can be oxidized by conducting diamond compared to other carbon-based materials before the breakdown of water in aqueous electrolytes. This is important for detecting and/or identifying species in solution since oxygen and hydrogen evolution do not interfere with the analysis. Thus, conductive diamond electrodes take electrochemical detection into new areas and extend their usefulness to analytes which are not feasible with conventional electrode materials. Different types of diamond electrodes, polycrystalline, microcrystalline, nanocrystalline and ultrananocrystalline, have been synthesized and characterized. Of particular interest is the synthesis of boron-doped diamond (BDD) films by chemical vapor deposition on various substrates. In the tetrahedral diamond lattice, each carbon atom is covalently bonded to its neighbors forming an extremely robust crystalline structure. Some carbon atoms in the lattice are substituted with boron to provide electrical conductivity. Modification strategies of doped diamond electrodes with metallic nanoparticles and/or electropolymerized films are of importance to impart novel characteristics or to improve the performance of diamond electrodes. Biofunctionalization of diamond films is also feasible to foster several useful bioanalytical applications. A plethora of opportunities for nanoscale analytical devices based on conducting diamond is anticipated in the very near future.

  18. Effect of high temperature annealing on the grain size of CVD-grown SiC and experimental PBMR TRISO coated particles

    CSIR Research Space (South Africa)

    Mokoduwe, SM

    2010-10-01

    Full Text Available in the PBMR fuel SiC layer. square samples were cut from the original sample received from ORNL and prepared for grain size Prague, Czech Republic, October 18 – 2000 °C. These no significant ion of how the 8] also ge is also of tal THODS -Si... for grain size determination Fig. 5: Influence of high temperature annealing on the CVD ORNL polycrystalline 3 C-SiC. Fig. 6: Influence of high temperature annealing on the polycrystalline 3 C-SiC layer of PBMR TRISO CP batches D and E...

  19. Synthetic diamond devices for medical dosimetry applied to radiotherapy

    International Nuclear Information System (INIS)

    Descamps, C.

    2007-06-01

    The aim of this thesis, lead in the framework of an integrated European project entitled M.A.E.S.T.R.O. for ' Methods and Advanced Equipment for Simulation and Treatment in Radio Oncology', was to develop and test synthetic diamond detector in clinical environment for new modalities used in radiotherapy. Diamond is a good candidate for the detection of high energy beams in medical fields. It can be used for passive dosimetry, as thermoluminescent dosimeters or for active dosimetry as ionisation chambers. These two applications are presented here. Concerning the thermoluminescence, several impurities or dopants (boron, phosphorus, and nitrogen) have been incorporated in the diamond films during growth, in order to modify the material dosimetric properties and a detailed study of nitrogen-containing films is proposed. The second part presents the results obtained in active dosimetry. Two guide lines were followed: the measurement set-up optimisation and the material modification. The first dosimetric studies under radiotherapy beams concerning nitrogen-containing polycrystalline diamond as well as high purity single crystal diamond are conclusive. The detectors behaviours are in agreement with the recommendations of the International Atomic Energy Agency (IAEA). (author)

  20. Raman spectroscopy study of the influence of processing conditions on the structure of polycrystalline diamond films

    International Nuclear Information System (INIS)

    Ramamurti, R.; Shanov, V.; Singh, R.N.; Mamedov, S.; Boolchand, P.

    2006-01-01

    Diamond films are prepared by microwave plasma-enhanced chemical-vapor deposition on Si (100) substrates using the H 2 -Ar-CH 4 gases. Raman scattering data, including the peak position, intensity, area, and width, are analyzed in depth and used to obtain the sp 3 - and sp 2 -bonded carbon contents and the nature of internal stresses in the films. Polarization behavior of the Raman peaks is analyzed to assess its role on the quantitative analysis of the diamond films, which suggested that the 1150 cm -1 Raman peak in nanocrystalline diamond films could be attributed to sp 2 -bonded carbon. The role of the H 2 /Ar content in the gas mixture and substrate temperature on the characteristics of the diamond film is studied. Thickness and grain size of diamond films are also determined by scanning electron microscopy and related to the deposition conditions and Raman results. Deposition conditions, which led to highest sp 3 -bonded carbon content and growth rate, are identified

  1. Development and Characterization of Diamond and 3D-Silicon Pixel Detectors with ATLAS-Pixel Readout Electronics

    CERN Document Server

    Mathes, Markus

    2008-01-01

    Hybrid pixel detectors are used for particle tracking in the innermost layers of current high energy experiments like ATLAS. After the proposed luminosity upgrade of the LHC, they will have to survive very high radiation fluences of up to 10^16 particles per cm^2 per life time. New sensor concepts and materials are required, which promise to be more radiation tolerant than the currently used planar silicon sensors. Most prominent candidates are so-called 3D-silicon and single crystal or poly-crystalline diamond sensors. Using the ATLAS pixel electronics different detector prototypes with a pixel geometry of 400 × 50 um^2 have been built. In particular three devices have been studied in detail: a 3D-silicon and a single crystal diamond detector with an active area of about 1 cm^2 and a poly-crystalline diamond detector of the same size as a current ATLAS pixel detector module (2 × 6 cm^2). To characterize the devices regarding their particle detection efficiency and spatial resolution, the charge collection ...

  2. Adhesive bonding and brazing of nanocrystalline diamond foil onto different substrate materials

    Science.gov (United States)

    Lodes, Matthias A.; Sailer, Stefan; Rosiwal, Stefan M.; Singer, Robert F.

    2013-10-01

    Diamond coatings are used in heavily stressed industrial applications to reduce friction and wear. Hot-filament chemical vapour deposition (HFCVD) is the favourable coating method, as it allows a coating of large surface areas with high homogeneity. Due to the high temperatures occurring in this CVD-process, the selection of substrate materials is limited. With the desire to coat light materials, steels and polymers a new approach has been developed. First, by using temperature-stable templates in the HFCVD and stripping off the diamond layer afterwards, a flexible, up to 150 μm thick and free standing nanocrystalline diamond foil (NCDF) can be produced. Afterwards, these NCDF can be applied on technical components through bonding and brazing, allowing any material as substrate. This two-step process offers the possibility to join a diamond layer on any desired surface. With a modified scratch test and Rockwell indentation testing the adhesion strength of NCDF on aluminium and steel is analysed. The results show that sufficient adhesion strength is reached both on steel and aluminium. The thermal stress in the substrates is very low and if failure occurs, cracks grow undercritically. Adhesion strength is even higher for the brazed samples, but here crack growth is critical, delaminating the diamond layer to some extent. In comparison to a sample directly coated with diamond, using a high-temperature CVD interlayer, the brazed as well as the adhesively bonded samples show very good performance, proving their competitiveness. A high support of the bonding layer could be identified as crucial, though in some cases a lower stiffness of the latter might be acceptable considering the possibility to completely avoid thermal stresses which occur during joining at higher temperatures.

  3. Calculation of Debye-Scherrer diffraction patterns from highly stressed polycrystalline materials

    Energy Technology Data Exchange (ETDEWEB)

    MacDonald, M. J., E-mail: macdonm@umich.edu [Applied Physics Program, University of Michigan, Ann Arbor, Michigan 48109 (United States); SLAC National Accelerator Laboratory, Menlo Park, California 94025 (United States); Vorberger, J. [Helmholtz Zentrum Dresden-Rossendorf, 01328 Dresden (Germany); Gamboa, E. J.; Glenzer, S. H.; Fletcher, L. B. [SLAC National Accelerator Laboratory, Menlo Park, California 94025 (United States); Drake, R. P. [Climate and Space Sciences and Engineering, Applied Physics, and Physics, University of Michigan, Ann Arbor, Michigan 48109 (United States)

    2016-06-07

    Calculations of Debye-Scherrer diffraction patterns from polycrystalline materials have typically been done in the limit of small deviatoric stresses. Although these methods are well suited for experiments conducted near hydrostatic conditions, more robust models are required to diagnose the large strain anisotropies present in dynamic compression experiments. A method to predict Debye-Scherrer diffraction patterns for arbitrary strains has been presented in the Voigt (iso-strain) limit [Higginbotham, J. Appl. Phys. 115, 174906 (2014)]. Here, we present a method to calculate Debye-Scherrer diffraction patterns from highly stressed polycrystalline samples in the Reuss (iso-stress) limit. This analysis uses elastic constants to calculate lattice strains for all initial crystallite orientations, enabling elastic anisotropy and sample texture effects to be modeled directly. The effects of probing geometry, deviatoric stresses, and sample texture are demonstrated and compared to Voigt limit predictions. An example of shock-compressed polycrystalline diamond is presented to illustrate how this model can be applied and demonstrates the importance of including material strength when interpreting diffraction in dynamic compression experiments.

  4. Characterization of semiconductor and frontier materials by nuclear microprobe technology

    International Nuclear Information System (INIS)

    Zhu Jieqing; Li Xiaolin; Yang Changyi; Lu Rongrong; Wang Jiqing; Guo Panlin

    2002-01-01

    The nuclear microprobe technology is used to characterize the properties of semiconductor and other frontier materials at the stages of their synthesis, modification, integration and application. On the basis of the beam current being used, the analytical nuclear microprobe techniques being used in this project can be divided into two categories: high beam current (PIXE, RBS, PEB) or low beam current (IBIC, STIM) techniques. The material properties measured are the thickness and composition of a composite surface on a SiC ceramic, the sputtering-induced surface segregation and depth profile change in a Ag-Cu binary alloy, the irradiation effects on the CCE of CVD diamond, the CCE profile at a polycrystalline CVD diamond film and a GaAs diode at different voltage biases and finally, the characterization of individual sample on an integrated material chip. (author)

  5. Application of Chlorine-Assisted Chemical Vapor Deposition of Diamond at Low Temperatures

    Science.gov (United States)

    Pan, Chenyu; Altemir, David A.; Margrave, John L.; Hauge, Robert H.

    1994-01-01

    Low temperature deposition of diamond has been achieved by a chlorine-assisted diamond chemical vapor deposition (CA-CVD) process. This method begins with the thermal dissociation of molecular chlorine into atomic chlorine in a resistively heated graphite furnace at temperatures between 1300 and 1500 deg. C. The atomic chlorine, upon mixing, subsequently reacts with molecular hydrogen and hydrocarbons. The rapid exchange reactions between the atomic chlorine, molecular hydrogen, and hydrocarbons give rise to the atomic hydrogen and carbon precursors required for diamond deposition. Homoepitaxial diamond growth on diamond substrates has been studied over the substrate temperature range of 100-950 C. It was found that the diamond growth rates are approximately 0.2 microns/hr in the temperature range between 102 and 300 C and that the growth rates do not decrease significantly with a decrease in substrate temperature. This is unique because the traditional diamond deposition using H2/CH4 systems usually disappears at substrate temperatures below approx. 500 deg. C. This opens up a possible route to the deposition of diamond on low-melting point materials such as aluminum and its alloys.

  6. Rotary Ultrasonic Machining of Poly-Crystalline Cubic Boron Nitride

    Directory of Open Access Journals (Sweden)

    Kuruc Marcel

    2014-12-01

    Full Text Available Poly-crystalline cubic boron nitride (PCBN is one of the hardest material. Generally, so hard materials could not be machined by conventional machining methods. Therefore, for this purpose, advanced machining methods have been designed. Rotary ultrasonic machining (RUM is included among them. RUM is based on abrasive removing mechanism of ultrasonic vibrating diamond particles, which are bonded on active part of rotating tool. It is suitable especially for machining hard and brittle materials (such as glass and ceramics. This contribution investigates this advanced machining method during machining of PCBN.

  7. Recent results from CVD-diamond heavy-ion detectors

    International Nuclear Information System (INIS)

    Berdermann, E.; Fischer, B.E.; Schloegl, M.; Stelzer, H.; Voss, B.

    2000-03-01

    Latest results from radiation hardness measurements as well as single-particle pulse shape parameters, pulse-height distributions and time spectra are presented. An intrinsic time resolution of 29 ps is achieved with 52 Cr ions of 650 MeV/amu and of 53 ps with 12 C ions of 1.5 Gev/amu, respectively. The resolution is by 20% worse when increasing the beam intensity from 10 6 ions/s to 10 8 ions/s. Performing 58 Ni fragmentation, collected charge distributions are measured in the range 15 241 Am-α-distributions increasing the electric field applied to the detector. In order to visualize and to quantify the influence of the electric field as well as of the total particle fluence to the charge-collection efficiency micro-beam measurements are performed with 12 C ions of 5.9 MeV/amu stopped in the diamond bulk. Pulse-height spectra and charge-collection maps under different conditions are discussed. (orig.)

  8. Nanocrystalline sp{sup 2} and sp{sup 3} carbons: CVD synthesis and applications

    Energy Technology Data Exchange (ETDEWEB)

    Terranova, M. L. [Università degli Studi di Roma “Tor Vergata,” via Della Ricerca Scientifica, Dipartimento di Scienze e Tecnologie Chimiche—MinimaLab (Italy); Rossi, M. [Università degli Studi di Roma “Sapienza,” via A. Scarpa, Dipartimento di Scienze di Base e Applicate per l’Ingegneria and Centro di Ricerca per le Nanotecnologie Applicate all’Ingegneria (CNIS) (Italy); Tamburri, E., E-mail: emanuela.tamburri@uniroma2.it [Università degli Studi di Roma “Tor Vergata,” via Della Ricerca Scientifica, Dipartimento di Scienze e Tecnologie Chimiche—MinimaLab (Italy)

    2016-11-15

    The design and production of innovative materials based on nanocrystalline sp{sup 2}- and sp{sup 3}-coordinated carbons is presently a focus of the scientific community. We present a review of the nanostructures obtained in our labs using a series of synthetic routes, which make use of chemical vapor deposition (CVD) techniques for the selective production of non-planar graphitic nanostructures, nanocrystalline diamonds, and hybrid two-phase nanostructures.

  9. Fabrication of planarised conductively patterned diamond for bio-applications

    Energy Technology Data Exchange (ETDEWEB)

    Tong, Wei [School of Physics, University of Melbourne, Parkville, Victoria (Australia); Fox, Kate, E-mail: kfox@unimelb.edu.au [School of Physics, University of Melbourne, Parkville, Victoria (Australia); Ganesan, Kumaravelu [School of Physics, University of Melbourne, Parkville, Victoria (Australia); Turnley, Ann M. [Department of Anatomy and Neuroscience, University of Melbourne, Parkville, Victoria (Australia); Shimoni, Olga [School of Physics, University of Melbourne, Parkville, Victoria (Australia); Tran, Phong A. [Department of Chemical and Biomolecular Engineering, University of Melbourne, Parkville, Victoria (Australia); Lohrmann, Alexander; McFarlane, Thomas; Ahnood, Arman; Garrett, David J. [School of Physics, University of Melbourne, Parkville, Victoria (Australia); Meffin, Hamish [National Information and Communication Technology Australia, Victoria 3010 (Australia); Department of Electrical and Electronic Engineering, University of Melbourne, Victoria 3010 (Australia); O' Brien-Simpson, Neil M.; Reynolds, Eric C. [Oral Health Cooperative Research Centre, Melbourne Dental School, The University of Melbourne, 720 Swanston Street, Victoria 3010 (Australia); Prawer, Steven [School of Physics, University of Melbourne, Parkville, Victoria (Australia)

    2014-10-01

    The development of smooth, featureless surfaces for biomedical microelectronics is a challenging feat. Other than the traditional electronic materials like silicon, few microelectronic circuits can be produced with conductive features without compromising the surface topography and/or biocompatibility. Diamond is fast becoming a highly sought after biomaterial for electrical stimulation, however, its inherent surface roughness introduced by the growth process limits its applications in electronic circuitry. In this study, we introduce a fabrication method for developing conductive features in an insulating diamond substrate whilst maintaining a planar topography. Using a combination of microwave plasma enhanced chemical vapour deposition, inductively coupled plasma reactive ion etching, secondary diamond growth and silicon wet-etching, we have produced a patterned substrate in which the surface roughness at the interface between the conducting and insulating diamond is approximately 3 nm. We also show that the patterned smooth topography is capable of neuronal cell adhesion and growth whilst restricting bacterial adhesion. - Highlights: • We have fabricated a planar diamond device with conducting and insulating features. • A precise method is provided using CVD and RIE techniques to develop the substrate. • The step between conducting and insulating features is less than 3 nm. • Planar topography promotes neuronal cell adhesion and restricts bacterial adhesion. • Neuronal cells prefer conductive diamond (N-UNCD) to non-conductive diamond (UNCD)

  10. Periodically arranged benzene-linker molecules on boron-doped single-crystalline diamond films for DNA

    Czech Academy of Sciences Publication Activity Database

    Shin, D.; Tokuda, N.; Rezek, Bohuslav; Nebel, C.E.

    2006-01-01

    Roč. 8, - (2006), s. 844-850 ISSN 1388-2481 Institutional research plan: CEZ:AV0Z10100521 Keywords : electrochemical surface modification * single-crystalline CVD diamond * covalent DNA Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.484, year: 2006

  11. Ion-implantation of erbium into the nanocrystalline diamond thin films

    Czech Academy of Sciences Publication Activity Database

    Nekvindová, P.; Babchenko, Oleg; Cajzl, J.; Kromka, Alexander; Macková, Anna; Malinský, Petr; Oswald, Jiří; Prajzler, Václav; Remeš, Zdeněk; Varga, Marián

    2016-01-01

    Roč. 18, 7-8 (2016), s. 679-684 ISSN 1454-4164 R&D Projects: GA ČR(CZ) GA14-05053S; GA MŠk(CZ) LM2011019 Institutional support: RVO:68378271 ; RVO:61389005 Keywords : nanocrystalline diamond * optical waveguides * erbium * luminescence * ion implantation * CVD Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 0.449, year: 2016

  12. Ion beam induced luminescence characterisation of CVD diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Bettiol, A.A.; Gonon, P.; Jamieson, D.N. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    The characterisation of the band structure properties of materials and devices by ion microprobe techniques has been made possible at the Melbourne MeV ion microprobe facility with the development of Ion Beam Induced Luminescence (IBIL). A number of diamond films grown by Microwave Plasma Chemical Vapour Deposition (MPCVD) on silicon substrates are analysed. A preliminary study of the luminescence properties of these samples has revealed information not previously obtainable via traditional microprobe techniques. The optical effects of incorporating dopants during the deposition process is determined using IBIL. The presence of trace element impurities introduced during growth is examined by Particle Induced X-ray Emission (PIXE), and a measurement of the film thickness is made using Rutherford Backscattering Spectrometry (RBS). 7 refs., 2 figs.

  13. Ion beam induced luminescence characterisation of CVD diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Bettiol, A A; Gonon, P; Jamieson, D N [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    The characterisation of the band structure properties of materials and devices by ion microprobe techniques has been made possible at the Melbourne MeV ion microprobe facility with the development of Ion Beam Induced Luminescence (IBIL). A number of diamond films grown by Microwave Plasma Chemical Vapour Deposition (MPCVD) on silicon substrates are analysed. A preliminary study of the luminescence properties of these samples has revealed information not previously obtainable via traditional microprobe techniques. The optical effects of incorporating dopants during the deposition process is determined using IBIL. The presence of trace element impurities introduced during growth is examined by Particle Induced X-ray Emission (PIXE), and a measurement of the film thickness is made using Rutherford Backscattering Spectrometry (RBS). 7 refs., 2 figs.

  14. NEXAFS Study of the Annealing Effect on the Local Structure of FIB-CVD DLC

    International Nuclear Information System (INIS)

    Saikubo, Akihiko; Kato, Yuri; Igaki, Jun-ya; Kanda, Kazuhiro; Matsui, Shinji; Kometani, Reo

    2007-01-01

    Annealing effect on the local structure of diamond like carbon (DLC) formed by focused ion beam-chemical vapor deposition (FIB-CVD) was investigated by the measurement of near edge x-ray absorption fine structure (NEXAFS) and energy dispersive x-ray (EDX) spectra. Carbon K edge absorption NEXAFS spectrum of FIB-CVD DLC was measured in the energy range of 275-320 eV. In order to obtain the information on the location of the gallium in the depth direction, incidence angle dependence of NEXAFS spectrum was measured in the incident angle range from 0 deg. to 60 deg. . The peak intensity corresponding to the resonance transition of 1s→σ* originating from carbon-gallium increased from the FIB-CVD DLC annealed at 200 deg. C to the FIB-CVD DLC annealed at 400 deg. C and decreased from that at 400 deg. C to that at 600 deg. C. Especially, the intensity of this peak remarkably enhanced in the NEXAFS spectrum of the FIB-CVD DLC annealed at 400 deg. C at the incident angle of 60 deg. . On the contrary, the peak intensity corresponding to the resonance transition of 1s→π* originating from carbon double bonding of emission spectrum decreased from the FIB-CVD DLC annealed at 200 deg. C to that at 400 deg. C and increased from that at 400 deg. C to that at 600 deg. C. Gallium concentration in the FIB-CVD DLC decreased from ≅2.2% of the as-deposited FIB-CVD DLC to ≅1.5% of the FIB-CVD DLC annealed at 600 deg. C from the elementary analysis using EDX. Both experimental results indicated that gallium atom departed from FIB-CVD DLC by annealing at the temperature of 600 deg. C

  15. Elasticity and hardness of nano-polycrystalline boron nitrides: The apparent Hall-Petch effect

    International Nuclear Information System (INIS)

    Nagakubo, A.; Ogi, H.; Hirao, M.; Sumiya, H.

    2014-01-01

    Nano-polycrystalline boron nitride (BN) is expected to replace diamond as a superhard and superstiff material. Although its hardening was reported, its elasticity remains unclear and the as-measured hardness could be significantly different from the true value due to the elastic recovery. In this study, we measured the longitudinal-wave elastic constant of nano-polycrystalline BNs using picosecond ultrasound spectroscopy and confirmed the elastic softening for small-grain BNs. We also measured Vickers and Knoop hardness for the same specimens and clarified the relationship between hardness and stiffness. The Vickers hardness significantly increased as the grain size decreased, while the Knoop hardness remained nearly unchanged. We attribute the apparent increase in Vickers hardness to the elastic recovery and propose a model to support this insight.

  16. Studies of internal stress in diamond films prepared by DC plasma chemical vapour deposition

    International Nuclear Information System (INIS)

    Wang Wanlu; Gao Jinying; Liao Kejun; Liu Anmin

    1992-01-01

    The internal stress in diamond thin films deposited by DC plasma CVD was studied as a function of methane concentration and deposited temperature. Experimental results have shown that total stress in diamond thin films is sensitive to the deposition conditions. The results also indicate that the compressive stress can be explained in terms of amorphous state carbon and hydrogen, and tensile stress is ascribed to the grain boundary relaxation model due to high internal surface area and microstructure with voids

  17. Investigation of electrically-active deep levels in single-crystalline diamond by particle-induced charge transient spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Kada, W., E-mail: kada.wataru@gunma-u.ac.jp [Faculty of Science and Technology, Gunma University, Kiryu, Gunma 376-8515 (Japan); Kambayashi, Y.; Ando, Y. [Faculty of Science and Technology, Gunma University, Kiryu, Gunma 376-8515 (Japan); Japan Atomic Energy Agency, Takasaki, Gunma 370-1292 (Japan); Onoda, S. [Japan Atomic Energy Agency, Takasaki, Gunma 370-1292 (Japan); Umezawa, H.; Mokuno, Y. [National Institute of Advanced Industrial Science and Technology (AIST), 1-8-31 Midorigaoka, Ikeda, Osaka 563-8577 (Japan); Shikata, S. [Kwansei Gakuin Univ., 2-1, Gakuen, Mita, Hyogo 669-1337 (Japan); Makino, T.; Koka, M. [Japan Atomic Energy Agency, Takasaki, Gunma 370-1292 (Japan); Hanaizumi, O. [Faculty of Science and Technology, Gunma University, Kiryu, Gunma 376-8515 (Japan); Kamiya, T.; Ohshima, T. [Japan Atomic Energy Agency, Takasaki, Gunma 370-1292 (Japan)

    2016-04-01

    To investigate electrically-active deep levels in high-resistivity single-crystalline diamond, particle-induced charge transient spectroscopy (QTS) techniques were performed using 5.5 MeV alpha particles and 9 MeV carbon focused microprobes. For unintentionally-doped (UID) chemical vapor deposition (CVD) diamond, deep levels with activation energies of 0.35 eV and 0.43 eV were detected which correspond to the activation energy of boron acceptors in diamond. The results suggested that alpha particle and heavy ion induced QTS techniques are the promising candidate for in-situ investigation of deep levels in high-resistivity semiconductors.

  18. Ultrafast photoluminescence spectroscopy of H- and O-terminated nanocrystalline diamond films

    Czech Academy of Sciences Publication Activity Database

    Dzurňák, B.; Trojánek, F.; Preclíková, J.; Kromka, Alexander; Rezek, Bohuslav; Malý, P.

    2011-01-01

    Roč. 20, č. 8 (2011), 1155-1159 ISSN 0925-9635 R&D Projects: GA AV ČR KAN400100701; GA ČR GD202/09/H041 Institutional research plan: CEZ:AV0Z10100521 Keywords : diamond * femtosecond photoluminescence spectroscopy * CVD Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.913, year: 2011

  19. PREFACE: Science's gem: diamond science 2009 Science's gem: diamond science 2009

    Science.gov (United States)

    Mainwood, Alison; Newton, Mark E.; Stoneham, Marshall

    2009-09-01

    diamond's exceptional properties for quantum information processing [2], a topic on which there have been many recent papers, and where a diamond colour centre single photon source is already commercially available. Biomedical applications of diamond are recognised, partly tribological and partly electrochemical, but lie outside the present group of papers. Processing and controlling diamond surfaces and interfaces with other materials in their environment are critical steps en route to exploitation. Boron-doped diamond has already found application in electro-analysis and in the bulk oxidation of dissolved species in solution [3]. Energy-related applications—ranging from high-power electronics [3] to a potential first wall of fusion reactors [4]—are further exciting potential applications. Even small and ugly diamonds have value. Their mechanical properties [5] dominate, with significant niche applications such as thermal sinks. The major applications for diamond to date exploit only a fraction of diamond's special properties: visual for status diamonds, and mechanical for working diamonds. Diamond physics reaches well beyond the usual laboratory, to the geological diamond formation processes in the Earth's mantle. Characterization of natural gem diamonds [6, 7] is one part of the detective story that allows us to understand the conditions under which they formed. It was only half a century ago that the scientific and technological challenges of diamond synthesis were met systematically. Today, most of the recent research on diamond has concentrated on synthetics, whether created using high pressure, high temperature (HPHT) techniques or chemical vapour deposition (CVD). The HPHT synthesis of diamond has advanced dramatically [8, 9] to the extent that dislocation birefringence [10] can be largely eliminated. In silicon technology, the elimination of dislocations was a major step in microelectronics. Now, even diamond can be synthesised containing virtually no

  20. Diamonds are forever: drill bit advances may offer cheaper and stronger alternatives

    Energy Technology Data Exchange (ETDEWEB)

    Mahoney, J.

    2001-02-01

    The rise to prominence of polycrystalline diamond compact (PDC) and diamond-impregnated drill bits, slowly providing stiff competition to the roller-cone type bits that for many years was the standard in the drilling industry, is discussed. A roller-cone drill bit, although much improved by heat treatment of the metal and the addition of tungsten carbide, is still mostly steel. It works by crushing the rock by overcoming its compressive strength, whereas PDC drill bits shear the rock away in a manner similar to scraping ice from a car windshield. PDC bits typically have three to six cutting surfaces, each one edged with a row of polycrystalline diamond cutters, bonded to a tungsten carbide base by a process called microwave sintering. Compared to roller cones, PDCs drill at least twice as fast, especially in the soft rock and clay where they have been used principally. In addition to saving rig time, PDC bits can handle longer runs; in the right application it is possible to drill the total depth of a well with only one bit. The microwave-sintered tungsten carbide also has higher corrosion resistance than the same material bonded under high pressure; PDCs are also less subject to mechanical failure than roller cones which use moveable bearings, seals and rotating cones. 1 photo.

  1. Microcontact printing of monodiamond nanoparticles: an effective route to patterned diamond structure fabrication.

    Science.gov (United States)

    Zhuang, Hao; Song, Bo; Staedler, Thorsten; Jiang, Xin

    2011-10-04

    By combining microcontact printing with a nanodiamond seeding technique, a precise micrometer-sized chemical vapor deposition (CVD) diamond pattern have been obtained. On the basis of the guidance of basic theoretical calculations, monodisperse detonation nanodiamonds (DNDs) were chosen as an "ink" material and oxidized poly(dimethylsiloxane) (PDMS) was selected to serve as a stamp because it features a higher interaction energy with the DNDs compared to that of the original PDMS. The adsorption kinetics shows an approximately exponential law with a maximum surface DND density of 3.4 × 10(10) cm(-2) after 20 min. To achieve a high transfer ratio of DNDs from the PDMS stamp to a silicon surface, a thin layer of poly(methyl methacrylate) (PMMA) was spin coated onto the substrates. A microwave plasma chemical vapor deposition system was used to synthesize the CVD diamond on the seeded substrate areas. Precise diamond patterns with a low expansion ratio (3.6%) were successfully prepared after 1.5 h of deposition. Further increases in the deposition time typically lead to a high expansion rate (∼0.8 μm/h). The general pattern shape, however, did not show any significant change. Compared with conventional diamond pattern deposition methods, the technique described here offers the advantages of being simple, inexpensive, damage-free, and highly compatible, rendering it attractive for a broad variety of industrial applications. © 2011 American Chemical Society

  2. Electronic and optical properties of diamond/organic semiconductor heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Gajewski, Wojciech; Garrido, Jose; Niedermeier, Martin; Stutzmann, Martin [Walter Schottky Institute, TU Muenchen, Am Coulombwall 3, 85748 Garching (Germany); Williams, Oliver; Haenen, Ken [Institute for Materials Research, University of Hasselt, Wetenschapspark 1, BE-3590 Diepenbeek (Belgium)

    2007-07-01

    Different diamond substrates (single crystalline: SCD, poly-crystalline: PCD and nano-crystalline: NCD) were used to investigate the electronic and optical properties of the diamond/organic semiconductor heterostructures. Layers of a poly[ethynyl-(2-decyloxy-5methoxy)benzene] - PEB, pentacene and 4-nitro-biphenyl-4-diazonium cations - Ph-Ph-NO{sub 2} were prepared by spin coating, thermal evaporation and grafting, respectively. The measurements of the electronic transport along the organic layer were performed using a Hg probe as well as Hall effect measurements in the temperature range 70-400 K. The I-V characteristics of the B-doped diamond/organic semiconductor heterostructures were measured at room temperature by means of the Hg probe. Undoped IIa and undoped PCD films were used for a study of the optical and optoelectronic properties of prepared heterostructures. The influence of the organic layer homogeneity and layer thickness on the optical properties will be discussed. Furthermore, preliminary data on perpendicular and parallel transport in the heterostructures layer will be reported.

  3. Stagnant zone formation on diamond cutting tools during machining

    International Nuclear Information System (INIS)

    Izman, S.; Tamin, M.N.; Mon, T.T.; Venkatesh, V.C.; Shaharoun, A.M.

    2007-01-01

    Formation of an intact region on the rake face of cutting tool during machining is quite common phenomenon but its significance in maintaining tool edge sharpness has not been recognized by many researchers. This region is sometimes called stagnant zone. It is believed that when an intact zone present on the rake face, it delays the crater wear progress and hence maintaining the tool edge sharpness longer. This paper investigates the effect of edge radius, surface roughness of the rake face and cutting parameters on the formation of stagnant zone on two different type of diamond tools i.e. polycrystalline diamond PCD-KD100 and diamond-coated inserts when machining titanium alloy. The used inserta and post-processed chips were examined under FESEM and optical microscope after cutting at three different conditions. Experimental results show that the speed and feel, the tool edge radius, and the tool rake surface roughness significantly affect the stagnant zone formation. (author)

  4. Wear studies on diamond layers; Verschleissuntersuchungen an Diamantschichten

    Energy Technology Data Exchange (ETDEWEB)

    Deuerler, F. [Wuppertal Univ. (Gesamthochschule) (Germany). Fachgebiet Materialkunde; Pohl, M.; Tikana, L. [Bochum Univ. (Germany). Inst. fuer Werkstoffe

    2000-08-01

    Wear studies were carried out on thin CVD diamond layers on WC/Co hard metal substrate. The diamond and hard metal system was exposed to abrasive particles, and the time to initial failure and the size of the wear crater were recorded. In the cavitation test, vibrational cavitation is induced by a sonotrode immersed in a liquid and excited by ultrasonic waves. The wear damage on the surface are recorded by quantitative image analysis as percent surface damage. The mechanism of layer failure (adhesive, cohesive) can be assessed qualitatively by means of SEM pilctures. The effects of surface pretreatment on the adhesive strength of the coating are investigated as well. [German] Das Verhalten von duennen CVD-Diamantschichten auf WC/Co-Hartmetallsubstraten unter Verschleissbeanspruchung wird beschrieben. Dabei wird der Schichtverbund Diamant-Hartmetall im Strahlverschleisstest abrasiven Partikeln ausgesetzt und die Zeit bis zum ersten Schichtversagen sowie die Groesse des Verschleisskraters registriert. Beim Kavitationstest erzeugt eine durch Ultraschall angeregte Sonotrode, die in eine Fluessigkeit eintaucht, Schwingungskavitation. Die durch Oberflaechenzerruettung verursachten Verschleissschaeden an der Schichtoberflaeche werden ueber quantitative Bildanalyse als prozentuale Flaechenschaedigung erfasst. Der Mechanismus des Schichtversagens (adhaesiv, kohaesiv) kann anhand von REM-Aufnahmen qualitativ beurteilt werden. Die Auswirkungen einer Vorbehandlung der Oberflaeche des Hartmetalls auf die fuer die Anwendung massgebliche Haftfestigkeit der Beschichtung werden betrachtet. (orig.)

  5. Diamond films on stainless steel substrates with an interlayer applied by laser cladding

    Energy Technology Data Exchange (ETDEWEB)

    Contin, Andre; Alves, Kenya Aparecida; Damm, Djoille Denner; Trava-Airoldi, Vladimir Jesus; Corat, Evaldo Jose, E-mail: andrecontin@yahoo.com.br [Instituto Nacional de Pesquisas Espaciais (LAS/INPE), Sao Jose dos Campos, SP (Brazil). Laboratorio Associado de Sensores e Materiais; Campos, Raonei Alves [Universidade Federal do Sul e Sudeste do Para (UNIFESSPA), Maraba, PA (Brazil); Vasconcelos, Getulio de [Instituto de Estudos Avancados (DedALO/IEAv), Sao Jose dos Campos, SP (Brazil). Laboratorio de Desenvolvimento de Aplicacoes de Lasers e Optica

    2017-03-15

    The objective of this work is the Hot Filament Chemical Vapor Deposition (HFCVD) of diamond films on stainless steel substrates using a new technique for intermediate barrier forming, made by laser cladding process. In this technique, a powder layer is irradiated by a laser beam to melt the powder layer and the substrate surface layer to create the interlayer. The control of the laser beam parameters allows creating homogeneous coating layers, in rather large area in few seconds. In this work, the silicon carbide powder (SiC) was used to create an intermediate layer. Before the diamond growth, the samples were subjected to the seeding process with diamond powder. The diamond deposition was performed using Hot-Filament CVD reactor and the characterizations were Scanning Electron Microscopy, X-ray diffraction, Raman Scattering Spectroscopy and Scratch Test. (author)

  6. Development and characterization of diamond and 3D-silicon pixel detectors with ATLAS-pixel readout electronics

    International Nuclear Information System (INIS)

    Mathes, Markus

    2008-12-01

    Hybrid pixel detectors are used for particle tracking in the innermost layers of current high energy experiments like ATLAS. After the proposed luminosity upgrade of the LHC, they will have to survive very high radiation fluences of up to 10 16 particles per cm 2 per life time. New sensor concepts and materials are required, which promise to be more radiation tolerant than the currently used planar silicon sensors. Most prominent candidates are so-called 3D-silicon and single crystal or poly-crystalline diamond sensors. Using the ATLAS pixel electronics different detector prototypes with a pixel geometry of 400 x 50 μm 2 have been built. In particular three devices have been studied in detail: a 3D-silicon and a single crystal diamond detector with an active area of about 1 cm 2 and a poly-crystalline diamond detector of the same size as a current ATLAS pixel detector module (2 x 6 cm 2 ). To characterize the devices regarding their particle detection efficiency and spatial resolution, the charge collection inside a pixel cell as well as the charge sharing between adjacent pixels was studied using a high energy particle beam. (orig.)

  7. Adherent diamond film deposited on Cu substrate by carbon transport from nanodiamond buried under Pt interlayer

    Energy Technology Data Exchange (ETDEWEB)

    Liu Xuezhang [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); Wei Qiuping, E-mail: qiupwei@csu.edu.cn [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); State Key Laboratory of Powder Metallurgy, Central South University, Changsha, 410083 (China); Yu Zhiming, E-mail: zhiming@csu.edu.cn [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); State Key Laboratory of Powder Metallurgy, Central South University, Changsha, 410083 (China); Yang Taiming; Zhai Hao [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China)

    2013-01-15

    Highlights: Black-Right-Pointing-Pointer Adherent polycrystalline diamond films were grown on copper substrate by carbon transport. Black-Right-Pointing-Pointer The nucleation density was increased to 10{sup 11} cm{sup -2}. Black-Right-Pointing-Pointer Diamond films were a composite structure of nano-crystalline diamond layer and micro-crystalline diamond layer. Black-Right-Pointing-Pointer Diamond nucleation was based by carbon dissolving from UDDs to Pt interlayer and formation of sp{sup 3}-bonded diamond clusters at the Pt surface. - Abstract: Diamond film deposited on Cu suffered from poor adhesion mainly due to the large mismatch of thermal expansion coefficients and the lack of affinity between carbon and Cu. Enhancing diamond nucleation by carbon transport from buried nanodiamond through a Pt ultrathin interlayer, adherent diamond film was then deposited on Cu substrate without distinctly metallic interlayer. This novel nucleation mechanism increased diamond nucleation density to 10{sup 11} cm{sup -2}, and developed diamond film with a composite structure of nano-crystalline diamond (NCD) layer and micro-crystalline diamond layer. Diamond film was characterized by the scanning electron microscope (SEM) and Raman spectroscope, respectively. The composition of diamond film/Cu substrate interface was examined by electron probe microanalysis (EPMA). The adhesion of diamond film was evaluated by indentation test. Those results show that a Pt ultrathin interlayer provides stronger chemically bonded interfaces and improve film adhesion.

  8. Adherent diamond film deposited on Cu substrate by carbon transport from nanodiamond buried under Pt interlayer

    International Nuclear Information System (INIS)

    Liu Xuezhang; Wei Qiuping; Yu Zhiming; Yang Taiming; Zhai Hao

    2013-01-01

    Highlights: ► Adherent polycrystalline diamond films were grown on copper substrate by carbon transport. ► The nucleation density was increased to 10 11 cm −2 . ► Diamond films were a composite structure of nano-crystalline diamond layer and micro-crystalline diamond layer. ► Diamond nucleation was based by carbon dissolving from UDDs to Pt interlayer and formation of sp 3 -bonded diamond clusters at the Pt surface. - Abstract: Diamond film deposited on Cu suffered from poor adhesion mainly due to the large mismatch of thermal expansion coefficients and the lack of affinity between carbon and Cu. Enhancing diamond nucleation by carbon transport from buried nanodiamond through a Pt ultrathin interlayer, adherent diamond film was then deposited on Cu substrate without distinctly metallic interlayer. This novel nucleation mechanism increased diamond nucleation density to 10 11 cm −2 , and developed diamond film with a composite structure of nano-crystalline diamond (NCD) layer and micro-crystalline diamond layer. Diamond film was characterized by the scanning electron microscope (SEM) and Raman spectroscope, respectively. The composition of diamond film/Cu substrate interface was examined by electron probe microanalysis (EPMA). The adhesion of diamond film was evaluated by indentation test. Those results show that a Pt ultrathin interlayer provides stronger chemically bonded interfaces and improve film adhesion.

  9. Micro-texturing into DLC/diamond coated molds and dies via high density oxygen plasma etching

    Directory of Open Access Journals (Sweden)

    Yunata Ersyzario Edo

    2015-01-01

    Full Text Available Diamond-Like Carbon (DLC and Chemical Vapor Deposition (CVD-diamond films have been widely utilized not only as a hard protective coating for molds and dies but also as a functional substrate for bio-MEMS/NEMS. Micro-texturing into these hard coated molds and dies provides a productive tool to duplicate the original mother micro-patterns onto various work materials and to construct any tailored micro-textures for sensors and actuators. In the present paper, the high density oxygen plasma etching method is utilized to make micro-line and micro-groove patterns onto the DLC and diamond coatings. Our developing oxygen plasma etching system is introduced together with characterization on the plasma state during etching. In this quantitative plasma diagnosis, both the population of activated species and the electron and ion densities are identified through the emissive light spectroscopy and the Langmuir probe method. In addition, the on-line monitoring of the plasmas helps to describe the etching process. DLC coated WC (Co specimen is first employed to describe the etching mechanism by the present method. Chemical Vapor Deposition (CVD diamond coated WC (Co is also employed to demonstrate the reliable capacity of the present high density oxygen plasma etching. This oxygen plasma etching performance is discussed by comparison of the etching rates.

  10. Thermal, structural, and fabrication aspects of diamond windows for high power synchrotron x-ray beamlines

    International Nuclear Information System (INIS)

    Khounsary, A.M.; Phillips, W.

    1992-01-01

    Recent advances in chemical vapor deposition (CVD) technology have made it possible to produce thin free-standing diamond foils that can be used as the window material in high heat load, synchrotron beamlines. Numerical simulations suggest that these windows can offer an attractive and at times the only altemative to beryllium windows for use in third generation x-ray synchrotron radiation beamlines. Utilization, design, and fabrication aspects of diamond windows for high heat load x-ray beamlines are discussed, as are the microstructure characteristics bearing on diamond's performance in this role. Analytic and numerical results are also presented to provide a basis for the design and testing of such windows

  11. Radiation hardness of diamond and silicon sensors compared

    CERN Document Server

    de Boer, Wim; Furgeri, Alexander; Mueller, Steffen; Sander, Christian; Berdermann, Eleni; Pomorski, Michal; Huhtinen, Mika

    2007-01-01

    The radiation hardness of silicon charged particle sensors is compared with single crystal and polycrystalline diamond sensors, both experimentally and theoretically. It is shown that for Si- and C-sensors, the NIEL hypothesis, which states that the signal loss is proportional to the Non-Ionizing Energy Loss, is a good approximation to the present data. At incident proton and neutron energies well above 0.1 GeV the radiation damage is dominated by the inelastic cross section, while at non-relativistic energies the elastic cross section prevails. The smaller inelastic nucleon-Carbon cross section and the light nuclear fragments imply that at high energies diamond is an order of magnitude more radiation hard than silicon, while at energies below 0.1 GeV the difference becomes significantly smaller.

  12. Unexpected pressure induced ductileness tuning in sulfur doped polycrystalline nickel metal

    Directory of Open Access Journals (Sweden)

    Cheng Guo

    2018-02-01

    Full Text Available The sulfur induced embrittlement of polycrystalline nickel (Ni metal has been a long-standing mystery. It is suggested that sulfur impurity makes ductile Ni metal brittle in many industry applications due to various mechanisms, such as impurity segregation and disorder-induced melting etc. Here we report an observation that the most ductile measurement occurs at a critical sulfur doping concentration, 14 at.% at pressure from 14 GPa up to 29 GPa through texture evolution analysis. The synchrotron-based high pressure texturing measurements using radial diamond anvil cell (rDAC X-ray diffraction (XRD techniques reveal that the activities of slip systems in the polycrystalline nickel metal are affected by sulfur impurities and external pressures, giving rise to the changes in the plastic deformation of the nickel metal. Dislocation dynamics (DD simulation on dislocation density and velocity further confirms the pressure induced ductilization changes in S doped Ni metal. This observation and simulation suggests that the ductilization of the doped polycrystalline nickel metal can be optimized by engineering the sulfur concentration under pressure, shedding a light on tuning the mechanical properties of this material for better high pressure applications.

  13. Unexpected pressure induced ductileness tuning in sulfur doped polycrystalline nickel metal

    Science.gov (United States)

    Guo, Cheng; Yang, Yan; Tan, Liuxi; Lei, Jialin; Guo, Shengmin; Chen, Bin; Yan, Jinyuan; Yang, Shizhong

    2018-02-01

    The sulfur induced embrittlement of polycrystalline nickel (Ni) metal has been a long-standing mystery. It is suggested that sulfur impurity makes ductile Ni metal brittle in many industry applications due to various mechanisms, such as impurity segregation and disorder-induced melting etc. Here we report an observation that the most ductile measurement occurs at a critical sulfur doping concentration, 14 at.% at pressure from 14 GPa up to 29 GPa through texture evolution analysis. The synchrotron-based high pressure texturing measurements using radial diamond anvil cell (rDAC) X-ray diffraction (XRD) techniques reveal that the activities of slip systems in the polycrystalline nickel metal are affected by sulfur impurities and external pressures, giving rise to the changes in the plastic deformation of the nickel metal. Dislocation dynamics (DD) simulation on dislocation density and velocity further confirms the pressure induced ductilization changes in S doped Ni metal. This observation and simulation suggests that the ductilization of the doped polycrystalline nickel metal can be optimized by engineering the sulfur concentration under pressure, shedding a light on tuning the mechanical properties of this material for better high pressure applications.

  14. Photo-Hall measurements on phosphorus-doped n-type CVD diamond at low temperatures

    Czech Academy of Sciences Publication Activity Database

    Remeš, Zdeněk; Kalish, R.; Uzan-Saguy, C.; Baskin, E.; Nesládek, M.; Koizumi, S.

    2003-01-01

    Roč. 199, č. 1 (2003), s. 82-86 ISSN 0031-8965 EU Projects: European Commission(XE) HPRN-CT-1999-00139 Institutional research plan: CEZ:AV0Z1010914 Keywords : photo-Hall measurements * CVD diamonnd * phosphorus doped Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 0.950, year: 2003

  15. Rhenium Alloys as Ductile Substrates for Diamond Thin-Film Electrodes.

    Science.gov (United States)

    Halpern, Jeffrey M; Martin, Heidi B

    2014-02-01

    Molybdenum-rhenium (Mo/Re) and tungsten-rhenium (W/Re) alloys were investigated as substrates for thin-film, polycrystalline boron-doped diamond electrodes. Traditional, carbide-forming metal substrates adhere strongly to diamond but lose their ductility during exposure to the high-temperature (1000°C) diamond, chemical vapor deposition environment. Boron-doped semi-metallic diamond was selectively deposited for up to 20 hours on one end of Mo/Re (47.5/52.5 wt.%) and W/Re (75/25 wt.%) alloy wires. Conformal diamond films on the alloys displayed grain sizes and Raman signatures similar to films grown on tungsten; in all cases, the morphology and Raman spectra were consistent with well-faceted, microcrystalline diamond with minimal sp 2 carbon content. Cyclic voltammograms of dopamine in phosphate-buffered saline (PBS) showed the wide window and low baseline current of high-quality diamond electrodes. In addition, the films showed consistently well-defined, dopamine electrochemical redox activity. The Mo/Re substrate regions that were uncoated but still exposed to the diamond-growth environment remained substantially more flexible than tungsten in a bend-to-fracture rotation test, bending to the test maximum of 90° and not fracturing. The W/Re substrates fractured after a 27° bend, and the tungsten fractured after a 21° bend. Brittle, transgranular cleavage fracture surfaces were observed for tungsten and W/Re. A tension-induced fracture of the Mo/Re after the prior bend test showed a dimple fracture with a visible ductile core. Overall, the Mo/Re and W/Re alloys were suitable substrates for diamond growth. The Mo/Re alloy remained significantly more ductile than traditional tungsten substrates after diamond growth, and thus may be an attractive metal substrate for more ductile, thin-film diamond electrodes.

  16. Growth and characterization of nanodiamond layers prepared using the plasma-enhanced linear antennas microwave CVD system

    Energy Technology Data Exchange (ETDEWEB)

    Fendrych, Frantisek; Taylor, Andrew; Peksa, Ladislav; Kratochvilova, Irena; Kluiber, Zdenek; Fekete, Ladislav [Institute of Physics, Academy of Sciences of the Czech Republic, v.v.i, Na Slovance 2, CZ-18221 Prague 8 (Czech Republic); Vlcek, Jan [Department of Physics and Measurement, Institute of Chemical Technology Prague, Technicka 5, CZ-16628 Prague 6 (Czech Republic); Rezacova, Vladimira; Petrak, Vaclav [Faculty of Biomedical Engineering, Czech Technical University, Sitna 3105, CZ-27201 Kladno 2 (Czech Republic); Liehr, Michael [Leybold Optics Dresden GmbH, Zur Wetterwarte 50, D-01109 Dresden (Germany); Nesladek, Milos, E-mail: fendrych@fzu.c [IMOMEC division, IMEC, Institute for Materials Research, University Hasselt, Wetenschapspark 1, B-3590 Diepenbeek (Belgium)

    2010-09-22

    Industrial applications of plasma-enhanced chemical vapour deposition (CVD) diamond grown on large area substrates, 3D shapes, at low substrate temperatures and on standard engineering substrate materials require novel plasma concepts. Based on the pioneering work of the group at AIST in Japan, the high-density coaxial delivery type of plasmas has been explored (Tsugawa et al 2006 New Diamond Front. Carbon Technol. 16 337-46). However, an important challenge is to obtain commercially interesting growth rates at very low substrate temperatures. In this work we introduce the concept of novel linear antenna sources, designed at Leybold Optics Dresden, using high-frequency pulsed MW discharge with a high plasma density. This type of pulse discharges leads to the preparation of nanocrystalline diamond (NCD) thin films, compared with ultra-NCD thin films prepared in (Tsugawa et al 2006 New Diamond Front. Carbon Technol. 16 337-46). We present optical emission spectroscopy data for the CH{sub 4}-CO{sub 2}-H{sub 2} gas chemistry and we discuss the basic properties of the NCD films grown.

  17. Development of a new neutron mirror made of deuterated Diamond-like carbon

    International Nuclear Information System (INIS)

    Sakurai, Dai; Chiba, Junsei; Shimizu, Hirohiko M; Nishimura, Daiki; Ino, Takashi; Kaneko, Naokatsu; Muto, Suguru; Kakusho, Nobunori; Seki, Yoshichika; Katayama, Ryo; Kitaguchi, Masaaki; Mishima, Kenji; Yamashita, Satoru; Ozeki, Kazuhide; Yoshioka, Tamaki

    2014-01-01

    We developed a new neutron mirror made of Diamond-like carbon (DLC). DLC is a film of amorphous carbon that has characteristics of both diamond and graphite. We produced DLC mirrors by ionization deposition method which is one of the chemical vapor deposition (CVD). Generally, DLC made by CVD contents a few tens of percentages of hydrogen. It decreases the Fermi potential of the DLC coating because hydrogen has negative Fermi potential. In order to increase the Fermi potential of the coating, we deuterated the DLC by using deuterated benzene for the source gas. The characteristics of the deuterated DLC(DDLC) coating was evaluated by RBS, ERDA, x-ray reflectivity, AFM. As a result, DDLC coating has 243 neV due to deuteration, which is the same level as Ni. The RMS of height of the DDLC was 0.6nm so that the DDLC coating can be applied for a focusing mirror or specular transportation of pulsed neutron. Besides, we also develop Hydrogen/Deuterium DLC multiple layer mirror. So far, 4 layers mirror has been succeeded.

  18. Ultrananocrystalline diamond film as a wear resistant and protective coating for mechanical seal applications

    International Nuclear Information System (INIS)

    Sumant, A.V.; Krauss, A.R.; Gruen, D.M.; Auciello, O.; Erdemir, A.; Williams, M.; Artiles, A.F.; Adams, W.

    2005-01-01

    Mechanical shaft seals used in pumps are critically important to the safe operation of the paper, pulp, and chemical process industry, as well as petroleum and nuclear power plants. Specifically, these seals prevent the leakage of toxic gases and hazardous chemicals to the environment and final products from the rotating equipment used in manufacturing processes. Diamond coatings have the potential to provide negligible wear, ultralow friction, and high corrosion resistance for the sliding surfaces of mechanical seals, because diamond exhibits outstanding tribological, physical, and chemical properties. However, diamond coatings produced by conventional chemical vapor deposition (CVD) exhibit high surface roughness (R a ≥ 1 μm), which results in high wear of the seal counterface, leading to premature seal failure. To avoid this problem, we have developed an ultrananocrystalline diamond (UNCD) film formed by a unique CH 4 /Ar microwave plasma CVD method. This method yields extremely smooth diamond coatings with surface roughness R a = 20-30 nm and an average grain size of 2-5 nm. We report the results of a systematic test program involving uncoated and UNCD-coated SiC shaft seals. Results confirmed that the UNCD-coated seals exhibited neither measurable wear nor any leakage during long-duration tests that took 21 days to complete. In addition, the UNCD coatings reduced the frictional torque for seal rotation by five to six times compared with the uncoated seals. This work promises to lead to rotating shaft seals with much improved service life, reduced maintenance cost, reduced leakage of environmentally hazardous materials, and increased energy savings. This technology may also have many other tribological applications involving rolling or sliding contacts.

  19. Fabrication of boron-doped nanocrystalline diamond nanoflowers based on 3D Cu(OH)2 dendritic architectures

    International Nuclear Information System (INIS)

    Sim, Huijun; Hong, Sukin; Lee, Seungkoo; Lim, Daesoon; Jin, Juneon; Hwang, Sungwoo

    2012-01-01

    Hot-filament chemical vapor deposition (HFCVD) was used to prepare boron-doped nanocrystalline diamond (BDND) nanoflowers on a Cu substrate with a Cu(OH) 2 dendritic architecture that had been formed by using electrostatic self-assembly (ESA) method with nanodiamond particles. The formation of diamond nanoflowers is controlled by the reaction time between the Cu(OH) 2 nanoflowers and the polymeric linker for the electrostatic attachment of nanodiamonds and by the deposition time for CVD diamond growth with a high nucleation density. Through analysis by field emission scanning electron microscopy (FESEM) and Raman spectroscopy, the optimal conditions for the synthesis of BDND nanoflowers are determined, and a possible explanation is provided.

  20. Interlayer utilization (including metal borides) for subsequent deposition of NSD films via microwave plasma CVD on 316 and 440C stainless steels

    Science.gov (United States)

    Ballinger, Jared

    . Surface boriding was implemented using the novel method of microwave plasma CVD with a mixture of hydrogen and diborane gases. On 440C bearings, dual phase boride layers of Fe2B and FeB were formed which supported adhered nanostructured diamond films. Continuity of the films was not seamless with limited regions remaining uncoated potentially corresponding to delamination of the film as evidenced by the presence of tubular structures presumably composed of sp2 bonded carbon. Surface boriding of 316 stainless steel discs was conducted at various powers and pressures to achieve temperatures ranging from 550-800 °C. The substrate boriding temperature was found to substantially influence the resultant interlayer by altering the metal boride(s) present. The lowest temperatures produced an interlayer where CrB was the single detected phase, higher temperatures yielded the presence of only Fe2B, and a combination of the two phases resulted from an intermediate boriding temperature. Compared with the more common, commercialized boriding methods, this a profound result given the problems posed by the FeB phase in addition to other advantages offered by CVD processes and microwave generated plasmas in general. Indentation testing of the boride layers revealed excellent adhesion strength for all borided interlayers, and above all, no evidence of cracking was observed for a sole Fe2B phase. As with boriding of 440C bearings, subsequent diamond deposition was achieved on these interlayers with substantially improved adhesion strength relative to diamond coated TiN interlayers. Both XRD and Raman spectroscopy confirmed a nanostructured diamond film with interfacial chromium carbides responsible for enhanced adhesion strength. Interlayers consisting solely of Fe2B have displayed an ability to support fully continuous nanostructured diamond films, yet additional study is required for consistent reproduction. This is in good agreement with initial work on pack borided high alloy steels

  1. Polycrystalline boron-doped diamond electrodes for electrocatalytic and electrosynthetic applications.

    Science.gov (United States)

    Ivandini, Tribidasari A; Einaga, Yasuaki

    2017-01-24

    Boron-doped diamond (BDD) electrodes are recognized as being superior to other electrode materials due to their outstanding chemical and dimensional stability, their exceptionally low background current, the extremely wide potential window for water electrolysis that they have, and their excellent biocompatibility. However, whereas these properties have been utilized in the rapid development of electroanalytical applications, very few studies have been done in relation to their applications in electrocatalysis or electrosynthesis. In this report, following on from reports of the electrosynthesis of various products through anodic and cathodic reactions using BDD electrodes, the potential use of these electrodes in electrosynthesis is discussed.

  2. Development and characterization of diamond and 3D-silicon pixel detectors with ATLAS-pixel readout electronics

    Energy Technology Data Exchange (ETDEWEB)

    Mathes, Markus

    2008-12-15

    Hybrid pixel detectors are used for particle tracking in the innermost layers of current high energy experiments like ATLAS. After the proposed luminosity upgrade of the LHC, they will have to survive very high radiation fluences of up to 10{sup 16} particles per cm{sup 2} per life time. New sensor concepts and materials are required, which promise to be more radiation tolerant than the currently used planar silicon sensors. Most prominent candidates are so-called 3D-silicon and single crystal or poly-crystalline diamond sensors. Using the ATLAS pixel electronics different detector prototypes with a pixel geometry of 400 x 50 {mu}m{sup 2} have been built. In particular three devices have been studied in detail: a 3D-silicon and a single crystal diamond detector with an active area of about 1 cm{sup 2} and a poly-crystalline diamond detector of the same size as a current ATLAS pixel detector module (2 x 6 cm{sup 2}). To characterize the devices regarding their particle detection efficiency and spatial resolution, the charge collection inside a pixel cell as well as the charge sharing between adjacent pixels was studied using a high energy particle beam. (orig.)

  3. Investigation of the nucleation process of chemical vapour deposited diamond films

    International Nuclear Information System (INIS)

    Katai, S.

    2001-01-01

    The primary aim of this work was to contribute to the understanding of the bias enhanced nucleation (BEN) process during the chemical vapour deposition (CVD) of diamond on silicon. The investigation of both the gas phase environment above the substrate surface, by in situ mass selective energy analysis of ions, and of the surface composition and structure by in vacuo surface analytic methods (XPS, EELS) have been carried out. In both cases, the implementation of these measurements required the development and construction of special experimental apparatus as well. The secondary aim of this work was to give orientation to our long term goal of growing diamond films with improved quality. For this reason, (1) contaminant levels at the diamond-silicon interface after growth were studied by SIMS, (2) the internal stress distribution of highly oriented free-standing diamond films were studied by Raman spectroscopy, and (3) an attempt was made to produce spatially regular oriented nuclei formation by nucleating on a pattern created by laser treatment on silicon substrates. (orig.)

  4. Study of the effects of focused high-energy boron ion implantation in diamond

    Science.gov (United States)

    Ynsa, M. D.; Agulló-Rueda, F.; Gordillo, N.; Maira, A.; Moreno-Cerrada, D.; Ramos, M. A.

    2017-08-01

    Boron-doped diamond is a material with a great technological and industrial interest because of its exceptional chemical, physical and structural properties. At modest boron concentrations, insulating diamond becomes a p-type semiconductor and at higher concentrations a superconducting metal at low temperature. The most conventional preparation method used so far, has been the homogeneous incorporation of boron doping during the diamond synthesis carried out either with high-pressure sintering of crystals or by chemical vapour deposition (CVD) of films. With these methods, high boron concentration can be included without distorting significantly the diamond crystalline lattice. However, it is complicated to manufacture boron-doped microstructures. A promising alternative to produce such microstructures could be the implantation of focused high-energy boron ions, although boron fluences are limited by the damage produced in diamond. In this work, the effect of focused high-energy boron ion implantation in single crystals of diamond is studied under different irradiation fluences and conditions. Micro-Raman spectra of the sample were measured before and after annealing at 1000 °C as a function of irradiation fluence, for both superficial and buried boron implantation, to assess the changes in the diamond lattice by the creation of vacancies and defects and their degree of recovery after annealing.

  5. Development of Single Crystal Chemical Vapor Deposition Diamonds for Detector Applications

    International Nuclear Information System (INIS)

    Kagan, Harris; Gan, K.K.; Kass, Richard

    2009-01-01

    Diamond was studied as a possible radiation hard technology for use in future high radiation environments. With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2013, all LHC experiments are planning for detector upgrades which require radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has now been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is installed in all LHC experiments. As a result, this material is now being discussed as an alternative sensor material for tracking very close to the interaction region of the super-LHC where the most extreme radiation conditions will exist. Our work addressed the further development of the new material, single-crystal Chemical Vapor Deposition diamond, towards reliable industrial production of large pieces and new geometries needed for detector applications.

  6. Effect of absorbing coating on ablation of diamond by IR laser pulses

    Science.gov (United States)

    Kononenko, T. V.; Pivovarov, P. A.; Khomich, A. A.; Khmel'nitskii, R. A.; Konov, V. I.

    2018-03-01

    We study the possibility of increasing the efficiency and quality of laser ablation microprocessing of diamond by preliminary forming an absorbing layer on its surface. The laser pulses having a duration of 1 ps and 10 ns at a wavelength of 1030 nm irradiate the polycrystalline diamond surface coated by a thin layer of titanium or graphite. We analyse the dynamics of the growth of the crater depth as a function of the number of pulses and the change in optical transmission of the ablated surface. It is found that under irradiation by picosecond pulses the preliminary graphitisation allows one to avoid the laser-induced damage of the internal diamond volume until the appearance of a self-maintained graphitised layer. The absorbing coating (both graphite and titanium) much stronger affects ablation by nanosecond pulses, since it reduces the ablation threshold by more than an order of magnitude and allows full elimination of a laser-induced damage of deep regions of diamond and uncontrolled explosive ablation in the nearsurface layer.

  7. Temperature-dependent stress in diamond-coated AlGaN/GaN heterostructures

    Czech Academy of Sciences Publication Activity Database

    Ižák, Tibor; Jirásek, Vít; Vanko, G.; Dzuba, J.; Kromka, Alexander

    2016-01-01

    Roč. 106, Sep (2016), s. 305-312 ISSN 0264-1275 R&D Projects: GA ČR(CZ) GP14-16549P Grant - others:AV ČR(CZ) SAV-16-02 Program:Bilaterální spolupráce Institutional support: RVO:68378271 Keywords : thermally induced stress * Raman spectroscopy * polycrystalline diamond film * GaN Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 4.364, year: 2016

  8. Influence of the gas phase composition on nanocrystalline diamond films prepared by MWCVD

    Czech Academy of Sciences Publication Activity Database

    Popov, C.; Jelínek, Miroslav; Boycheva, S.; Vorlíček, Vladimír; Kulisch, W.

    2005-01-01

    Roč. 23, - (2005), s. 31-34 ISSN 1422-6375 R&D Projects: GA AV ČR(CZ) IAA1010110 Grant - others:European Community Marie Curie Fellowship(XE) HPMF-CT-2002-01713 Institutional research plan: CEZ:AV0Z1010914 Keywords : microwave plasma CVD * nanocrystalline diamond films * characterization Subject RIV: BL - Plasma and Gas Discharge Physics

  9. Micro-Raman Analysis of Irradiated Diamond Films

    Science.gov (United States)

    Newton, Robert L.

    2003-01-01

    Owing to its unique and robust physical properties, diamond is a much sought after material for use in advanced technologies, even in Microelectromechanical Systems (MEMS). The volume and weight savings promised by MEMS-based devices are of particular interest to spaceflight applications. However, much basic materials science research remains to be completed in this field. Results of micro-Raman analysis of proton (10(exp 15) - 10(exp 17) H(+)/sq cm doses) irradiated chemical vapor deposited (CVD) films are presented and indicate that their microstructure is retained even after high radiation exposure.

  10. Design studies and sensor tests for the beam calorimeter of the ILC detector

    International Nuclear Information System (INIS)

    Kuznetsova, E.

    2007-03-01

    The International Linear Collider (ILC) is being designed to explore particle physics at the TeV scale. The design of the Very Forward Region of the ILC detector is considered in the presented work. The Beam Calorimeter - one of two electromagnetic calorimeters situated there - is the subject of this thesis. The Beam Calorimeter has to provide a good hermeticity for high energy electrons, positrons and photons down to very low polar angles, serve for fast beam diagnostics and shield the inner part of the detector from backscattered beamstrahlung remnants and synchrotron radiation. As a possible technology for the Beam Calorimeter a diamond-tungsten sandwich calorimeter is considered. Detailed simulation studies are done in order to explore the suitability of the considered design for the Beam Calorimeter objectives. Detection efficiency, energy and angular resolution for electromagnetic showers are studied. At the simulation level the diamondtungsten design is shown to match the requirements on the Beam Calorimeter performance. Studies of polycrystalline chemical vapour deposition (pCVD) diamond as a sensor material for the Beam Calorimeter are done to explore the properties of the material. Results of the measurements performed with pCVD diamond samples produced by different manufacturers are presented. (orig.)

  11. Design studies and sensor tests for the beam calorimeter of the ILC detector

    Energy Technology Data Exchange (ETDEWEB)

    Kuznetsova, E.

    2007-03-15

    The International Linear Collider (ILC) is being designed to explore particle physics at the TeV scale. The design of the Very Forward Region of the ILC detector is considered in the presented work. The Beam Calorimeter - one of two electromagnetic calorimeters situated there - is the subject of this thesis. The Beam Calorimeter has to provide a good hermeticity for high energy electrons, positrons and photons down to very low polar angles, serve for fast beam diagnostics and shield the inner part of the detector from backscattered beamstrahlung remnants and synchrotron radiation. As a possible technology for the Beam Calorimeter a diamond-tungsten sandwich calorimeter is considered. Detailed simulation studies are done in order to explore the suitability of the considered design for the Beam Calorimeter objectives. Detection efficiency, energy and angular resolution for electromagnetic showers are studied. At the simulation level the diamondtungsten design is shown to match the requirements on the Beam Calorimeter performance. Studies of polycrystalline chemical vapour deposition (pCVD) diamond as a sensor material for the Beam Calorimeter are done to explore the properties of the material. Results of the measurements performed with pCVD diamond samples produced by different manufacturers are presented. (orig.)

  12. Chemical vapour deposition diamond. Charge carrier movement at low temperatures and use in time-critical applications

    International Nuclear Information System (INIS)

    Jansen, Hendrik

    2013-09-01

    Diamond, a wide band gap semiconductor with exceptional electrical properties, has found its way in diverse fields of application reaching from the usage as a sensor material for beam loss monitors at particle accelerator facilities, over laser windows, to UV light sensors in space applications, e.g. for space weather forecasting. Though often used at room temperature, little is known about the charge transport in diamond towards liquid helium temperatures. In this work the method of the transient current technique is employed at temperatures between room temperature and 2 K. The temperature and electric field strength dependence of the pulse shape, the charge carrier transit time, the drift velocity, the saturation velocity, and the low-field mobility is measured in detector-grade scCVD diamond. Furthermore, the usability of diamond in time-critical applications is tested, and the main results are presented.

  13. Chemical Vapour Deposition Diamond - Charge Carrier Movement at Low Temperatures and Use in Time-Critical Applications

    CERN Document Server

    Jansen, Hendrik; Pernegger, Heinz

    Diamond, a wide band gap semiconductor with exceptional electrical properties, has found its way in diverse fields of application reaching from the usage as a sensor material for beam loss monitors at particle accelerator facilities, to laser windows, to UV light sensors in space applications, e.g. for space weather forecasting. Though often used at room temperature, little is known about the charge transport in diamond towards liquid helium temperatures. In this work the method of the transient current technique is employed at temperatures between room temperature and 2 K. The temperature and electric field strength dependence of the pulse shape, the charge carrier transit time, the drift velocity, the saturation velocity, and the low-field mobility is measured in detector-grade scCVD diamond. Furthermore, the usability of diamond in time-critical applications is tested, and the main results are presented.

  14. Ultrathin Nanocrystalline Diamond Films with Silicon Vacancy Color Centers via Seeding by 2 nm Detonation Nanodiamonds.

    Science.gov (United States)

    Stehlik, Stepan; Varga, Marian; Stenclova, Pavla; Ondic, Lukas; Ledinsky, Martin; Pangrac, Jiri; Vanek, Ondrej; Lipov, Jan; Kromka, Alexander; Rezek, Bohuslav

    2017-11-08

    Color centers in diamonds have shown excellent potential for applications in quantum information processing, photonics, and biology. Here we report chemical vapor deposition (CVD) growth of nanocrystalline diamond (NCD) films as thin as 5-6 nm with photoluminescence (PL) from silicon-vacancy (SiV) centers at 739 nm. Instead of conventional 4-6 nm detonation nanodiamonds (DNDs), we prepared and employed hydrogenated 2 nm DNDs (zeta potential = +36 mV) to form extremely dense (∼1.3 × 10 13 cm -2 ), thin (2 ± 1 nm), and smooth (RMS roughness < 0.8 nm) nucleation layers on an Si/SiO x substrate, which enabled the CVD growth of such ultrathin NCD films in two different and complementary microwave (MW) CVD systems: (i) focused MW plasma with an ellipsoidal cavity resonator and (ii) pulsed MW plasma with a linear antenna arrangement. Analytical ultracentrifuge, infrared and Raman spectroscopies, atomic force microscopy, and scanning electron microscopy are used for detailed characterization of the 2 nm H-DNDs and the nucleation layer as well as the ultrathin NCD films. We also demonstrate on/off switching of the SiV center PL in the NCD films thinner than 10 nm, which is achieved by changing their surface chemistry.

  15. Trapezoidal diffraction grating beam splitters in single crystal diamond

    Science.gov (United States)

    Kiss, Marcell; Graziosi, Teodoro; Quack, Niels

    2018-02-01

    Single Crystal Diamond has been recognized as a prime material for optical components in high power applications due to low absorption and high thermal conductivity. However, diamond microstructuring remains challenging. Here, we report on the fabrication and characterization of optical diffraction gratings exhibiting a symmetric trapezoidal profile etched into a single crystal diamond substrate. The optimized grating geometry diffracts the transmitted optical power into precisely defined proportions, performing as an effective beam splitter. We fabricate our gratings in commercially available single crystal CVD diamond plates (2.6mm x 2.6mm x 0.3mm). Using a sputter deposited hard mask and patterning by contact lithography, the diamond is etched in an inductively coupled oxygen plasma with zero platen power. The etch process effectively reveals the characteristic {111} diamond crystal planes, creating a precisely defined angled (54.7°) profile. SEM and AFM measurements of the fabricated gratings evidence the trapezoidal shape with a pitch of 3.82μm, depth of 170 nm and duty cycle of 35.5%. Optical characterization is performed in transmission using a 650nm laser source perpendicular to the sample. The recorded transmitted optical power as function of detector rotation angle shows a distribution of 21.1% in the 0th order and 23.6% in each +/-1st order (16.1% reflected, 16.6% in higher orders). To our knowledge, this is the first demonstration of diffraction gratings with trapezoidal profile in single crystal diamond. The fabrication process will enable beam splitter gratings of custom defined optical power distribution profiles, while antireflection coatings can increase the efficiency.

  16. Superior quality diamond heel inserts improve cutting structure and seal life in abrasive and directional applications

    Energy Technology Data Exchange (ETDEWEB)

    Cobb, Tyler; Scott, Dan; Nelms, Derek [Society of Petroleum Engineers (United States)

    2011-07-01

    In the oil and gas industry, continuous improvements over the last century have led to the development of increasingly efficient drilling equipment. Among the new technologies is the polycrystalline diamond compact bit which has become more efficient than roller cone bits for several applications; the utilization of roller cone bits is now restricted to tough applications such as directional drilling and drilling through hard and abrasive formations. The aim of this paper is to present the development of improved roller cone bits using new designs and diamond inserts of superior quality. Two case studies on the use of improved roller cone bits are presented herein. Results showed that the novel diamond inserts combined with the design improvements provide better wear resistance. This paper demonstrated that roller cone bits have achieved greater reliability and longevity thanks to the new generation of diamond inserts and to design improvements.

  17. Dedicated multichannel readout ASIC coupled with single crystal diamond for dosimeter application

    International Nuclear Information System (INIS)

    Fabbri, A; Notaristefani, F De; Galasso, M; Cencelli, V Orsolini; Falco, M D; Marinelli, M; Tortora, L; Verona, C; Rinati, G Verona

    2013-01-01

    This paper reports on the tests of a low-noise, multi-channel readout integrated circuit used as a readout electronic front-end for a diamond multi-pixel dosimeter. The system is developed for dose distribution measurement in radiotherapy applications. The first 10-channel prototype chip was designed and fabricated in a 0.18 um CMOS process. Every channel includes a charge integrator with a 10 pF capacitor and a double slope A/D converter. The diamond multi-pixel detector, based on CVD synthetic single crystal diamond Schottky diodes, is made by a 3 × 3 sensor matrix. The overall device has been tested under irradiation with 6 MeV radio therapeutic photon beams at the Policlinico ''Tor Vergata'' (PTV) hospital. Measurements show a 20 fA RMS leakage current from the front-end input stage and a negligible dark current from the diamond detector, a stable temporal response and a good linear behaviour as a function of both dose and dose rate. These characteristics were common to each tested channel.

  18. Comprehensive Evaluation of the Properties of Nanocrystalline Diamond Coatings Grown Using CVD with E/H Field Glow Discharge Stabilization

    Directory of Open Access Journals (Sweden)

    Iu. Nasieka

    2015-01-01

    Full Text Available The nanocrystalline diamond films (coatings were prepared using the plasma enhanced chemical vapor deposition (PECVD technique. In this method, direct current (DC glow discharge in the crossed E/H fields was used to activate the gas phase. The diamond coatings were deposited from the working gas mixture CH4/H2 with addition of nitrogen in various concentrations. It was ascertained that addition of N2 to the working gas mixture leads to reduction in the sizes of diamond grains as well as to the substantial decrease in the resistivity of the studied films. The electrophysical data are in good agreement with the changes induced by varying the N2 content in the Raman scattering spectra. The increase in the N2 concentration causes significant lowering of the crystalline diamond related peak and increase in the intensity of the peaks related to the sp2-bonded carbon. These changes in the spectra indicate significant disordering of the structure of prepared films and its uniformity in the nanodiamond film volume. With the great possibility, it is associated with a decrease in the sizes of diamond crystalline grains and tendency of NCD film to amorphization.

  19. Low drift and small hysteresis characteristics of diamond electrolyte-solution-gate FET

    International Nuclear Information System (INIS)

    Sasaki, Yoshinori; Kawarada, Hiroshi

    2010-01-01

    We have investigated drift and hysteresis characteristics on an electrolyte-solution-gate field-effect transistor (SGFET) with a unique structure using polycrystalline diamond and verified the possibility as chemical sensors and biosensors. Silicon-based ion-sensitive field effect transistors (ISFETs) have not yet solved such time-related issues due to the chemical instability of the passivation layer covering on SiO 2 and that is why the Si-ISFET is not wide spread. First of all, we have confirmed that the pH sensitivities of oxygen- and amine-terminated diamond surfaces are 20 mV/pH and 48 mV/pH, respectively, whereas that of hydrogen-terminated surface is only 7 mV/pH. Drift characteristics measurement on diamond SGFET reveals that diamond SGFETs with any surface termination are more stable in electrolyte solution than Si-ISFETs with typical passivation membranes. Hysteresis width, which is known to be a more serious cause of measurement error than drift, proves to be 0.39 mV on amine-terminated SGFET. This is less than 1/10 compared with common Si 3 N 4 -ISFET. These results can be explained by high tolerance of diamond against ions in solution due to intrinsic chemical stability and densely packed structure of diamond itself. In this work, we bear out that diamond SGFET is a promising platform for highly sensitive biosensor application owing to the superiority in terms of time response and resulting measurement accuracy.

  20. Fabrication and characterization of an all-diamond tubular flow microelectrode for electroanalysis.

    Science.gov (United States)

    Hutton, Laura A; Vidotti, Marcio; Iacobini, James G; Kelly, Chris; Newton, Mark E; Unwin, Patrick R; Macpherson, Julie V

    2011-07-15

    The development of the first all-diamond hydrodynamic flow device for electroanalytical applications is described. Here alternate layers of intrinsic (insulating), conducting (heavily boron doped), and intrinsic polycrystalline diamond are grown to create a sandwich structure. By laser cutting a hole through the material, it is possible to produce a tubular flow ring electrode of a characteristic length defined by the thickness of the conducting layer (for these studies ∼90 μm). The inside of the tube can be polished to 17 ± 10 nm surface roughness using a diamond impregnanted wire resulting in a coplanar, smooth, all-diamond surface. The steady-state limiting current versus volume flow rate characteristics for the one electron oxidation of FcTMA(+) are in agreement with those expected for laminar flow in a tubular electrode geometry. For dopamine detection, it is shown that the combination of the reduced fouling properties of boron doped diamond, coupled with the flow geometry design where the products of electrolysis are washed away downstream of the electrode, completely eradicates fouling during electrolysis. This paves the way for incorporation of this flow design into online electroanalytical detection systems. Finally, the all diamond tubular flow electrode system described here provides a platform for future developments including the development of ultrathin ring electrodes, multiple apertures for increased current response, and multiple, individually addressable ring electrodes incorporated into the same flow tube.

  1. Fabrication of boron-doped nanocrystalline diamond nanoflowers based on 3D Cu(OH){sub 2} dendritic architectures

    Energy Technology Data Exchange (ETDEWEB)

    Sim, Huijun; Hong, Sukin; Lee, Seungkoo; Lim, Daesoon; Jin, Juneon; Hwang, Sungwoo [Korea University, Seoul (Korea, Republic of)

    2012-03-15

    Hot-filament chemical vapor deposition (HFCVD) was used to prepare boron-doped nanocrystalline diamond (BDND) nanoflowers on a Cu substrate with a Cu(OH){sub 2} dendritic architecture that had been formed by using electrostatic self-assembly (ESA) method with nanodiamond particles. The formation of diamond nanoflowers is controlled by the reaction time between the Cu(OH){sub 2} nanoflowers and the polymeric linker for the electrostatic attachment of nanodiamonds and by the deposition time for CVD diamond growth with a high nucleation density. Through analysis by field emission scanning electron microscopy (FESEM) and Raman spectroscopy, the optimal conditions for the synthesis of BDND nanoflowers are determined, and a possible explanation is provided.

  2. Study on the Microstructure and Electrical Properties of Boron and Sulfur Codoped Diamond Films Deposited Using Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Zhang Jing

    2014-01-01

    Full Text Available The atomic-scale microstructure and electron emission properties of boron and sulfur (denoted as B-S codoped diamond films grown on high-temperature and high-pressure (HTHP diamond and Si substrates were investigated using atom force microscopy (AFM, scanning tunneling microscopy (STM, secondary ion mass spectroscopy (SIMS, and current imaging tunneling spectroscopy (CITS measurement techniques. The films grown on Si consisted of large grains with secondary nucleation, whereas those on HTHP diamond are composed of well-developed polycrystalline facets with an average size of 10–50 nm. SIMS analyses confirmed that sulfur was successfully introduced into diamond films, and a small amount of boron facilitated sulfur incorporation into diamond. Large tunneling currents were observed at some grain boundaries, and the emission character was better at the grain boundaries than that at the center of the crystal. The films grown on HTHP diamond substrates were much more perfect with higher quality than the films deposited on Si substrates. The local I-V characteristics for films deposited on Si or HTHP diamond substrates indicate n-type conduction.

  3. The VERDI fission fragment spectrometer

    Directory of Open Access Journals (Sweden)

    Frégeau M.O.

    2013-12-01

    Full Text Available The VERDI time-of-flight spectrometer is dedicated to measurements of fission product yields and of prompt neutron emission data. Pre-neutron fission-fragment masses will be determined by the double time-of-flight (TOF technique. For this purpose an excellent time resolution is required. The time of flight of the fragments will be measured by electrostatic mirrors located near the target and the time signal coming from silicon detectors located at 50 cm on both sides of the target. This configuration, where the stop detector will provide us simultaneously with the kinetic energy of the fragment and timing information, significantly limits energy straggling in comparison to legacy experimental setup where a thin foil was usually used as a stop detector. In order to improve timing resolution, neutron transmutation doped silicon will be used. The high resistivity homogeneity of this material should significantly improve resolution in comparison to standard silicon detectors. Post-neutron fission fragment masses are obtained form the time-of-flight and the energy signal in the silicon detector. As an intermediary step a diamond detector will also be used as start detector located very close to the target. Previous tests have shown that poly-crystalline chemical vapour deposition (pCVD diamonds provides a coincidence time resolution of 150 ps not allowing complete separation between very low-energy fission fragments, alpha particles and noise. New results from using artificial single-crystal diamonds (sCVD show similar time resolution as from pCVD diamonds but also sufficiently good energy resolution.

  4. SiV color centers in Si-doped isotopically enriched {sup 12}C and {sup 13}C CVD diamonds

    Energy Technology Data Exchange (ETDEWEB)

    Sedov, Vadim; Bolshakov, Andrey [General Physics Institute, RAS, Moscow (Russian Federation); National Research Nuclear University MEPhI, Moscow (Russian Federation); Boldyrev, Kirill [Institute of Spectroscopy, RAS, Troitsk, Moscow (Russian Federation); Krivobok, Vladimir; Nikolaev, Sergei [Lebedev Physical Institute, RAS, Moscow (Russian Federation); Khomich, Alex [Institute of Radio Engineering and Electronics, RAS, Fryazino (Russian Federation); Khomich, Andrew [General Physics Institute, RAS, Moscow (Russian Federation); Institute of Radio Engineering and Electronics, RAS, Fryazino (Russian Federation); Krasilnikov, Anatoly [Institution ' ' ProjectCenter ITER' ' , Moscow (Russian Federation); Ralchenko, Victor [General Physics Institute, RAS, Moscow (Russian Federation); National Research Nuclear University MEPhI, Moscow (Russian Federation); Harbin Institute of Technology, Harbin (China)

    2017-11-15

    The effect of isotopic modification of diamond lattice on photoluminescence (PL) and optical absorption spectra of ensembles of SiV{sup -} centers was studied. Thin epitaxial diamond layers were grown by a microwave plasma CH{sub 4}/H{sub 2} mixtures using methane enriched to 99.96% for either {sup 12}C or {sup 13}C isotopes, while the Si doping was performed by adding a small percentage of silane SiH{sub 4} into the plasma. Temperature dependent SiV{sup -} ZPL spectra in absorption were measured at 3-80 K to monitor the evolution of the ZPL fine structure. It is found that the SiV{sup -} ZPL at 736.9 nm observed in PL for {sup 12}C diamond at T = 5 K, exhibits a blue shift of 1.78 meV, to 736.1 nm in {sup 13}C diamond matrix. Narrow ZPL with the width (FWHM) of 0.09 meV (21 GHz) was measured in absorption spectra at T = 3-30 K in the Si-doped {sup 13}C diamond. Besides the charged SiV{sup -} center, the absorption of the neutral SiV{sup 0} defect at 946 nm wavelength has also been detected. From changes observed in SiV{sup -} phonon band structure in PL with isotopic modification, the band at 64 meV was confirmed to be a local vibration mode (LVM) involving a Si atom. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  6. An all optical system for studying temperature induced changes in polycrystalline diamond deposited on a tungsten carbide substrate

    CSIR Research Space (South Africa)

    Masina, BN

    2010-09-01

    Full Text Available In this poster the authors discussed the ability to heat an industrial diamond sample by means of optical absorption of a CO2 laser beam, and then measure the resulting temperature on the surface of the diamond optically by means of radiometry...

  7. Description of radiation damage in diamond sensors using an effective defect model

    International Nuclear Information System (INIS)

    Kassel, Florian; Guthoff, Moritz; Dabrowski, Anne; Boer, Wim de

    2017-01-01

    The Beam Condition Monitoring Leakage (BCML) system is a beam monitoring device in the CMS experiment at the LHC consisting of 32 poly-crystalline (pCVD) diamond sensors. The BCML sensors, located in rings around the beam, are exposed to high particle rates originating from the colliding beams. These particles cause lattice defects, which act as traps for the ionized charge carrier leading to a reduced charge collection efficiency (CCE). The radiation induced CCE degradation was, however, much more severe than expected from low rate laboratory measurements. Measurement and simulations presented in this paper show that this discrepancy is related to the rate of incident particles. At high particle rates, the trapping rate of the ionization is strongly increased compared to the detrapping rate leading to an increased build-up of space charge. This space charge locally reduces the internal electric field increasing the trapping rate and hence reducing the CCE even further. In order to connect these macroscopic measurements with the microscopic defects acting as traps for the ionization charge, the TCAD simulation program SILVACO was used. It allows to introduce the defects as effective donor and acceptor levels, and can calculate the electric field from Transient Current Technique (TCT) signals and CCE as a function of the effective trap properties, like density, energy level, and trapping cross section. After each irradiation step, these properties were fitted to the data on the electric field from the TCT signals and CCE. Two effective acceptor and donor levels were needed to fit the data after each step. It turned out that the energy levels and cross sections could be kept constant and the trap density was proportional to the cumulative fluence of the irradiation steps. The highly non-linear rate dependent diamond polarization and the resulting signal loss can be simulated using this effective defect model and is in agreement with the measurement results

  8. Description of radiation damage in diamond sensors using an effective defect model

    Energy Technology Data Exchange (ETDEWEB)

    Kassel, Florian [Institute for Experimental Nuclear Physics (IEKP), KIT, Karlsruhe (Germany); CERN, Meyrin (Switzerland); Guthoff, Moritz; Dabrowski, Anne [CERN, Meyrin (Switzerland); Boer, Wim de [Institute for Experimental Nuclear Physics (IEKP), KIT, Karlsruhe (Germany)

    2017-11-15

    The Beam Condition Monitoring Leakage (BCML) system is a beam monitoring device in the CMS experiment at the LHC consisting of 32 poly-crystalline (pCVD) diamond sensors. The BCML sensors, located in rings around the beam, are exposed to high particle rates originating from the colliding beams. These particles cause lattice defects, which act as traps for the ionized charge carrier leading to a reduced charge collection efficiency (CCE). The radiation induced CCE degradation was, however, much more severe than expected from low rate laboratory measurements. Measurement and simulations presented in this paper show that this discrepancy is related to the rate of incident particles. At high particle rates, the trapping rate of the ionization is strongly increased compared to the detrapping rate leading to an increased build-up of space charge. This space charge locally reduces the internal electric field increasing the trapping rate and hence reducing the CCE even further. In order to connect these macroscopic measurements with the microscopic defects acting as traps for the ionization charge, the TCAD simulation program SILVACO was used. It allows to introduce the defects as effective donor and acceptor levels, and can calculate the electric field from Transient Current Technique (TCT) signals and CCE as a function of the effective trap properties, like density, energy level, and trapping cross section. After each irradiation step, these properties were fitted to the data on the electric field from the TCT signals and CCE. Two effective acceptor and donor levels were needed to fit the data after each step. It turned out that the energy levels and cross sections could be kept constant and the trap density was proportional to the cumulative fluence of the irradiation steps. The highly non-linear rate dependent diamond polarization and the resulting signal loss can be simulated using this effective defect model and is in agreement with the measurement results

  9. Ultrasonically Assisted Single Point Diamond Turning of Optical Mold of Tungsten Carbide

    Directory of Open Access Journals (Sweden)

    Zhanjie Li

    2018-02-01

    Full Text Available To realize high efficiency, low/no damage and high precision machining of tungsten carbide used for lens mold, a high frequency ultrasonic vibration cutting system was developed at first. Then, tungsten carbide was precisely machined with a polycrystalline diamond (PCD tool assisted by the self-developed high frequency ultrasonic vibration cutting system. Tool wear mechanism was investigated in ductile regime machining of tungsten carbide. The cutter back-off phenomenon in the process was analyzed. The subsequent experimental results of ultra-precision machining with a single crystal diamond tool showed that: under the condition of high frequency ultrasonic vibration cutting, nano-scale surface roughness can be obtained by the diamond tool with smaller tip radius and no defects like those of ground surface were found on the machined surface. Tool wear mechanisms of the single crystal diamond tool are mainly abrasive wear and micro-chipping. To solve the problem, a method of inclined ultrasonic vibration cutting with negative rake angle was put forward according to force analysis, which can further reduce tool wear and roughness of the machined surface. The investigation was important to high efficiency and quality ultra-precision machining of tungsten carbide.

  10. Laser reflection spot as a pattern in a diamond coating – a microscopic study

    Directory of Open Access Journals (Sweden)

    GORDANA S. RISTIĆ

    2009-07-01

    Full Text Available Diamond coatings were deposited by the synchronous and coupled action of a hot filament CVD method and a pulsed CO2 laser in spectro-absorbing and spectro-non-absorbing diamond precursor atmospheres. The obtained coatings were structured/patterned, i.e., they were comprised of uncovered, bare locations. An extra effect observed only in the spectro-active diamond precursor atmosphere was the creation of another laser spot in the coating – a reflection spot. In order to establish the practical usability of the latter one, extensive microscopic investigations were performed with consideration of the morphology changes in the spot of the direct laser beam. Normal incidence SEM images of this spot showed a smooth surface, without any pulse radiation damage. AFM imaging revealed the actual surface condition and gave precise data on the surface characteristics.

  11. Effects of substrate pretreatments on diamond synthesis for Si{sub 3}N{sub 4} based ceramics

    Energy Technology Data Exchange (ETDEWEB)

    Shibuya, Y. [Prefectural Industrial Research Inst., Shizuoka (Japan); Takaya, M. [Chiba Institute of Technology, Tsudanuma 2-chome, Narashino-shi, 275 (Japan)

    1998-07-08

    Diamond synthesis for Si{sub 3}N{sub 4} ceramics after various substrate pretreatments has been carried out by the microwave-plasma enhanced chemical vapor deposition (CVD) method using a mixture of methane and hydrogen gases. Four types of pretreatments for various substrates were performed as follows: scratching with diamond powder (I), applying O{sub 2}-C{sub 2}H{sub 2} combustion flames (II), polishing with alumina (III), and platinum vapor deposition (IV). The products deposited on the substrate were examined with micro-Raman spectroscopy, scanning electron microscopy (SEM) and an X-ray diffractometer (XRD). It was found that the application of O{sub 2}-C{sub 2}H{sub 2} flames as a pretreatment of the substrate in diamond synthesis was suitable, because a higher density of diamond nucleation could be obtained, and a film-like diamond could be formed on the surface in a shorter time than without applying them. The diamond could be synthesized on the surface for all four types of substrate pretreatments performed in the present study. The effects of the substrate pretreatments on the surface morphology of grown diamond were that a film-like diamond for (I) or (II), a particle-like diamond for (III) and a particle and/or a film-like diamond for (IV) were formed on the surface. The surface morphology of grown diamond depended very much on the substrate temperature under deposition. (orig.) 18 refs.

  12. Performance of diamond and point attack coal cutter picks

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Y. [CSIRO, Brisbane, Qld. (Australia). Division of Exploration and Mining

    1996-12-31

    This paper presents results of laboratory experiments and field trials of PDC (Polycrystalline Diamond Compact) and PA (Point Attack) coal cutter picks. Laboratory cutting tests included linear rock and coal cutting and turning rock cutting. The following parameters were measured to assess performance of PDC and PA cutter picks: cutting force, normal force, specific energy consumption, yield, dust generation and ignitional characteristics (temperature rise). Field trials were conducted on a longwall shearer. Performance of both types of pick interims of pick life and dust generation were assessed. 3 refs., 18 figs., 3 tabs.

  13. Role of grain size in superconducting boron-doped nanocrystalline diamond thin films grown by CVD

    Czech Academy of Sciences Publication Activity Database

    Zhang, G.; Janssens, S.D.; Vanacken, J.; Timmermans, M.; Vacík, Jiří; Ataklti, G.W.; Decelle, W.; Gillijns, W.; Goderis, B.; Haenen, K.; Wagner, P.; Moshchalkov, V.V.

    2011-01-01

    Roč. 84, č. 21 (2011), 214517/1-214517/10 ISSN 1098-0121 Institutional research plan: CEZ:AV0Z10480505 Keywords : Nanocrystalline diamond * Superconducting transition Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.691, year: 2011

  14. TL and LOE dosimetric evaluation of diamond films exposed to beta and ultraviolet radiation

    International Nuclear Information System (INIS)

    Preciado F, S.; Melendrez, R.; Chernov, V.; Barboza F, M.; Schreck, M.; Cruz Z, E.

    2005-01-01

    The diamond possesses a privileged position regarding other materials of great technological importance. Their applications go from the optics, microelectronics, metals industry, medicine and of course as dosemeter, in the registration and detection of ionizing and non ionizing radiation. In this work the results of TL/LOE obtained in two samples of diamond of 10 μm thickness grown by the chemical vapor deposition method (CVD) assisted by microwave plasma. The films were deposited in a silicon substrate (001) starting from a mixture of gases composed of CH 4 /H 2 and 750 ppm of molecular nitrogen as dopant. The samples were exposed to beta radiation (Sr 90 / Y 90 ) and ultraviolet, being stimulated later on thermal (TL) and optically (LOE) to evaluate their dosimetric properties. The sample without doping presented high response TL/LOE to the ultraviolet and beta radiation. The TL glow curve of the sample without doping showed two TL peaks with second order kinetics in the range of 520 to 550 K, besides a peak with first order kinetics of more intensity around 607 K. The TL efficiency of the non doped sample is bigger than the doped with nitrogen; however the LOE efficiency is similar in both samples. The results indicate that the CVD diamond possesses excellent perspectives for dosimetric applications, with special importance in radiotherapy due to it is biologically compatible with the human tissue. (Author)

  15. Diamonds are forever : roller bits once ruled the roost, but PDC drillbits have emerged as oilpatch champions

    Energy Technology Data Exchange (ETDEWEB)

    Cope, G.

    2008-06-15

    Polycrystalline diamond compact (PDC) drill bits are used in over 60 per cent of wells currently being drilled. PDC bits use thumb-sized diamond-impregnated cutters fixed into the body of the bit in order to shear the rock. Certain rock formations that contain large amounts of chert or pyrite can destroy PDCs, which are ideally suited for use in offshore wells which have soft, homogenous rock sections. This article discussed recent research programs conducted to analyze the strengths and weaknesses of PDCs and improve their cutting efficiency through the analysis of cutter geometry, material composition, and processing conditions. Researchers have now discovered that diamond chips of 10 microns performed better than chips of 70 microns. Cutters were found to be more durable when cobalt was used as a binder to sinter the diamond chips. PDCs are now being used extensively by the oil sands industry due to their abrasion resistance. Research is ongoing in order to improve the performance of PDC drill bits. 2 figs.

  16. The study and the realization of radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique; Etude et realisation de detecteurs de rayonnements a base de films de diamant polycristallin elabores par depot chimique en phase vapeur assiste par plasma micro-onde

    Energy Technology Data Exchange (ETDEWEB)

    Jany, Ch

    1998-10-29

    The aim of this work was to develop radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique. The influence of surface treatments, contact technology and diamond growth parameters on the diamond detectors characteristics was investigated in order to optimise the detector response to alpha particles. The first part of the study focused on the electrical behaviour of as-deposited diamond surface, showing a p type conduction and its influence on the leakage current of the device. A surface preparation process was established in order to reduce the leakage current of the device by surface dehydrogenation using an oxidising step. Several methods to form and treat electrical contacts were also investigated showing that the collection efficiency of the device decreases after contact annealing. In the second part, we reported the influence of the diamond deposition parameters on the characteristics of the detectors. The increase of the deposition temperature and/or methane concentration was shown to lead {eta} to decrease. In contrast, {eta} was found to increase with the micro-wave power. The evolution of the diamond detector characteristics results from the variation in sp{sup 2} phases incorporation and in the crystallography quality of the films. These defects increase the leakage current and reduce the carrier mobility and lifetime. Measurements carried out on detectors with different thicknesses showed that the physical properties varies along the growth direction, improving with the film thickness. Finally, the addition of nitrogen (> 10 ppm) in the gas mixture during diamond deposition was found to strongly reduce the collection efficiency of the detectors. To conclude the study, we fabricated and characterised diamond devices which were used for thermal neutron detection and for the intensity and shape measurement of VUV and soft X-ray pulses. (author)

  17. Electrical conductivity enhancement by boron-doping in diamond using first principle calculations

    Science.gov (United States)

    Ullah, Mahtab; Ahmed, Ejaz; Hussain, Fayyaz; Rana, Anwar Manzoor; Raza, Rizwan

    2015-04-01

    Boron doping in diamond plays a vital role in enhancing electrical conductivity of diamond by making it a semiconductor, a conductor or even a superconductor. To elucidate this fact, partial and total density of states has been determined as a function of B-content in diamond. Moreover, the orbital charge distributions, B-C bond lengths and their population have been studied for B-doping in pristine diamond thin films by applying density functional theory (DFT). These parameters have been found to be influenced by the addition of different percentages of boron atoms in diamond. The electronic density of states, B-C bond situations as well as variations in electrical conductivities of diamond films with different boron content and determination of some relationship between these parameters were the basic tasks of this study. Diamond with high boron concentration (∼5.88% B-atoms) showed maximum splitting of energy bands (caused by acceptor impurity states) at the Fermi level which resulted in the enhancement of electron/ion conductivities. Because B atoms either substitute carbon atoms and/or assemble at grain boundaries (interstitial sites) inducing impurity levels close to the top of the valence band. At very high B-concentration, impurity states combine to form an impurity band which accesses the top of the valence band yielding metal like conductivity. Moreover, bond length and charge distributions are found to decrease with increase in boron percentage in diamond. It is noted that charge distribution decreased from +1.89 to -1.90 eV whereas bond length reduced by 0.04 Å with increasing boron content in diamond films. These theoretical results support our earlier experimental findings on B-doped diamond polycrystalline films which depict that the addition of boron atoms to diamond films gives a sudden fall in resistivity even up to 105 Ω cm making it a good semiconductor for its applications in electrical devices.

  18. Investigation of charge multiplication in single crystalline CVD diamond particle detectors

    Energy Technology Data Exchange (ETDEWEB)

    Muškinja, M.; Cindro, V.; Gorišek, A. [Jožef Stefan Institute, Jamova 39, SI-1000 Ljubljana (Slovenia); Kagan, H. [Department of Physics, Ohio State University (United States); Kramberger, G., E-mail: Gregor.Kramberger@ijs.si [Jožef Stefan Institute, Jamova 39, SI-1000 Ljubljana (Slovenia); Mandić, I. [Jožef Stefan Institute, Jamova 39, SI-1000 Ljubljana (Slovenia); Mikuž, M. [Jožef Stefan Institute, Jamova 39, SI-1000 Ljubljana (Slovenia); Faculty of Physics and Mathematics, University of Ljubljana (Slovenia); Phan, S.; Smith, D.S. [Department of Physics, Ohio State University (United States); Zavrtanik, M. [Jožef Stefan Institute, Jamova 39, SI-1000 Ljubljana (Slovenia)

    2017-01-01

    A special metallization pattern was created on a single crystalline diamond detector aimed at creating high enough electric field for impact ionization in the detector material. Electric field line focusing through electrode design and very high bias voltages were used to obtain high electric fields. Previous measurements and theoretical calculations indicated that drifting charge multiplication by impact ionization could take place. A large increase of induced charge was observed for the smallest dot electrode which points to charge multiplication while for the large dot and pad detector structure no such effect was observed. The evolution of induced currents was also monitored with the transient current technique. Induced current pulses with duration of order 1 μs were measured. The multiplication gain was found to depend on the particle rate.

  19. Boron-doped Diamond Electrodes: Electrochemical, Atomic Force Microscopy and Raman Study towards Corrosion-modifications at Nanoscale

    International Nuclear Information System (INIS)

    Kavan, Ladislav; Vlckova Zivcova, Zuzana; Petrak, Vaclav; Frank, Otakar; Janda, Pavel; Tarabkova, Hana; Nesladek, Milos; Mortet, Vincent

    2015-01-01

    Highlights: • B-doped diamond is nanostructured by corrosion-driven modifications occurring at carbonaceous impurity sites (sp 2 -carbons). • The electrochemical oxidation partly transforms a hydrogen-terminated diamond surface to O-terminated one, but the electrocatalytic activity of plasmatically O-terminated diamond is not achieved. • In contrast to all usual sp 2 carbons, the Raman spectra of B-doped diamond electrodes do not change upon electrochemical charging/discharging. - Abstract: Comparative studies of boron-doped diamonds electrodes (polycrystalline, single-crystalline, H-/O-terminated, and with different sp 3 /sp 2 ratios) indicate morphological modifications of diamond which are initiated by corrosion at nanoscale. In-situ electrochemical AFM imaging evidences that the textural changes start at non-diamond carbonaceous impurity sites treated at high positive potentials (>2.2 V vs. Ag/AgCl). The primary perturbations subsequently develop into sub-micron-sized craters. Raman spectroscopy shows that the primary erosion site is graphite-like (sp 2 -carbon), which is preferentially removed by anodic oxidation. Other non-diamond impurity, viz. tetrahedral amorphous carbon (t-aC), is less sensitive to oxidative decomposition. The diamond-related Raman features, including the B-doping-assigned modes, are intact during reversible electrochemical charging/discharging, which is a salient difference from all usual sp 2 -carbons. The electrochemical oxidation partly transforms a hydrogen-terminated diamond surface to O-terminated one, but the electrocatalytic activity of plasmatically O-terminated diamond is not achieved for a model redox couple, Fe 3+/2+ . Electrochemical impedance spectra were fitted to six different equivalent circuits. The determination of acceptor concentrations is feasible even for highly-doped diamond electrodes.

  20. Chemical vapor deposition of diamond onto iron based substrates. The use of barrier layers

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.

    1995-01-01

    When Fe is exposed to the plasma environment suitable for the chemical vapor deposition (CVD) of diamond, the surface is rapidly covered with a thick layer graphitic soot and C swiftly diffuses into the Fe substrate. Once the soot reaches a critical thickness, diamond films nucleate and grow on top of it. However, adhesion of the film to the substrate is poor due to the lack of structural integrity of the soot layer, A thin coating of TiN on the Fe can act to prevent diffusion and soot formation. Diamond readily grows upon the TiN via an a-C interface layer, but the a-C/TiN interface is weak and delamination occurs at this interface. In order to try and improve the adhesion, the use of a high dose Ti implant was investigated to replace the TiN coating. 7 refs., 6 figs