WorldWideScience

Sample records for polishing technology based

  1. Research on high-efficiency polishing technology of photomask substrate

    Science.gov (United States)

    Zhao, Shijie; Xie, Ruiqing; Zhou, Lian; Liao, Defeng; Chen, Xianhua; Wang, Jian

    2018-03-01

    A method of photomask substrate fabrication is demonstrated ,that the surface figure and roughness of fused silica will converge to target precision rapidly with the full aperture polishing. Surface figure of optical flats in full aperture polishing processes is primarily dependent on the surface profile of polishing pad, therefor, a improved function of polishing mechanism was put forward based on two axis lapping machine and technology experience, and the pad testing based on displacement sensor and the active conditioning method of the pad is applied in this research. Moreover , the clamping deformation of the thin glass is solved by the new pitch dispensing method. The experimental results show that the surface figure of the 152mm×152mm×6.35mm optical glass is 0.25λ(λ=633nm) and the roughness is 0.32nm ,which has meet the requirements of mask substrate for 90 45nm nodes.

  2. Polishing of silicon based advanced ceramics

    Science.gov (United States)

    Klocke, Fritz; Dambon, Olaf; Zunke, Richard; Waechter, D.

    2009-05-01

    Silicon based advanced ceramics show advantages in comparison to other materials due to their extreme hardness, wear and creep resistance, low density and low coefficient of thermal expansion. As a matter of course, machining requires high efforts. In order to reach demanded low roughness for optical or tribological applications a defect free surface is indispensable. In this paper, polishing of silicon nitride and silicon carbide is investigated. The objective is to elaborate scientific understanding of the process interactions. Based on this knowledge, the optimization of removal rate, surface quality and form accuracy can be realized. For this purpose, fundamental investigations of polishing silicon based ceramics are undertaken and evaluated. Former scientific publications discuss removal mechanisms and wear behavior, but the scientific insight is mainly based on investigations in grinding and lapping. The removal mechanisms in polishing are not fully understood due to complexity of interactions. The role of, e.g., process parameters, slurry and abrasives, and their influence on the output parameters is still uncertain. Extensive technological investigations demonstrate the influence of the polishing system and the machining parameters on the stability and the reproducibility. It is shown that the interactions between the advanced ceramics and the polishing systems is of great relevance. Depending on the kind of slurry and polishing agent the material removal mechanisms differ. The observed effects can be explained by dominating mechanical or chemo-mechanical removal mechanisms. Therefore, hypotheses to state adequate explanations are presented and validated by advanced metrology devices, such as SEM, AFM and TEM.

  3. Technology of Polish copper ore beneficiation – perspectives from the past experience

    Directory of Open Access Journals (Sweden)

    Wieniewski Andrzej

    2016-01-01

    Full Text Available The paper describes the main types of existing copper deposits in the world and the most common enrichment technologies. The characteristic elements of the current flowsheet of the Polish ore beneficiation were discussed together with the reasons for using them. The paper presents a perspective flowsheet based on more than 50-years of experience in beneficiation of Polish copper ore. The main elements of proposed technology are: leaving in justified cases the division of ore into fractions of increased sandstone and carbonate ores content, flotation in the milling circuit as part of the effective preparation of the ore to rougher flotation, intensive rougher flotation, classic cleaning system, processing of the middlings with grinding system in new type mills, classification and flotation with outlet of final tailings.

  4. Visible quality aluminum and nickel superpolish polishing technology enabling new missions

    Science.gov (United States)

    Carrigan, Keith G.

    2011-06-01

    It is now well understood that with US Department of Defense (DoD) budgets shrinking and the Services and Agencies demanding new systems which can be fielded more quickly, cost and schedule are being emphasized more and more. At the same time, the US has ever growing needs for advanced capabilities to support evolving Intelligence, Surveillance and Reconnaissance objectives. In response to this market demand for ever more cost-effective, faster to market, single-channel, athermal optical systems, we have developed new metal polishing technologies which allow for short-lead, low-cost metal substrates to replace more costly, longer-lead material options. In parallel, the commercial marketplace is being driven continually to release better, faster and cheaper electronics. Growth according to Moore's law, enabled by advancements in photolithography, has produced denser memory, higher resolution displays and faster processors. While the quality of these products continues to increase, their price is falling. This seeming paradox is driven by industry advancements in manufacturing technology. The next steps on this curve can be realized via polishing technology which allows low-cost metal substrates to replace costly Silicon based optics for use in ultra-short wavelength systems.

  5. Novel cavitation fluid jet polishing process based on negative pressure effects.

    Science.gov (United States)

    Chen, Fengjun; Wang, Hui; Tang, Yu; Yin, Shaohui; Huang, Shuai; Zhang, Guanghua

    2018-04-01

    Traditional abrasive fluid jet polishing (FJP) is limited by its high-pressure equipment, unstable material removal rate, and applicability to ultra-smooth surfaces because of the evident air turbulence, fluid expansion, and a large polishing spot in high-pressure FJP. This paper presents a novel cavitation fluid jet polishing (CFJP) method and process based on FJP technology. It can implement high-efficiency polishing on small-scale surfaces in a low-pressure environment. CFJP uses the purposely designed polishing equipment with a sealed chamber, which can generate a cavitation effect in negative pressure environment. Moreover, the collapse of cavitation bubbles can spray out a high-energy microjet and shock wave to enhance the material removal. Its feasibility is verified through researching the flow behavior and the cavitation results of the negative pressure cavitation machining of pure water in reversing suction flow. The mechanism is analyzed through a computational fluid dynamics simulation. Thus, its cavitation and surface removal mechanisms in the vertical CFJP and inclined CFJP are studied. A series of polishing experiments on different materials and polishing parameters are conducted to validate its polishing performance compared with FJP. The maximum removal depth increases, and surface roughness gradually decreases with increasing negative outlet pressures. The surface becomes smooth with the increase of polishing time. The experimental results confirm that the CFJP process can realize a high material removal rate and smooth surface with low energy consumption in the low-pressure environment, together with compatible surface roughness to FJP. Copyright © 2017 Elsevier B.V. All rights reserved.

  6. Research on Laser Micro Polishing of SLS Technology Sintered Iron-Based Powder Surface

    OpenAIRE

    Gerda Vaitkūnaitė; Vladislav Markovič; Olegas Černašėjus

    2015-01-01

    The article analyzes laser micro polishing of 1.2083 steel samples produced applying selective laser sintering (SLS) method. The study has evaluated the distribution of the shape, size and temperature of the laser beam treated area in the surface layer of sintered and laser polished samples. Experimental tests have shown the impact of the technical parameters of laser micro polishing on the width and hardness of the impact zone of the treated sample. The microstructure analysis of laser treat...

  7. DEMONSTRATION BULLETIN: COLLOID POLISHING FILTER METHOD - FILTER FLOW TECHNOLOGY, INC.

    Science.gov (United States)

    The Filter Flow Technology, Inc. (FFT) Colloid Polishing Filter Method (CPFM) was tested as a transportable, trailer mounted, system that uses sorption and chemical complexing phenomena to remove heavy metals and nontritium radionuclides from water. Contaminated waters can be pro...

  8. Research on Laser Micro Polishing of SLS Technology Sintered Iron-Based Powder Surface

    Directory of Open Access Journals (Sweden)

    Gerda Vaitkūnaitė

    2015-03-01

    Full Text Available The article analyzes laser micro polishing of 1.2083 steel samples produced applying selective laser sintering (SLS method. The study has evaluated the distribution of the shape, size and temperature of the laser beam treated area in the surface layer of sintered and laser polished samples. Experimental tests have shown the impact of the technical parameters of laser micro polishing on the width and hardness of the impact zone of the treated sample. The microstructure analysis of laser treated and untreated areas of the material has been made.

  9. International Perspectives: Polish Post-Secondary Vocational Schools and Canadian Community Colleges: A Comparison Using an Information Technology Conceptual Model

    Science.gov (United States)

    Butler, Norman L.; Davidson, Barry S.; Pachocinski, Ryszard; Griffith, Kimberly Grantham; Kritsonis, William Allan

    2007-01-01

    This study compares Polish post-secondary vocational institutions with Canadian community colleges using an information technology conceptual framework. The research concentrated upon programs in information technology delivered by one Polish school Cracow School of Information Technology and two Canadian community colleges Durham (Oshawa,…

  10. Origin of high oxide to nitride polishing selectivity of ceria-based slurry in the presence of picolinic acid

    International Nuclear Information System (INIS)

    Wang Liang-Yong; Liu Bo; Song Zhi-Tang; Liu Wei-Li; Feng Song-Lin; David Huang; Babu, S.V

    2011-01-01

    We report on the investigation of the origin of high oxide to nitride polishing selectivity of ceria-based slurry in the presence of picolinic acid. The oxide to nitride removal selectivity of the ceria slurry with picolinic acid is as high as 76.6 in the chemical mechanical polishing. By using zeta potential analyzer, particle size analyzer, horizon profilometer, thermogravimetric analysis and Fourier transform infrared spectroscopy, the pre- and the post-polished wafer surfaces as well as the pre- and the post-used ceria-based slurries are compared. Possible mechanism of high oxide to nitride selectivity with using ceria-based slurry with picolinic acid is discussed. (interdisciplinary physics and related areas of science and technology)

  11. Technological Advances of Robot Assisted Polishing

    DEFF Research Database (Denmark)

    Lazarev, Ruslan; Top, Søren; Grønbæk, Jens

    The efficient polishing of surfaces is very important in mould and die industry. Fine abrasive processes are widely used in industry for the first steps for the production of tools of high quality in terms of finishing accuracy, form and surface integrity. While manufacturing of most components....... In this study, the influence of polishing parameters and type of polishing media on fine abrasive surface finishing is investigated. Experimental study is covering 2D rotational surfaces that is widespread used in mould and dies industry. Application of it is essential for process intelligent control, condition...... monitoring and quality inspection....

  12. Convergent Polishing: A Simple, Rapid, Full Aperture Polishing Process of High Quality Optical Flats & Spheres

    Science.gov (United States)

    Suratwala, Tayyab; Steele, Rusty; Feit, Michael; Dylla-Spears, Rebecca; Desjardin, Richard; Mason, Dan; Wong, Lana; Geraghty, Paul; Miller, Phil; Shen, Nan

    2014-01-01

    Convergent Polishing is a novel polishing system and method for finishing flat and spherical glass optics in which a workpiece, independent of its initial shape (i.e., surface figure), will converge to final surface figure with excellent surface quality under a fixed, unchanging set of polishing parameters in a single polishing iteration. In contrast, conventional full aperture polishing methods require multiple, often long, iterative cycles involving polishing, metrology and process changes to achieve the desired surface figure. The Convergent Polishing process is based on the concept of workpiece-lap height mismatch resulting in pressure differential that decreases with removal and results in the workpiece converging to the shape of the lap. The successful implementation of the Convergent Polishing process is a result of the combination of a number of technologies to remove all sources of non-uniform spatial material removal (except for workpiece-lap mismatch) for surface figure convergence and to reduce the number of rogue particles in the system for low scratch densities and low roughness. The Convergent Polishing process has been demonstrated for the fabrication of both flats and spheres of various shapes, sizes, and aspect ratios on various glass materials. The practical impact is that high quality optical components can be fabricated more rapidly, more repeatedly, with less metrology, and with less labor, resulting in lower unit costs. In this study, the Convergent Polishing protocol is specifically described for fabricating 26.5 cm square fused silica flats from a fine ground surface to a polished ~λ/2 surface figure after polishing 4 hr per surface on a 81 cm diameter polisher. PMID:25489745

  13. Production of rare earth polishing powders in Russia

    International Nuclear Information System (INIS)

    Kosynkin, V.D.; Ivanov, E.N.; Kotrekhov, V.A.; Shtutza, M.G.; Grabko, A.I.

    1998-01-01

    Full text: Russia is a potent producer of polishing powders made of rare earth material presented as an extensive and well developed base. Considering the reserves, the facilities predisposition and the polishing agent (cerium dioxide) content the chief mineral source is loparite, apatite and monazite. The production of rare earth polishing powders is based on specially developed continuous technological processes, corrosion-proof equipment, ensuring a high and stable production quality. A special attention is paid to the radiation safety of the powders. The initial material for the rare earth polishing powders based on loparite is the fusion cake of rare earth chlorides obtained at that mineral chlorination. The technology of the polishing powder production from the REE fusion cake includes the following stages: dissolution of the REE fusion cake chlorides; - thorough cleaning of the REE fusion cake chlorides from radioactive and non-rare-earth impurities; chemical precipitation of REE carbonates, obtaining middlings with proper material and granulometric composition, thermal treatment of precipitated carbonates followed with the operations of drying and roasting; classification of roasted oxides, obtaining end products - polishing powders. The production of fluorine-containing powders includes the stage of their fluorination after the stage of carbonate precipitation. The stabilizing doping can be introduced both into the middlings during one of the technological process of powders manufacturing and into the end product. Rare earth polishing powders are manufactured in Russia by the Share Holding Company 'Chepetz Mechanical Plant' (ChMP Co.), the city of Glasov. The plant produces a number of polishing materials, such as; polishing powder Optinol, containing at least 50% by mass of cerium dioxide, used in the mass production of optical and other articles; polishing powder Optinol-10 with doping to improve the sedimentary and aggregate stability of the solid phase

  14. Macroeconomic, legal, administrative and cultural factors of technological innovations1 in Polish industrial enterprises

    Directory of Open Access Journals (Sweden)

    Stanisław Sudoł

    2016-12-01

    The vast majority of experts participating in the study positively assessed the cultural conditions of technological innovativeness in Polish enterprises. Experts also provided negative cultural characteristics of Poles.

  15. Electrochemical Polishing Applications and EIS of a Vitamin B4-Based Ionic Liquid

    International Nuclear Information System (INIS)

    Wixtrom, Alex I.; Buhler, Jessica E.; Reece, Charles E.; Abdel-Fattah, Tarek M.

    2013-01-01

    Modern particle accelerators require minimal interior surface roughness for Niobium superconducting radio frequency (SRF) cavities. Polishing of the Nb is currently achieved via electrochemical polishing with concentrated mixtures of sulfuric and hydrofluoric acids. This acid-based approach is effective at reducing the surface roughness to acceptable levels for SRF use, but due to acid-related hazards and extra costs (including safe disposal of used polishing solutions), an acid-free method would be preferable. This study focuses on an alternative electrochemical polishing method for Nb, using a novel ionic liquid solution containing choline chloride, also known as Vitamin B 4 (VB 4 ). Potentiostatic electrochemical impedance spectroscopy (EIS) was also performed on the VB4-based system. Nb polished using the VB4-based method was found to have a final surface roughness comparable to that achieved via the acid-based method, as assessed by atomic force microscopy (AFM). These findings indicate that acid-free VB 4 -based electrochemical polishing of Nb represents a promising replacement for acid-based methods of SRF cavity preparation

  16. Computer-Controlled Cylindrical Polishing Process for Development of Grazing Incidence Optics for Hard X-Ray Region

    Science.gov (United States)

    Khan, Gufran Sayeed; Gubarev, Mikhail; Speegle, Chet; Ramsey, Brian

    2010-01-01

    The presentation includes grazing incidence X-ray optics, motivation and challenges, mid spatial frequency generation in cylindrical polishing, design considerations for polishing lap, simulation studies and experimental results, future scope, and summary. Topics include current status of replication optics technology, cylindrical polishing process using large size polishing lap, non-conformance of polishin lap to the optics, development of software and polishing machine, deterministic prediction of polishing, polishing experiment under optimum conditions, and polishing experiment based on known error profile. Future plans include determination of non-uniformity in the polishing lap compliance, development of a polishing sequence based on a known error profile of the specimen, software for generating a mandrel polishing sequence, design an development of a flexible polishing lap, and computer controlled localized polishing process.

  17. Polish-Bulgarian-Russian, Bulgarian-Polish-Russian or Russian-Bulgarian-Polish dictionary?

    Directory of Open Access Journals (Sweden)

    Violetta Koseska-Toszewa

    2015-11-01

    Full Text Available Polish-Bulgarian-Russian, Bulgarian-Polish-Russian or Russian-Bulgarian-Polish dictionary? The trilingual dictionary (M. Duszkin, V. Koseska, J. Satoła and A. Tzoneva is being elaborated based on a working Polish-Bulgarian-Russian electronic parallel corpus authored by Maksim Duszkin, Violetta Koseska-Toszewa and Joanna Satoła-Staśkowiak, and works by A. Tzoneva. It is the first corpus comparing languages belonging to three different Slavic language groups: western, southern and eastern. Works on the dictionary are based on Gramatyka konfrontatywna bułgarsko-polska (Bulgarian-Polish confrontative grammar and the proposed there semantic-oriented interlanguage. Two types of classifiers have been introduced into the dictionary: classic and semantic. The trilingual dictionary will present a consistent and homogeneous set of facts of grammar and semantics. The Authors point out that in a traditional dictionary it is not clear for example whether aspect should be understood as imperfective / perfective form of a verb or as its meaning. Therefore in the dictionary forms and meaning are separated in a regular way. Imperfective verb form has two meanings: state and configuration of states and events culminating in state. Also perfective verb form has two meanings: event and configuration of states and events culminating in event. These meanings are described by the semantic classifiers, respectively, state and event, state1 and event1. The way of describing language units, mentioned in the article, gives a possibility to present language material (Polish, Bulgarian, Russian in any required order, hence the article’s title.

  18. Technology Transfer in Poland: An Investment of U.S. Government, U.S. Corporate, and Polish Government Strategies

    National Research Council Canada - National Science Library

    Hays, Susan

    1998-01-01

    This case study examines how U.S. Government (USG) policy, U.S. corporate policy, and Polish government policy affect the strategy of technology transfer of military and/or dual-use technologies in Poland...

  19. Polish energy-system modernisation

    International Nuclear Information System (INIS)

    Drozdz, M.

    2003-01-01

    The Polish energy-system needs intensive investments in new technologies, which are energy efficient, clean and cost effective. Since the early 1990s, the Polish economy has had practically full access to modern technological devices, equipment and technologies. Introducing new technologies is a difficult task for project teams, constructors and investors. The author presents a set of principles for project teams useful in planning and energy modernisation. Several essential features are discussed: Energy-efficient appliances and systems; Choice of energy carriers, media and fuels; Optimal tariffs, maximum power and installed power; Intelligent, integrated, steering systems; Waste-energy recovery; Renewable-energy recovery. In practice there are several difficulties connected with planning and realising good technological and economic solutions. The author presents his own experiences of energy-system modernisation of industrial processes and building new objects. (Author)

  20. Microscopic machining mechanism of polishing based on vibrations of liquid

    International Nuclear Information System (INIS)

    Huang, Z G; Guo, Z N; Chen, X; Yu, Z Q; Yu, T M; Lee, W B

    2007-01-01

    A molecular dynamics method has been applied to study the mechanism of polishing based on vibrations of liquid. Movements of polishing particles and formations of impact dents are simulated and discussed. The abrasive effect between particle and machined substrate is evaluated empirically. Polishing qualities, including roughness and fractal character under multiple impacts, are obtained by numerical methods. Results show that the particle will vibrate and roll viscously on the substrate. Press, tear and self-organization effects will be responsible for the formation of impact dents. Simulation results are compared with experimental data to verify the conclusions

  1. Numerical simulation of polishing U-tube based on solid-liquid two-phase

    Science.gov (United States)

    Li, Jun-ye; Meng, Wen-qing; Wu, Gui-ling; Hu, Jing-lei; Wang, Bao-zuo

    2018-03-01

    As the advanced technology to solve the ultra-precision machining of small hole structure parts and complex cavity parts, the abrasive grain flow processing technology has the characteristics of high efficiency, high quality and low cost. So this technology in many areas of precision machining has an important role. Based on the theory of solid-liquid two-phase flow coupling, a solid-liquid two-phase MIXTURE model is used to simulate the abrasive flow polishing process on the inner surface of U-tube, and the temperature, turbulent viscosity and turbulent dissipation rate in the process of abrasive flow machining of U-tube were compared and analyzed under different inlet pressure. In this paper, the influence of different inlet pressure on the surface quality of the workpiece during abrasive flow machining is studied and discussed, which provides a theoretical basis for the research of abrasive flow machining process.

  2. The determinants of the changes in polish food industry based on the financial results

    Directory of Open Access Journals (Sweden)

    Malwina Białas

    2016-07-01

    Full Text Available For the last two decades Polish food sector has undergone significant transformations. It was one of the quickest branch to reborn after the crisis related to the changes of political system in Poland. Since then, it has become an important stimulator of economic growth. Due to  the constant technical, technological and organizational development of the sector, Poland has become one of the leading modern and innovative European food producers. The food industry development contributed to the remarkable export success of Polish businesses. The considerable growth of the sector was triggered by the need for adaptation of Polish companies to the EU standards, as well as joining to the EU structures. The above research paper was created on the basis of the comparative analysis and it summerises the financial results of the financial industry in selected areas, which have been discussed owing to the changes in the functioning of Polish economy.

  3. The influence of polishing techniques on pre-polymerized CAD\\CAM acrylic resin denture bases.

    Science.gov (United States)

    Alammari, Manal Rahma

    2017-10-01

    Lately, computer-aided design and computer-aided manufacturing (CAD/CAM) has broadly been successfully employed in dentistry. The CAD/CAM systems have recently become commercially available for fabrication of complete dentures, and are considered as an alternative technique to conventionally processed acrylic resin bases. However, they have not yet been fully investigated. The purpose of this study was to inspect the effects of mechanical polishing and chemical polishing on the surface roughness (Ra) and contact angle (wettability) of heat-cured, auto-cured and CAD/CAM denture base acrylic resins. This study was conducted at the Advanced Dental Research Laboratory Center of King Abdulaziz University from March to June 2017. Three denture base materials were selected: heat cure poly-methylmethacrylate resin, thermoplastic (polyamide resin) and (CAD\\CAM) denture base resin. Sixty specimens were prepared and divided into three groups, twenty in each. Each group was divided according to the polishing techniques into (Mech P) and (Chem P), ten specimens in each; surface roughness and wettability were investigated. Data were analyzed by SPSS version 22, using one-way ANOVA and Pearson coefficient. One-way analysis of variance (ANOVA) and post hoc tests were used for comparing the surface roughness values between three groups which revealed a statistical significant difference between them (p 1 CAD\\CAM denture base material (group III) showed the least mean values (1.08±0.23, 1.39±0.31, Mech P and Chem P respectively). CAD/CAM showed the least contact angle in both polishing methods, which were statistically significant at 5% level (p=0.034 and pCAD\\CAM denture base resin with superior smooth surface compared to chemical polishing. Mechanical polishing is considered the best effective polishing technique. CAD/CAM denture base material should be considered as the material of choice for complete denture construction in the near future, especially for older dental

  4. Electroluminescence Analysis by Tilt Polish Technique of InP-Based Semiconductor Lasers

    Science.gov (United States)

    Ichikawa, Hiroyuki; Sasaki, Kouichi; Hamada, Kotaro; Yamaguchi, Akira

    2010-03-01

    We developed an effective electroluminescence (EL) analysis method to specify the degraded region of InP-based semiconductor lasers. The EL analysis method is one of the most important methods for failure analysis. However, EL observation was difficult because opaque electrodes surround an active layer. A portion of each electrode had to be left intact for wiring to inject the current. Thus, we developed a partial polish technique for the bottom electrode. Tilt polish equipment with a rotating table was introduced; a flat polished surface and a sufficiently wide remaining portion of the bottom electrode were obtained. As a result, clear EL from the back surface of the laser was observed.

  5. Polish Industry and Art at CERN

    CERN Multimedia

    2000-01-01

    On 17 October 2000 the second Polish industrial and technological exhibition opened at CERN. The first one was held five years ago and nine of the companies that were present then have come back again this year. Six of those companies were awarded contracts with CERN in 1995. Three Polish officials were present at the Opening Ceremony today: Mrs Malgorzata Kozlowska, Under-secretary of State in the State Committee for Scientific Research, Mr Henryk Ogryczak, Under-secretary of State in Ministry of Economy and Prof. Jerzy Niewodniczanski, President of National Atomic Energy Agency. Professor Luciano Maiani welcomed the Polish delegation to CERN and stressed the important contribution of Polish scientists and industrialists to the work of the laboratory. Director General Luciano Maiani (back left) and head of SPL division Karl-Heinz Kissler (back right) visit the Poland at CERN exhibition… The exhibition offers Polish companies the opportunity to establish professional contacts with CERN. Nineteen companies...

  6. Magnetic field sensor based on double-sided polished fibre-Bragg gratings

    International Nuclear Information System (INIS)

    Tien, Chuen-Lin; Hwang, Chang-Chou; Liu, Wen-Feng; Chen, Hong-Wei

    2009-01-01

    A new magnetic field sensor based on double-sided polished fibre-Bragg gratings (FBGs) coated with an iron thin film for measuring magnetic flux density was experimentally demonstrated with the sensitivity of 25.6 nm T −1 . The sensing mechanism is based on the Bragg wavelength shift as the magnetic field is measured by the proposed sensing head. Results of this study present the intensity of the reflected optical signal as a function of the applied strain on the FBG. This paper shows that an improved method for sensing the wavelength shift with changes in external magnetic field is developed by use of the double-sided polished FBGs

  7. Chemical mechanical glass polishing with cerium oxide: Effect of selected physico-chemical characteristics on polishing efficiency

    Czech Academy of Sciences Publication Activity Database

    Janoš, P.; Ederer, J.; Pilařová, V.; Henych, Jiří; Tolasz, Jakub; Milde, D.; Opletal, T.

    2016-01-01

    Roč. 362, SEP (2016), s. 114-120 ISSN 0043-1648 R&D Projects: GA MŠk(CZ) LM2015073 Institutional support: RVO:61388980 Keywords : Chemical mechanical polishing * Ceria-based polishing powders * Polishing efficienc Subject RIV: CA - Inorganic Chemistry Impact factor: 2.531, year: 2016

  8. Functional Median Polish

    KAUST Repository

    Sun, Ying

    2012-08-03

    This article proposes functional median polish, an extension of univariate median polish, for one-way and two-way functional analysis of variance (ANOVA). The functional median polish estimates the functional grand effect and functional main factor effects based on functional medians in an additive functional ANOVA model assuming no interaction among factors. A functional rank test is used to assess whether the functional main factor effects are significant. The robustness of the functional median polish is demonstrated by comparing its performance with the traditional functional ANOVA fitted by means under different outlier models in simulation studies. The functional median polish is illustrated on various applications in climate science, including one-way and two-way ANOVA when functional data are either curves or images. Specifically, Canadian temperature data, U. S. precipitation observations and outputs of global and regional climate models are considered, which can facilitate the research on the close link between local climate and the occurrence or severity of some diseases and other threats to human health. © 2012 International Biometric Society.

  9. Precision machining and polishing of scintillating crystals for large calorimeters and hodoscopes

    International Nuclear Information System (INIS)

    Wuest, C.R.; Fuchs, B.A.

    1993-05-01

    New machining and polishing techniques have been developed for large barium fluoride scintillating crystals that provide crystalline surfaces without sub-surface damage or deformation as verified by Atomic Force Microscopy (AFM) and Rutherford Back-scattering (RBS) analyses. Surface roughness of about 10--20 angstroms and sub-micron mechanical tolerances have been demonstrated on large crystal samples. Mass production techniques have also been developed for machining and polishing up to five 50 cm long crystals at one time. We present this technology along with surface studies of barium fluoride crystals polished with this technique. This technology is applicable for a number of new crystal detectors proposed at Colliders including the Barium Fluoride Electromagnetic Calorimeter at SSC, the Crystal Clear Collaboration's cerium fluoride calorimeter at LHC, and the KTeV and PHENIX scintillating hodoscopes at Fermilab, and RHIC, respectively. Lawrence Livermore National Laboratory (LLNL) has an active program of study on barium fluoride scintillating crystals for the Barium Fluoride Electromagnetic Calorimeter Collaboration and cerium fluoride and lead fluoride for the Crystal Clear Collaboration. This program has resulted in a number of significant improvements in the mechanical processing, polishing and coating of fluoride crystals. Techniques have been developed using diamond-loaded pitch lapping that can produce 15 angstrom RMS surface finishes over large areas. Also, special polishing fixtures have been designed based on mounting technology developed for the 1.1 m diameter optics used in LLNL's Nova Laser. These fixtures allow as many as five 25--50 cm long crystals to be polished and lapped at the same time with tolerances satisfying the stringent requirements of crystal calorimeters. We also discuss results on coating barium fluoride with UV reflective layers of magnesium fluoride and aluminum

  10. Word Sense Disambiguation Based on Large Scale Polish CLARIN Heterogeneous Lexical Resources

    Directory of Open Access Journals (Sweden)

    Paweł Kędzia

    2015-12-01

    Full Text Available Word Sense Disambiguation Based on Large Scale Polish CLARIN Heterogeneous Lexical Resources Lexical resources can be applied in many different Natural Language Engineering tasks, but the most fundamental task is the recognition of word senses used in text contexts. The problem is difficult, not yet fully solved and different lexical resources provided varied support for it. Polish CLARIN lexical semantic resources are based on the plWordNet — a very large wordnet for Polish — as a central structure which is a basis for linking together several resources of different types. In this paper, several Word Sense Disambiguation (henceforth WSD methods developed for Polish that utilise plWordNet are discussed. Textual sense descriptions in the traditional lexicon can be compared with text contexts using Lesk’s algorithm in order to find best matching senses. In the case of a wordnet, lexico-semantic relations provide the main description of word senses. Thus, first, we adapted and applied to Polish a WSD method based on the Page Rank. According to it, text words are mapped on their senses in the plWordNet graph and Page Rank algorithm is run to find senses with the highest scores. The method presents results lower but comparable to those reported for English. The error analysis showed that the main problems are: fine grained sense distinctions in plWordNet and limited number of connections between words of different parts of speech. In the second approach plWordNet expanded with the mapping onto the SUMO ontology concepts was used. Two scenarios for WSD were investigated: two step disambiguation and disambiguation based on combined networks of plWordNet and SUMO. In the former scenario, words are first assigned SUMO concepts and next plWordNet senses are disambiguated. In latter, plWordNet and SUMO are combined in one large network used next for the disambiguation of senses. The additional knowledge sources used in WSD improved the performance

  11. The Effect Of The Use Of Mobile Technologies By Management In Polish Manufacturing Enterprises On The Efficiency Of Knowledge Transfer Within A Company

    Directory of Open Access Journals (Sweden)

    Patalas-Maliszewska Justyna

    2015-06-01

    Full Text Available This article elaborates an existence of a positive effect of the use of mobile technologies by managers, according to their competences, on the efficiency of explicit knowledge transfer in a manufacturing company. It focuses on the set of the competences of managers, who use the mobile technologies in manufacturing companies and is based on a survey and data obtained from 119 Polish manufacturing enterprises. This article develops a framework of how managers can determinate the knowledge transfer in a manufacturing company and further discusses the research results.

  12. Chemical Mechanical Polishing of Ruthenium, Cobalt, and Black Diamond Films

    Science.gov (United States)

    Peethala, Brown Cornelius

    Ta/TaN bilayer serves as the diffusion barrier as well as the adhesion promoter between Cu and the dielectric in 32 nm technology devices. A key concern of future technology devices (layer (vs. a bilayer of Ta/TaN) to act as a barrier. During patterning, they need to be planarized using conventional chemical mechanical polishing (CMP) to achieve a planar surface. However, CMP of these new barrier materials requires novel slurry compositions that provide adequate selectivity towards Cu and dielectric films, and minimize galvanic corrosion. Apart from the application as a barrier, Ru also has been proposed as a lower electrode material in metal-insulator-metal capacitors where high (> 50 nm/min) Ru removal rates (RRs) are required and as a stop layer in magnetic recording head fabrication where low (hydroxide (KOH). It was also determined that increased the ionic strength is not responsible for the observed increase in Ru removal rate. Benzotirazole (BTA) and ascorbic acid were added to the slurry to reduce the open circuit potential (Eoc) difference between Cu and Ru to ˜20 mV from about 550 mV in the absence of additives. A removal mechanism with KIO4 as the oxidizing agent is proposed based on the formation of several ruthenium oxides, some of which formed residues on the polishing pad below a pH of ˜7. Next, a colloidal silica-based slurry with hydrogen peroxide (H 2O2) as the oxidizer (1 wt%), and arginine (0.5 wt%) as the complexing agent was developed to polish Co at pH 10. The Eoc between Cu and Co at the above conditions was reduced to ˜20 mV compared to ˜250 mV in the absence of additives, suggestive of reduced galvanic corrosion during the Co polishing. The slurry also has the advantages of good post-polish surface quality at pH 10, and no dissolution rate. BTA at a concentration of 5mM in this slurry inhibited Cu dissolution rates and yielded a Cu/Co RR ratio of ˜0.8:1 while the open potential difference between Cu and Co was further reduced to ˜10

  13. Color stability of bulk-fill and incremental-fill resin-based composites polished with aluminum-oxide impregnated disks

    Directory of Open Access Journals (Sweden)

    Uzay Koc-Vural

    2017-05-01

    Full Text Available Objectives This study aimed to evaluate the color stability of bulk-fill and nanohybrid resin-based composites polished with 3 different, multistep, aluminum-oxide impregnated finishing and polishing disks. Materials and Methods Disk-shaped specimens (8 mm in diameter and 4 mm in thickness were light-cured between two glass slabs using one nanohybid bulk-fill (Tetric EvoCeram, Ivoclar Vivadent, one micro-hybrid bulk-fill (Quixfil, Dentsply, and two nanohybrid incremental-fill (Filtek Ultimate, 3M ESPE; Herculite XRV Ultra, Kerr resin-based composites, and aged by thermocycling (between 5 - 55℃, 3,000 cycles. Then, they were divided into subgroups according to the polishing procedure as SwissFlex (Coltène/Whaledent, Optidisc (Kerr, and Praxis TDV (TDV Dental (n = 12 per subgroup. One surface of each specimen was left unpolished. All specimens were immersed in coffee solution at 37℃. The color differences (ΔE were measured after 1 and 7 days of storage using a colorimeter based on CIE Lab system. The data were analyzed by univariate ANOVA, Mann-Whitney U test, and Friedmann tests (α = 0.05. Results Univariate ANOVA detected significant interactions between polishing procedure and composite resin and polishing procedure and storage time (p 0.05. Polishing reduced the discoloration resistance of Tetric EvoCeram/SwissFlex, Tetric EvoCeram/Praxis TDV, Quixfil-SwissFlex, and all Herculite XRV Ultra groups after 7 days storage (p < 0.05. Conclusions Discoloration resistance of bulk-fill resin-based composites can be significantly affected by the polishing procedures.

  14. A novel vibration assisted polishing device based on the flexural mechanism driven by the piezoelectric actuators

    Directory of Open Access Journals (Sweden)

    Guilian Wang

    2018-01-01

    Full Text Available The vibration assisted polishing has widely application fields because of higher machining frequency and better polishing quality, especially the polishing with the non-resonant mode that is regarded as a kind of promising polishing method. This paper reports a novel vibration assisted polishing device, consisting of the flexible hinge mechanism driven by the piezoelectric actuators, which is suitable for polishing planes or curve surfaces with slow curvature. Firstly, the generation methods of vibration trajectory are investigated for the same frequency and different frequency signals’ inputs, respectively, and then the types of elliptic and Lissajous’s vibration trajectories are generated respectively. Secondly, a flexural mechanism consisting of the right circular flexible hinges and the leaf springs is developed to produce two-dimensional vibration trajectory. Statics and dynamics investigating of this flexible mechanism are finished in detail. The analytical models about input and output compliances of the flexural mechanism are established according to the matrix-based compliance modeling, and the dynamic model of the flexural mechanism based on the Euler-Lagrange equation is also presented. The finite element model of the flexural mechanism was established to carry out the numerical simulation in order to testify the rationality of device design. Finally, the polishing experiment is carried out to prove the effectiveness of the vibration device. The experimental results show that this novel vibration assisted polishing device developed in this study can remove more effectively the cutting marks left by last process and obviously reduce the workpiece surface roughness.

  15. Sensing roughness and polish direction

    DEFF Research Database (Denmark)

    Jakobsen, Michael Linde; Olesen, Anders Sig; Larsen, Henning Engelbrecht

    2016-01-01

    As a part of the work carried out in a project supported by the Danish Council for Technology and Innovation, we have investigated the option of smoothing standard CNC-machined surfaces. In the process of constructing optical prototypes, involving custom-designed optics, the development cost...... and time consumption can become prohibitive in a research budget. Machining the optical surfaces directly is expensive and time consuming. Alternatively, a more standardized and cheaper machining method can be used, calling for the object to be manually polished. During the polishing process, the operator...... needs information about the RMS-value of the surface roughness and the current direction of the scratches introduced by the polishing process. The RMS-value indicates to the operator how far he is from the final finish, and the scratch orientation is often specified by the customer in order to avoid...

  16. Laser polishing of 3D printed mesoscale components

    International Nuclear Information System (INIS)

    Bhaduri, Debajyoti; Penchev, Pavel; Batal, Afif; Dimov, Stefan; Soo, Sein Leung; Sten, Stella; Harrysson, Urban; Zhang, Zhenxue; Dong, Hanshan

    2017-01-01

    Highlights: • Process optimisation for laser polishing novel 3D printed SS316L parts. • Evaluating the effects of key polishing parameters on SS316L surface roughness. • Detailed spectroscopic analysis of oxide layer formation due to laser polishing. • Comparative surface integrity analysis of SS parts polished in air and argon. • A maximum reduction in roughness of over 94% achieved at optimised polishing settings. - Abstract: Laser polishing of various engineered materials such as glass, silica, steel, nickel and titanium alloys, has attracted considerable interest in the last 20 years due to its superior flexibility, operating speed and capability for localised surface treatment compared to conventional mechanical based methods. The paper initially reports results from process optimisation experiments aimed at investigating the influence of laser fluence and pulse overlap parameters on resulting workpiece surface roughness following laser polishing of planar 3D printed stainless steel (SS316L) specimens. A maximum reduction in roughness of over 94% (from ∼3.8 to ∼0.2 μm S_a) was achieved at the optimised settings (fluence of 9 J/cm"2 and overlap factors of 95% and 88–91% along beam scanning and step-over directions respectively). Subsequent analysis using both X-ray photoelectron spectroscopy (XPS) and glow discharge optical emission spectroscopy (GDOES) confirmed the presence of surface oxide layers (predominantly consisting of Fe and Cr phases) up to a depth of ∼0.5 μm when laser polishing was performed under normal atmospheric conditions. Conversely, formation of oxide layers was negligible when operating in an inert argon gas environment. The microhardness of the polished specimens was primarily influenced by the input thermal energy, with greater sub-surface hardness (up to ∼60%) recorded in the samples processed with higher energy density. Additionally, all of the polished surfaces were free of the scratch marks, pits, holes, lumps

  17. Laser polishing of 3D printed mesoscale components

    Energy Technology Data Exchange (ETDEWEB)

    Bhaduri, Debajyoti, E-mail: debajyoti.bhaduri@gmail.com [Department of Mechanical Engineering, School of Engineering, University of Birmingham, Edgbaston, Birmingham, B15 2TT (United Kingdom); Penchev, Pavel; Batal, Afif; Dimov, Stefan; Soo, Sein Leung [Department of Mechanical Engineering, School of Engineering, University of Birmingham, Edgbaston, Birmingham, B15 2TT (United Kingdom); Sten, Stella; Harrysson, Urban [Digital Metal, Höganäs AB, 263 83 Höganäs (Sweden); Zhang, Zhenxue; Dong, Hanshan [School of Metallurgy and Materials, University of Birmingham, Edgbaston, Birmingham, B15 2TT (United Kingdom)

    2017-05-31

    Highlights: • Process optimisation for laser polishing novel 3D printed SS316L parts. • Evaluating the effects of key polishing parameters on SS316L surface roughness. • Detailed spectroscopic analysis of oxide layer formation due to laser polishing. • Comparative surface integrity analysis of SS parts polished in air and argon. • A maximum reduction in roughness of over 94% achieved at optimised polishing settings. - Abstract: Laser polishing of various engineered materials such as glass, silica, steel, nickel and titanium alloys, has attracted considerable interest in the last 20 years due to its superior flexibility, operating speed and capability for localised surface treatment compared to conventional mechanical based methods. The paper initially reports results from process optimisation experiments aimed at investigating the influence of laser fluence and pulse overlap parameters on resulting workpiece surface roughness following laser polishing of planar 3D printed stainless steel (SS316L) specimens. A maximum reduction in roughness of over 94% (from ∼3.8 to ∼0.2 μm S{sub a}) was achieved at the optimised settings (fluence of 9 J/cm{sup 2} and overlap factors of 95% and 88–91% along beam scanning and step-over directions respectively). Subsequent analysis using both X-ray photoelectron spectroscopy (XPS) and glow discharge optical emission spectroscopy (GDOES) confirmed the presence of surface oxide layers (predominantly consisting of Fe and Cr phases) up to a depth of ∼0.5 μm when laser polishing was performed under normal atmospheric conditions. Conversely, formation of oxide layers was negligible when operating in an inert argon gas environment. The microhardness of the polished specimens was primarily influenced by the input thermal energy, with greater sub-surface hardness (up to ∼60%) recorded in the samples processed with higher energy density. Additionally, all of the polished surfaces were free of the scratch marks, pits, holes

  18. Chemical mechanical polisher technology for 300mm/0.18-0.13{mu}m semiconductor devices; 300mm/0.18-0.-0.13{mu}m sedai no CMP gijutsu

    Energy Technology Data Exchange (ETDEWEB)

    Tsujimura, M.; Kobayashi, F. [Ebara Corp., Tokyo (Japan)

    1998-10-20

    Described herein are problems involved in, and development points and measures for chemical mechanical polisher (CMP) technology for the generation of 300mm/0.18 to 0.13{mu}m semiconductor devices. Ebara has developed a CMP system for 300mm devices for I300I and Selete (semiconductor high-technologies). The polishing process conditions are set for the time being based on those for the 200mm devices, and the driver and machine structures are set at 2.25 times larger than those for the 200mm devices. Its space requirement is compacter at 1.3 times increase. The company has adopted a concept of `dry-in and dry-out,` which is not common for a CMP. This needs integration of the washer with the polisher, and aerodynamic designs for dust-free conditions. These are already developed for the 200mm devices, and applicable to the 300mm devices without causing any problem. The special chamber for the conventional CMP can be dispensed with, reducing cost. Expendables, such as slurry pad, are being developed to double their service lives and halve their consumption. 8 figs.

  19. Surface Roughness, Microhardness, and Microleakage of a Silorane-Based Composite Resin after Immediate or Delayed Finishing/Polishing

    Directory of Open Access Journals (Sweden)

    Fernanda Carvalho Rezende Lins

    2016-01-01

    Full Text Available Objective. This study evaluated the effect of immediate or delayed finishing/polishing using different systems on the surface roughness, hardness, and microleakage of a silorane-based composite. Material and Methods. Specimens were made with silorane-based composite (Filtek P90, 3M ESPE and assigned to the treatments: control (light-cured; aluminum oxide discs (Sof-Lex, 3M ESPE; diamond-impregnated silicone tips (Astropol, Ivoclar Vivadent; aluminum oxide-impregnated silicone tips (Enhance, Dentsply. Half of the specimens were finished/polished immediately and the rest after 7 days. Surface roughness (Ra, μm; n=20 and Vickers microhardness (50 g; 45 s; n=10 were measured. Cavities were prepared in bovine incisors and filled with Filtek P90. The fillings received immediate or delayed finishing/polishing (n=10 and were subjected to dye penetration test (0.5% basic fuchsin, 24 h. Data were analyzed by ANOVA and Scheffe, Kruskal-Wallis, and Mann-Whitney tests (p<0.05. Results. The finishing/polishing system significantly influenced roughness and microhardness (p<0.0001. For enamel, microleakage was not affected by the finishing/polishing system (p=0.309. For dentin, Sof-Lex discs and Astropol points promoted greater microleakage than Enhance points (p=0.033. Conclusion. Considering roughness, microhardness, and microleakage together, immediate finishing/polishing of a silorane-based composite using aluminum oxide discs may be recommended.

  20. Laser polishing of additive manufactured Ti alloys

    Science.gov (United States)

    Ma, C. P.; Guan, Y. C.; Zhou, W.

    2017-06-01

    Laser-based additive manufacturing has attracted much attention as a promising 3D printing method for metallic components in recent years. However, surface roughness of additive manufactured components has been considered as a challenge to achieve high performance. In this work, we demonstrate the capability of fiber laser in polishing rough surface of additive manufactured Ti-based alloys as Ti-6Al-4V and TC11. Both as-received surface and laser-polished surfaces as well as cross-section subsurfaces were analyzed carefully by White-Light Interference, Confocal Microscope, Focus Ion Beam, Scanning Electron Microscopy, Energy Dispersive Spectrometer, and X-ray Diffraction. Results revealed that as-received Ti-based alloys with surface roughness more than 5 μm could be reduce to less than 1 μm through laser polishing process. Moreover, microstructure, microhardness and wear resistance of laser-polished zone was investigated in order to examine the thermal effect of laser polishing processing on the substrate of additive manufactured Ti alloys. This proof-of-concept process has the potential to effectively improve the surface roughness of additive manufactured metallic alloy by local polishing method without damage to the substrate.

  1. Factors Affecting the Adoption and Usage of ICTs within Polish Households

    Directory of Open Access Journals (Sweden)

    Ewa Ziemba

    2016-05-01

    Full Text Available Information and communication technologies (ICTs encompassing computer and network hardware and software, and so on, as well as various services and applications associated with them, are assuming a growing presence within the modern homestead and have an indelible impact on the professional and everyday life of people. This research aims to explore factors influencing the successful adoption and usage of ICTs within Polish households. Based on prior literature and practical experiences, a framework of success factors is provided. The required data was collected from a survey questionnaire administered to a sample of Polish households to examine this framework and identifies which factors are of greatest importance for the adoption and usage of ICTs within households in Poland. Based on 751 questionnaires the paper indicates that the adoption of ICTs within households is mainly influenced by the economic status of households and cost of ICTs, perceived economic benefits from the usage of ICTs, technological availability and security of ICTs, ICT competences and awareness, as well as satisfaction with the adoption of ICTs. Furthermore, gender, education, and place of residence do not reflect significant differences on the factors. Yet, there are significant differences among the factors that could be attributed to age. Both, policy makers and ICT providers can benefit from the findings with regard to bridging the gap of ICT adoption and use in the Polish households.

  2. 19th Polish Control Conference

    CERN Document Server

    Kacprzyk, Janusz; Oprzędkiewicz, Krzysztof; Skruch, Paweł

    2017-01-01

    This volume contains the proceedings of the KKA 2017 – the 19th Polish Control Conference, organized by the Department of Automatics and Biomedical Engineering, AGH University of Science and Technology in Kraków, Poland on June 18–21, 2017, under the auspices of the Committee on Automatic Control and Robotics of the Polish Academy of Sciences, and the Commission for Engineering Sciences of the Polish Academy of Arts and Sciences. Part 1 deals with general issues of modeling and control, notably flow modeling and control, sliding mode, predictive, dual, etc. control. In turn, Part 2 focuses on optimization, estimation and prediction for control. Part 3 is concerned with autonomous vehicles, while Part 4 addresses applications. Part 5 discusses computer methods in control, and Part 6 examines fractional order calculus in the modeling and control of dynamic systems. Part 7 focuses on modern robotics. Part 8 deals with modeling and identification, while Part 9 deals with problems related to security, fault ...

  3. Origin of high oxide to nitride polishing selectivity of ceria-based slurry in the presence of picolinic acid

    Institute of Scientific and Technical Information of China (English)

    Wang Liang-Yong; Liu Bo; Song Zhi-Tang; Liu Wei-Li; Feng Song-Lin; David Huang; S.V Babu

    2011-01-01

    We report on the investigation of the origin of high oxide to nitride polishing selectivity of ceria-based slurry in the presence of picolinic acid. The oxide to nitride removal selectivity of the ceria slurry with picolinic acid is as high as 76.6 in the chemical mechanical polishing. By using zeta potential analyzer, particle size analyzer, horizon profilometer, thermogravimetric analysis and Fourier transform infrared spectroscopy, the pre-and the post-polished wafer surfaces as well as the pre-and the post-used ceria-based slurries are compared. Possible mechanism of high oxide to nitride selectivity with using ceria-based slurry with picolinic acid is discussed.

  4. The social shaping of innovation in polish companies

    DEFF Research Database (Denmark)

    Lorentzen, Anne

    2003-01-01

    The paper deals with strategies of innovation in Polish manufacturing companies. The point of departure is a theoretical framework of enterprise level innovation, and of the factors forming strategies of innovation on enterprise level. The paper analyses evidence from 23 Polish companies and pres......The paper deals with strategies of innovation in Polish manufacturing companies. The point of departure is a theoretical framework of enterprise level innovation, and of the factors forming strategies of innovation on enterprise level. The paper analyses evidence from 23 Polish companies...... and presents two cases more in detail. The analysis shows that the Polish companies have all been quite innovative, mostly in relation to product innovation. They choose innovation strategies, which are incremental more than radical, and they tend to differentiate their product range rather than to specialise....... They consider quality development a must in the fight for market shares and they adapt equipment and organisation to this goal. The factors forming and determining the strategies of the companies count the technological knowledge and expertise of the owner/founder, the structural changes of the market...

  5. Conventional methods and emerging wastewater polishing technologies for palm oil mill effluent treatment: a review.

    Science.gov (United States)

    Liew, Wai Loan; Kassim, Mohd Azraai; Muda, Khalida; Loh, Soh Kheang; Affam, Augustine Chioma

    2015-02-01

    The Malaysian palm oil industry is a major revenue earner and the country is ranked as one of the largest producers in the world. However, growth of the industry is synonymous with a massive production of agro-industrial wastewater. As an environmental protection and public health concern, the highly polluting palm oil mill effluent (POME) has become a major attention-grabber. Hence, the industry is targeting for POME pollution abatement in order to promote a greener image of palm oil and to achieve sustainability. At present, most palm oil mills have adopted the ponding system for treatment. Due to the successful POME pollution abatement experiences, Malaysia is currently planning to revise the effluent quality standards towards a more stringent discharge limits. Hence, the current trend of POME research focuses on developing tertiary treatment or polishing systems for better effluent management. Biotechnologically-advanced POME tertiary (polishing) technologies as well as other physicochemical methods are gaining much attention as these processes are the key players to push the industry towards the goal of environmental sustainability. There are still ongoing treatment technologies being researched and the outcomes maybe available in a while. However, the research completed so far are compiled herein and reported for the first time to acquire a better perspective and insight on the subject with a view of meeting the new standards. To this end, the most feasible technology could be the combination of advanced biological processes (bioreactor systems) with extended aeration, followed by solids separation prior to discharge. Chemical dosing is favoured only if effluent of higher quality is anticipated. Copyright © 2014 Elsevier Ltd. All rights reserved.

  6. A blocked takeover in the Polish power sector: A model-based analysis

    International Nuclear Information System (INIS)

    Kamiński, Jacek

    2014-01-01

    As the President of the Office of Competition and Consumer Protection refused to approve a government initiated takeover in the Polish power sector and the Court of Competition and Consumer Protection did not make a ruling on that case, the takeover was finally prohibited. In this context, the main aim of this paper is to carry out a quantitative analysis of the impact of the takeover in question on electricity prices and quantities, consumer and producer surpluses, dead weight loss and emissions. The scope of the study covers the Polish power generation sector and the analysis was carried out for 2009. A game theory-based electricity market equilibrium model developed for Poland was applied. The model includes several country-specific conditions, such as a coal-based power generation fuel-mix, a large share of biomass co-combustion, etc. For the sake of clarity, only four scenarios are assumed. The paper concludes that the declared synergy savings did not compensate for the increase in dead weight loss and the transfer of surplus from consumers to producers caused by increased market power. - Highlights: • A takeover blocked by the President of the Office of Competition and Consumer Protection was analysed. • A game theory-based model of the Polish wholesale electricity market was applied. • The impact of the takeover on electricity prices and generation levels, surplus transfers and dead weight loss was estimated. • The results were compared with the declared synergy savings

  7. Precision machining and polishing of scintillating crystals for large calorimeters and hodoscopes

    International Nuclear Information System (INIS)

    Wuest, C.R.; Fuchs, B.A.; Holdener, F.R.; Heck, J.L. Jr.

    1994-04-01

    New machining and polishing techniques have been developed for large scintillating crystal arrays such as the Barium Fluoride Electromagnetic Calorimeter for the GEM Detector at SSCL, the Crystal Clear Collaboration's cerium fluoride or lead tungstenate calorimeter at the proposed LHC and CERN, the PHENIX Detector at RHIC (barium fluoride), and the cesium iodide Calorimeter for the BaBar Detector at PEP-2 B Factory at SLAC. The machining and polishing methods to be presented in this paper provide crystalline surfaces without sub-surface damage or deformation as verified by Rutherford Back-scattering (RBS) analysis. Surface roughness of about 10--20 angstroms and sub-micron mechanical tolerances have been demonstrated on large barium fluoride crystal samples. Mass production techniques have also been developed for machining the proper angled surfaces and polishing up to five 50 cm long crystals at one time. These techniques utilize kinematic mount technology developed at LLNL to allow precision machining and polishing of complex surfaces. They will present this technology along with detailed surface studies of barium fluoride and cerium fluoride crystals polished with this technique

  8. Implementation of the Bulgarian-Polish online dictionary

    Directory of Open Access Journals (Sweden)

    Ludmila Dimitrova

    2015-11-01

    Full Text Available Implementation of the Bulgarian-Polish online dictionary The paper describes the implementation of an online Bulgarian-Polish dictionary as a technological tool for applications in digital humanities. This bilingual digital dictionary is developed in the frame of the joint research project “Semantics and Contrastive Linguistics with a focus on a bilingual electronic dictionary” between IMI-BAS and ISS-PAS, supervised by L. Dimitrova (IMI-BAS and V. Koseska-Toszewa (ISS-PAS. In addition, the main software tools for web-presentation of the dictionary are described briefly.

  9. APS 3D: a new benchmark in aspherical polishing

    Science.gov (United States)

    Gauch, Daniel; Mikulic, Dalibor; Veit, Christian

    2017-10-01

    The APS 3D system performs polishing and form correction in one step in order to reduce overall process time, reduce the number of polishing steps required and eliminate the need for highly skilled operators while providing a repeatable polishing process. This new 3D Polishing system yields better surface quality, and a better slope error, automatically determining the optimum speeds, feed rates and polish pressures to achieve a deterministic process based on the required quality parameters input by the operator. The process flow is always the same to ensure consistent quality and target quality values are defined before polishing begins.

  10. Polishing Sapphire Substrates by 355 nm Ultraviolet Laser

    Directory of Open Access Journals (Sweden)

    X. Wei

    2012-01-01

    Full Text Available This paper tries to investigate a novel polishing technology with high efficiency and nice surface quality for sapphire crystal that has high hardness, wear resistance, and chemical stability. A Q-switched 355 nm ultraviolet laser with nanosecond pulses was set up and used to polish sapphire substrate in different conditions in this paper. Surface roughness Ra of polished sapphire was measured with surface profiler, and the surface topography was observed with scanning electronic microscope. The effects of processing parameters as laser energy, pulse repetition rate, scanning speed, incident angle, scanning patterns, and initial surface conditions on surface roughness were analyzed.

  11. Detection of paint polishing defects

    Science.gov (United States)

    Rebeggiani, S.; Wagner, M.; Mazal, J.; Rosén, B.-G.; Dahlén, M.

    2018-06-01

    Surface finish plays a major role on perceived product quality, and is the first thing a potential buyer sees. Today end-of-line repairs of the body of cars and trucks are inevitably to secure required surface quality. Defects that occur in the paint shop, like dust particles, are eliminated by manual sanding/polishing which lead to other types of defects when the last polishing step is not performed correctly or not fully completed. One of those defects is known as ‘polishing roses’ or holograms, which are incredibly hard to detect in artificial light but are clearly visible in sunlight. This paper will present the first tests with a measurement set-up newly developed to measure and analyse polishing roses. The results showed good correlations to human visual evaluations where repaired panels were estimated based on the defects’ intensity, severity and viewing angle.

  12. Tooth polishing: The current status

    Directory of Open Access Journals (Sweden)

    Madhuri Alankar Sawai

    2015-01-01

    Full Text Available Healthy teeth and gums make a person feel confident and fit. As people go about their daily routines and with different eating and drinking habits, the tooth enamel turns yellowish or gets stained. Polishing traditionally has been associated with the prophylaxis procedure in most dental practices, which patients know and expect. However, with overzealous use of polishing procedure, there is wearing of the superficial tooth structure. This would lead to more accumulation of local deposits. Also, it takes a long time for the formation of the fluoride-rich layer of the tooth again. Hence, now-a-days, polishing is not advised as a part of routine oral prophylaxis procedure but is done selectively based on the patients′ need. The article here, gives an insight on the different aspects of the polishing process along with the different methods and agents used for the same.

  13. Acoustic Emission Based In-process Monitoring in Robot Assisted Polishing

    DEFF Research Database (Denmark)

    Pilny, Lukas; Bissacco, Giuliano; De Chiffre, Leonardo

    The applicability of acoustic emission (AE) measurements for in-process monitoring in the Robot Assisted Polishing (RAP) process was investigated. Surface roughness measurements require interruption of the process, proper surface cleaning and measurements that sometimes necessitate removal...... improving the efficiency of the process. It also allows for intelligent process control and generally enhances the robustness and reliability of the automated RAP system in industrial applications....... of the part from the machine tool. In this study, development of surface roughness during polishing rotational symmetric surfaces by the RAP process was inferred from AE measurements. An AE sensor was placed on a polishing tool, and a cylindrical rod of Vanadis 4E steel having an initial turned surface...

  14. Fracking in the Polish press: Geopolitics and national identity

    International Nuclear Information System (INIS)

    Jaspal, Rusi; Nerlich, Brigitte; Lemańcyzk, Szczepan

    2014-01-01

    In a context of resource scarcity and political instability, new energy sources and technologies are being explored in many parts of the world and exploited in some. One of these new energy sources is shale gas and one of the countries seeking to decrease its energy dependence and increase its energy security is Poland which is largely dependent on gas and oil imports from Russia. This article presents the results of a thematic content analysis of articles reporting on shale gas/fracking published in Gazeta Wyborcza and Rzeczpospolita, two leading Polish newspapers, from 1 January 2010 to 31 December 2012. Findings suggest that in media reporting the geopolitical dimension of fracking overrides the technological/scientific dimension and that representations are overwhelmingly positive. Positive representations are bolstered through particular linguistic framings. It is argued that the Polish press has polarized the debate on fracking in a particular (positive) direction, which has silenced an open and constructive debate concerning energy policy in Poland and constructed criticism of fracking as counter-normative and “un-Polish.” The potential socio-political and policy implications of these media representations are discussed. - Highlights: • The general tone of Polish media reporting is positive and emphatic about the benefits of fracking. • The geopolitical dimension of fracking overrides the technological/scientific dimension. • The implementation of fracking is constructed as a national priority. • Opposition to fracking is constructed as counter-normative and “anti-Polish”. • Representations impede open and constructive dialogue about energy policy

  15. Polishers around the globe: an overview on the market of large astronomical mirrors

    Science.gov (United States)

    Döhring, Thorsten

    2014-07-01

    Astronomical mirrors are key elements in modern optical telescopes, their dimensions are usually large and their specifications are demanding. Only a limited number of skilled companies respectively institutions around the world are able to master the challenge to polish an individual astronomical mirror, especially in dimensions above one meter. This paper presents an overview on the corresponding market including a listing of polishers around the globe. Therefore valuable information is provided to the astronomical community: Polishers may use the information as a global competitor database, astronomers and project managers may get more transparency on potential suppliers, and suppliers of polishing equipment may learn about unknown potential customers in other parts of the world. An evaluation of the historical market demand on large monolithic astronomical mirrors is presented. It concluded that this is still a niche market with a typical mean rate of 1-2 mirrors per year. Polishing of such mirrors is an enabling technology with impact on the development of technical know-how, public relation, visibility and reputation of the supplier. Within a corresponding technical discussion different polishing technologies are described. In addition it is demonstrated that strategic aspects and political considerations are influencing the selection of the optical finisher.

  16. Conformal polishing approach: Tool footprint analysis

    Directory of Open Access Journals (Sweden)

    José A Dieste

    2016-02-01

    Full Text Available Polishing process is one of the most critical manufacturing processes during a metal part production because it determines the final quality of the product. Free-form surface polishing is a handmade process with lots of rejected parts, scrap generation and time and energy consumption. Two different research lines are being developed: prediction models of the final surface quality parameters and an analysis of the amount of material removed depending on the polishing parameters to predict the tool footprint during the polishing task. This research lays the foundations for a future automatic conformal polishing system. It is based on rotational and translational tool with dry abrasive in the front mounted at the end of a robot. A tool to part concept is used, useful for large or heavy workpieces. Results are applied on different curved parts typically used in tooling industry, aeronautics or automotive. A mathematical model has been developed to predict the amount of material removed in function of polishing parameters. Model has been fitted for different abrasives and raw materials. Results have shown deviations under 20% that implies a reliable and controllable process. Smaller amount of material can be removed in controlled areas of a three-dimensional workpiece.

  17. Professional Training of Economists at Polish Universities

    Science.gov (United States)

    Ogienko, Olena

    2016-01-01

    Polish experience in professional training of economists at university has been generalized. Structural, content and procedural peculiarities of the training have been defined. It has been proved that key factors for reforming economic education in Poland are globalization, internationalization, integration, technologization and informatization.…

  18. Continuous counter-current chromatography for capture and polishing steps in biopharmaceutical production.

    Science.gov (United States)

    Steinebach, Fabian; Müller-Späth, Thomas; Morbidelli, Massimo

    2016-09-01

    The economic advantages of continuous processing of biopharmaceuticals, which include smaller equipment and faster, efficient processes, have increased interest in this technology over the past decade. Continuous processes can also improve quality assurance and enable greater controllability, consistent with the quality initiatives of the FDA. Here, we discuss different continuous multi-column chromatography processes. Differences in the capture and polishing steps result in two different types of continuous processes that employ counter-current column movement. Continuous-capture processes are associated with increased productivity per cycle and decreased buffer consumption, whereas the typical purity-yield trade-off of classical batch chromatography can be surmounted by continuous processes for polishing applications. In the context of continuous manufacturing, different but complementary chromatographic columns or devices are typically combined to improve overall process performance and avoid unnecessary product storage. In the following, these various processes, their performances compared with batch processing and resulting product quality are discussed based on a review of the literature. Based on various examples of applications, primarily monoclonal antibody production processes, conclusions are drawn about the future of these continuous-manufacturing technologies. Copyright © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Cancer morbidity among polishers.

    Science.gov (United States)

    Järvholm, B; Thiringer, G; Axelson, O

    1982-01-01

    The mortality pattern among 86 men was determined to investigate the possible hazards of polishing steel. The men had polished steel with polishing paste for at least five years. The polishing pastes had contained tallow, beeswax, carnauba wax, alundum, carborundum, ferric oxide, and chalk. A total of 18 men had died compared with 13.3 expected. Four had died of stomach cancer compared with 0.44 expected (p less than 0.005). The mortality for other causes of death was not increased. The study does not permit any definite conclusion but indicates a possible cancer hazard among polishers. PMID:7066237

  20. Patient monitoring in Polish assisted reproductive technology centres

    Directory of Open Access Journals (Sweden)

    Anna Krawczak

    2016-12-01

    Full Text Available In 2014, the Polish non-governmental patient association ‘Our Stork’ (Nasz Bocian introduced the ‘Patient monitoring in ART centres’ research project to gather previously unrecorded information on the situation of infertile people and the provision of assisted reproductive treatment in Poland. When the research project began, assisted reproductive treatment centres were unregulated by the state, a situation that had existed for more than 28 years following the birth of the first Polish test-tube baby in 1987. Patients signed civil contracts, remaining unprotected in terms of safety of treatment and recognition of their rights, and their presumed social position was described by doctors as ‘disciplined patients’ – a reflection of what Michele Foucault described as biopolitics. The research project comprised patient questionnaires (responses from 722 patients provided the basis for the document ‘Patient Recommendations in Infertility Treatment’, analysis of civil contracts and their accuracy in the context of patients’ legal rights in Poland, and in-depth interviews with assisted reproductive treatment centres’ owners, doctors, midwives, and patients to explore patient care. The data reveal that there is a lack of patient-centred care among doctors and medical staff in Poland and that following the passing into law of the 2015 Infertility Act, which introduced state regulation of assisted reproductive treatment centres, the situation for patients worsened.

  1. Employability management practices in the Polish ICT sector

    NARCIS (Netherlands)

    Marzec, I.; van der Heijden, Beatrice; Scholarios, D.; van der Schoot, E.; Jedrzejowicz, P.; Bozionelos, N.; Epitropaki, O.; Knauth, P.; Mikkelsen, A.; van der Heijde, C.M.

    2009-01-01

    This article examines current career thinking and employability management practices within the Polish Information and Communication Technology (ICT) sector. The aim of this contribution is to identify career management problems and to determine obstacles for implementing employability management

  2. Acoustic emission-based in-process monitoring of surface generation in robot-assisted polishing

    DEFF Research Database (Denmark)

    Pilny, Lukas; Bissacco, Giuliano; De Chiffre, Leonardo

    2016-01-01

    The applicability of acoustic emission (AE) measurements for in-process monitoring of surface generation in the robot-assisted polishing (RAP) was investigated. Surface roughness measurements require interruption of the process, proper surface cleaning and measurements that sometimes necessitate...... automatic detection of optimal process endpoint allow intelligent process control, creating fundamental elements in development of robust fully automated RAP process for its widespread industrial application....... removal of the part from the machine tool. In this study, stabilisation of surface roughness during polishing rotational symmetric surfaces by the RAP process was monitored by AE measurements. An AE sensor was placed on a polishing arm in direct contact with a bonded abrasive polishing tool...

  3. Polishing large NaCl windows on a continuous polisher

    International Nuclear Information System (INIS)

    Williamson, R.

    1979-01-01

    The Helios and Antares CO 2 fusion laser systems incorporate numerous large sodium chloride windows. These must be refinished periodically, making necessary a consistent and predictable polishing capability. A continuous polisher (or annular lap) which might at Kirtland's Developmental Optical Facility. Large NaCl windows had not been polished on this type of machine. The machine has proven itself capable of producing lambda/16 figures at 633 nm (HeNe) with extremely smooth surfaces on glass. Since then, we have been working exclusively on NaCl optics. Due to different polishing parameters between NaCl and glass, and the slight solubility of the pitch in the slurry, this phase presents new problems. The work on glass will be reviewed. Results on NaCl to date will be reported. The potential of this type of machine relative to prisms, thin and irregularly shaped optics will be discussed

  4. Semi-strong informational efficiency in the Polish foreign exchange market

    OpenAIRE

    Luksz Goczek

    2015-01-01

    During the financial crisis a notion that the Polish exchange rate is not determined effectively was very dominant, because of a contagion effect of the global financial crisis on the Polish economy. In addition, many foreign exchange market analysts explained developments in the Polish exchange market trough a hypothesis that the Polish zloty exchange rate follows other exchange rates. This contradicts market efficiency as this would lead to profitable arbitrage possibility based on past inf...

  5. Numerical Simulation Analysis of Five-Step Variable-Diameter Pipe with Solid-Liquid Two-Phase Abrasive Flow Polishing

    Science.gov (United States)

    Li, Junye; Zhang, Hengfu; Wu, Guiling; Hu, Jinglei; Liu, Yang; Sun, Zhihui

    2018-01-01

    In many areas of precision machining abrasive flow polishing technology has an important role. In order to study the influence of abrasive flow on the polishing effect of variable diameter parts, the fifth step variable diameter tube was taken as the research object to analyze the dynamic pressure and turbulent kinetic energy distribution of inlet velocity on the fifth-order variable diameter tube influences. Through comparative analysis, the abrasive flow polished variable diameter pipe parts have very effective and significant polishing effect and the higher the inlet speed, the more significant the polishing effect.

  6. Functional Median Polish

    KAUST Repository

    Sun, Ying; Genton, Marc G.

    2012-01-01

    polish is demonstrated by comparing its performance with the traditional functional ANOVA fitted by means under different outlier models in simulation studies. The functional median polish is illustrated on various applications in climate science

  7. Composite adaptive control of belt polishing force for aero-engine blade

    Science.gov (United States)

    Zhsao, Pengbing; Shi, Yaoyao

    2013-09-01

    The existing methods for blade polishing mainly focus on robot polishing and manual grinding. Due to the difficulty in high-precision control of the polishing force, the blade surface precision is very low in robot polishing, in particular, quality of the inlet and exhaust edges can not satisfy the processing requirements. Manual grinding has low efficiency, high labor intensity and unstable processing quality, moreover, the polished surface is vulnerable to burn, and the surface precision and integrity are difficult to ensure. In order to further improve the profile accuracy and surface quality, a pneumatic flexible polishing force-exerting mechanism is designed and a dual-mode switching composite adaptive control(DSCAC) strategy is proposed, which combines Bang-Bang control and model reference adaptive control based on fuzzy neural network(MRACFNN) together. By the mode decision-making mechanism, Bang-Bang control is used to track the control command signal quickly when the actual polishing force is far away from the target value, and MRACFNN is utilized in smaller error ranges to improve the system robustness and control precision. Based on the mathematical model of the force-exerting mechanism, simulation analysis is implemented on DSCAC. Simulation results show that the output polishing force can better track the given signal. Finally, the blade polishing experiments are carried out on the designed polishing equipment. Experimental results show that DSCAC can effectively mitigate the influence of gas compressibility, valve dead-time effect, valve nonlinear flow, cylinder friction, measurement noise and other interference on the control precision of polishing force, which has high control precision, strong robustness, strong anti-interference ability and other advantages compared with MRACFNN. The proposed research achieves high-precision control of the polishing force, effectively improves the blade machining precision and surface consistency, and

  8. Design and development by direct polishing of the WFXT thin polynomial mirror shells

    Science.gov (United States)

    Proserpio, L.; Campana, S.; Citterio, O.; Civitani, M.; Combrinck, H.; Conconi, P.; Cotroneo, V.; Freeman, R.; Mattini, E.; Langstrof, P.; Morton, R.; Motta, G.; Oberle, O.; Pareschi, G.; Parodi, G.; Pels, C.; Schenk, C.; Stock, R.; Tagliaferri, G.

    2017-11-01

    The Wide Field X-ray Telescope (WFXT) is a medium class mission proposed to address key questions about cosmic origins and physics of the cosmos through an unprecedented survey of the sky in the soft X-ray band (0.2-6 keV) [1], [2]. In order to get the desired angular resolution of 10 arcsec (5 arcsec goal) on the entire 1 degrees Field Of View (FOV), the design of the optical system is based on nested grazing-incidence polynomial profiles mirrors, and assumes a focal plane curvature and plate scale corrections among the shells. This design guarantees an increased angular resolution also at large off-axis positions with respect to the usually adopted Wolter I configuration. In order to meet the requirements in terms of mass and effective area (less than 1200 kg, 6000 cm2 @ 1 keV), the nested shells are thin and made of quartz glass. The telescope assembly is composed by three identical modules of 78 nested shells each, with diameter up to 1.1 m, length in the range of 200-440 mm and thickness of less than 2.2 mm. At this regard, a deterministic direct polishing method is under investigation to manufacture the WFXT thin grazing-incidence mirrors made of quartz. The direct polishing method has already been used for past missions (as Einstein, Rosat, Chandra) but based on much thicker shells (10 mm ore more). The technological challenge for WFXT is to apply the same approach but for 510 times thinner shells. The proposed approach is based on two main steps: first, quartz glass tubes available on the market are ground to conical profiles; second the pre-shaped shells are polished to the required polynomial profiles using a CNC polishing machine. In this paper, preliminary results on the direct grinding and polishing of prototypes shells made by quartz glass with low thickness, representative of the WFXT optical design, are presented.

  9. Health Information in Polish (polski)

    Science.gov (United States)

    ... Tools You Are Here: Home → Multiple Languages → Polish (polski) URL of this page: https://medlineplus.gov/languages/polish.html Health Information in Polish (polski) To use the sharing features on this page, ...

  10. 24 May 2013 - Rector of the Polish Stanislaw Staszic AGH University of Science and Technology T. Slomka in the LHC tunnel at Point 8 with Senior Polish Staff Member A. Siemko, in LHCb experimental cavern with LHCb Collaboration Spokesperson P. Campana and signing the guest book with Director-General R. Heuer. Adviser for Eastern Europe T. Kurtyka present.

    CERN Multimedia

    Jean-Claude Gadmer

    2013-01-01

    24 May 2013 - Rector of the Polish Stanislaw Staszic AGH University of Science and Technology T. Slomka in the LHC tunnel at Point 8 with Senior Polish Staff Member A. Siemko, in LHCb experimental cavern with LHCb Collaboration Spokesperson P. Campana and signing the guest book with Director-General R. Heuer. Adviser for Eastern Europe T. Kurtyka present.

  11. Electrolytic polishing system for space age materials

    International Nuclear Information System (INIS)

    Coons, W.C.; Iosty, L.R.

    1976-01-01

    A simple electrolytic polishing technique was developed for preparing Cr, Co, Hf, Mo, Ni, Re, Ti, V, Zr, and their alloys for structural analysis on the optical microscope. The base electrolyte contains 5g ZnCl 2 and 15g AlCl 3 . 6H 2 O in 200 ml methyl alcohol, plus an amount of H 2 SO 4 depending on the metal being polished. Five etchants are listed

  12. Interculutral Polish-Chinese QQing

    Directory of Open Access Journals (Sweden)

    Elżbieta Gajek

    2012-12-01

    Full Text Available Working in tandem with the use of information and communication technologies is well-known and frequently used as a method of supporting learning of foreign languages in authentic communication. It is based on a constructivist approach to teaching. In the reported case study Polish and Chinese students discussed in English preprepared topics. The work shows the potential of e-learning at the micro level, as the language and intercultural task is implemented into an academic course without modification of the objectives and learning outcomes of the course. Evaluation carried out at the end of the project indicates that both groups perceived the task as a significant linguistic, cultural and personal experience. They stressed the importance of sharing “culture for culture” as the partner culture was new for most of them. The ability to talk and respond to information which was often strange, from the point of view of their own culture, allowed for learning intercultural competence ̔in action’.

  13. Polish Cartographical Review

    Directory of Open Access Journals (Sweden)

    Nedjeljko Frančula

    2017-07-01

    Full Text Available The Polish Cartographical Review (PCR journal has been published in English four times a year since 2015. The journal is in open access and it is published by De Gruyter Open. It is edited by Polish scientists in collaboration with international experts.

  14. A new view on dam lines in Polish Arabian horses based on mtDNA analysis

    Directory of Open Access Journals (Sweden)

    Sell Jerzy

    2007-09-01

    Full Text Available Abstract Polish Arabian horses are one of the oldest and the most important Arab populations in the world. The Polish Arabian Stud Book and the Genealogical Charts by Skorkowski are the main sources of information on the ancestors of Polish Arabs. Both publications were viewed as credible sources of information until the 1990s when the data regarding one of the dam lines was questioned. The aim of the current study was to check the accuracy of the pedigree data of Polish dam lines using mtDNA analysis. The analyses of a 458 bp mtDNA D-loop fragment from representatives of 15 Polish Arabian dam lines revealed 14 distinct haplotypes. The results were inconsistent with pedigree data in the case of two lines. A detailed analysis of the historical sources was performed to explain these discrepancies. Our study revealed that representatives of different lines shared the same haplotypes. We also noted a genetic identity between some lines founded by Polish mares of unknown origin and lines established by desert-bred mares.

  15. ROUGHNESS ANALYSIS OF VARIOUSLY POLISHED NIOBIUM SURFACES

    Energy Technology Data Exchange (ETDEWEB)

    Ribeill, G.; Reece, C.

    2008-01-01

    Niobium superconducting radio frequency (SRF) cavities have gained widespread use in accelerator systems. It has been shown that surface roughness is a determining factor in the cavities’ effi ciency and maximum accelerating potential achievable through this technology. Irregularities in the surface can lead to spot heating, undesirable local electrical fi eld enhancement and electron multipacting. Surface quality is typically ensured through the use of acid etching in a Buffered Chemical Polish (BCP) bath and electropolishing (EP). In this study, the effects of these techniques on surface morphology have been investigated in depth. The surface of niobium samples polished using different combinations of these techniques has been characterized through atomic force microscopy (AFM) and stylus profi lometry across a range of length scales. The surface morphology was analyzed using spectral techniques to determine roughness and characteristic dimensions. Experimentation has shown that this method is a valuable tool that provides quantitative information about surface roughness at different length scales. It has demonstrated that light BCP pretreatment and lower electrolyte temperature favors a smoother electropolish. These results will allow for the design of a superior polishing process for niobium SRF cavities and therefore increased accelerator operating effi ciency and power.

  16. Polish-German bilingualism at school. A Polish perspective

    Directory of Open Access Journals (Sweden)

    Pulaczewska, Hanna

    2014-03-01

    Full Text Available This article presents the institutional frames for the acquisition of Polish literacy skills in Germany and the maintenance of Polish-German bilingualism after the repatriation of bilingual children to Poland. These processes are examined in the context of recent developments in the European domestic job market. While the European Union has placed proficiency in several languages among its educational objectives, and foreign languages have been made obligatory school subjects in all member countries, the potential advantages of internal European migrations for producing high-proficiency bilinguals are being ignored. Bilingualism resulting from migration and biculturalism enjoys little social prestige in the host countries. In Germany, there is significant regional variation in how school authorities react to challenges posed by the presence of minority languages. In many cases, the linguistic potential of many second-generation migrants and re-emigrants gets largely wasted because of lacking interest and incentives from German and Polish institutions alike.

  17. Mirror surface metrology and polishing for AXAF/TMA

    International Nuclear Information System (INIS)

    Slomba, A.; Babish, R.; Glenn, P.

    1985-01-01

    The achievement of the derived goals for mirror surface quality on the Advanced X-ray Astrophysics Facility (AXAF), Technology Mirror Assembly (TMA) required a combination of state-of-the-art metrology and polishing techniques. In this paper, the authors summarize the derived goals and cover the main facets of the various metrology instruments employed, as well as the philosophy and technique used in the polishing work. In addition, they show how progress was measured against the goals, using the detailed error budget for surface errors and a mathematical model for performance prediction. The metrology instruments represented a considerable advance on the state-of-the-art and fully satisfied the error budget goals for the various surface errors. They were capable of measuring the surface errors over a large range of spatial periods, from low-frequency figure errors to microroughness. The polishing was accomplished with a computer-controlled process, guided by the combined data from various metrology instruments. This process was also tailored to reduce the surface errors over the full range of spatial periods

  18. Effects of catalyst concentration and ultraviolet intensity on chemical mechanical polishing of GaN

    Science.gov (United States)

    Wang, Jie; Wang, Tongqing; Pan, Guoshun; Lu, Xinchun

    2016-08-01

    Effects of catalyst concentration and ultraviolet intensity on chemical mechanical polishing (CMP) of GaN were deeply investigated in this paper. Working as an ideal homogeneous substrate material in LED industry, GaN ought to be equipped with a smooth and flat surface. Taking the strong chemical stability of GaN into account, photocatalytic oxidation technology was adopted in GaN CMP process to realize efficient removal. It was found that, because of the improved reaction rate of photocatalytic oxidation, GaN material removal rate (MRR) increases by a certain extent with catalyst concentration increasing. Cross single line analysis on the surface after polishing by Phase Shift MicroXAM-3D was carried out to prove the better removal effect with higher catalyst concentration. Ultraviolet intensity field in H2O2-SiO2-based polishing system was established and simulated, revealing the variation trend of ultraviolet intensity around the outlet of the slurry. It could be concluded that, owing to the higher planarization efficiency and lower energy damage, the UV lamp of 125 W is the most appropriate lamp in this system. Based on the analysis, defects removal model of this work was proposed to describe the effects of higher catalyst concentration and higher power of UV lamp.

  19. An Unbiased View of the History of Polish Medical Physics by a Senior Polish Medical Physicist

    International Nuclear Information System (INIS)

    Chomicki, O. A.

    2008-01-01

    Here is a story told by Maria Sklodowska-Curie at the meeting of the International Committee of Intellectual Cooperation in 1921: 'In a free literary competition on the role and importance of elephants the Englishman's story was 'My adventures while shooting elephants in South Africa', the Frenchman was more concerned with 'The sexual and erotic life of elephants', while the Polish approach was invariably 'The elephant versus Poland's national independence', which seemed quite understandable in the light of over 120 years when Poland was partitioned and lost its independence. Since then this saying has become proverbial and came to express the unmistakably Polish tendency to see everything in terms of Polish interests. In my remarks and reminiscences on the history of the Polish Society of Medical Physics you will quickly recognize the same tendency. First, I will, among other things, try to open some old cupboards to 'produce good [things] from the store of good' (Matthew 12:35), especially concerning the first few years of the activity in medical physics in Poland, and second, I will draw some conclusions and/or offer suggestions based on what a senior medical physicist has seen for more than 50 years of his activity in this field. (author)

  20. Evaluation of the validity of treatment decisions based on surrogate country models before introduction of the Polish FRAX and recommendations in comparison to current practice.

    Science.gov (United States)

    Glinkowski, Wojciech M; Narloch, Jerzy; Glinkowska, Bożena; Bandura, Małgorzata

    2018-03-01

    Patients diagnosed before the Polish FRAX was introduced may require re-evaluation and treatment changes if the diagnosis was established according to a surrogate country FRAX score. The aim of the study was to evaluate the validity of treatment decisions based on the surrogate country model before introduction of the Polish FRAX and to provide recommendations based on the current practice. We evaluated a group of 142 postmenopausal women (70.7 ±8.9 years) who underwent bone mineral density measurements. We used 22 country-specific FRAX models and compared these to the Polish model. The mean risk values for hip and major osteoporotic fractures within 10 years were 4.575 (from 0.82 to 8.46) and 12.47% (from 2.18 to 21.65), respectively. In the case of a major fracture, 94.4% of women would receive lifestyle advice, and 5.6% would receive treatment according to the Polish FRAX using the guidelines of the National Osteoporosis Foundation (NOF). Polish treatment thresholds would implement pharmacotherapy in 32.4% of the study group. In the case of hip fractures, 45% of women according to the NOF would require pharmacotherapy but only 9.8% of women would qualify according to Polish guidelines. Nearly all surrogate FRAX calculator scores proved significantly different form Polish ( p > 0.05). More patients might have received antiresorptive medication before the Polish FRAX. This study recommends re-evaluation of patients who received medical therapy before the Polish FRAX was introduced and a review of the recommendations, considering the side effects of antiresorptive medication.

  1. Polish Academy of Sciences Great Dictionary of Polish [Wielki słownik języka polskiego PAN

    Directory of Open Access Journals (Sweden)

    Piotr Žmigrodzki

    2014-12-01

    Full Text Available The paper describes a lexicographical project involving the development of the newest general dictionary of the Polish language: the Polish Academy of Sciences Great Dictionary of Polish [Wielki słownik języka polskiego PAN]. The project is coordinated by the Institute of Polish Language at the Polish Academy of Sciences and carried out in collaboration with linguists and lexicographers from several other Polish academic centres. The paper offers a brief description of the genesis of the project and the scope of information included in the dictionary, the organisation of work, the life of the dictionary on the Web as well as the plans for the future.

  2. Smoking characteristics of Polish immigrants in Dublin.

    LENUS (Irish Health Repository)

    Kabir, Zubair

    2008-01-01

    BACKGROUND: This study examined two main hypotheses: a) Polish immigrants\\' smoking estimates are greater than their Irish counterparts (b) Polish immigrants purchasing cigarettes from Poland smoke "heavier" (>\\/= 20 cigarettes a day) when compared to those purchasing cigarettes from Ireland. The study also set out to identify significant predictors of \\'current\\' smoking (some days and everyday) among the Polish immigrants. METHODS: Dublin residents of Polish origin (n = 1,545) completed a previously validated Polish questionnaire in response to an advertisement in a local Polish lifestyle magazine over 5 weekends (July-August, 2007). The Office of Tobacco Control telephone-based monthly survey data were analyzed for the Irish population in Dublin for the same period (n = 484). RESULTS: Age-sex adjusted smoking estimates were: 47.6% (95% Confidence Interval [CI]: 47.3%; 48.0%) among the Poles and 27.8% (95% CI: 27.2%; 28.4%) among the general Irish population (p < 0.001). Of the 57% of smokers (n = 345\\/606) who purchased cigarettes solely from Poland and the 33% (n = 198\\/606) who purchased only from Ireland, 42.6% (n = 147\\/345) and 41.4% (n = 82\\/198) were "heavy" smokers, respectively (p = 0.79). Employment (Odds Ratio [OR]: 2.89; 95% CI: 1.25-6.69), lower education (OR: 3.76; 95%CI: 2.46-5.74), and a longer stay in Ireland (>24 months) were significant predictors of current smoking among the Poles. An objective validation of the self-reported smoking history of a randomly selected sub-sample immigrant group, using expired carbon monoxide (CO) measurements, showed a highly significant correlation coefficient (r = 0.64) of expired CO levels with the reported number of cigarettes consumed (p < 0.0001). CONCLUSION: Polish immigrants\\' smoking estimates are higher than their Irish counterparts, and particularly if employed, with only primary-level education, and are overseas >2 years.

  3. Polish Higher Education: Intersectoral Distinctiveness

    Science.gov (United States)

    Musial, Joanna

    2014-01-01

    This study analyzes degrees of differences between the private and public sectors of Polish higher education. It finds them to be strong: Polish private institutions function very differently from Polish public institutions and these differences correspond with those found in the literature on higher education elsewhere in the world. Polish…

  4. New perspectives in hydrodynamic radial polishing techniques for optical surfaces

    Science.gov (United States)

    Ruiz, Elfego; Sohn, Erika; Luna, Esteban; Salas, Luis; Cordero, Alberto; González, Jorge; Núñez, Manuel; Salinas, Javier; Cruz-González, Irene; Valdés, Jorge; Cabrera, Victor; Martínez, Benjamín

    2004-09-01

    In order to overcome classic polishing techniques, a novel hydrodynamic radial polishing tool (HyDRa) is presented; it is useful for the corrective lapping and fine polishing of diverse materials by means of a low-cost abrasive flux and a hydrostatic suspension system that avoids contact of the tool with the working surface. This tool enables the work on flat or curved surfaces of currently up to two and a half meters in diameter. It has the advantage of avoiding fallen edges during the polishing process as well as reducing tool wear out and deformation. The functioning principle is based on the generation of a high-velocity, high-pressure, abrasive emulsion flux with radial geometry. The polishing process is repeatable by means of the control of the tool operational parameters, achieving high degrees of precision and accuracy on optical and semiconductor surfaces, with removal rates of up to 9 mm3/hour and promising excellent surface polishing qualities. An additional advantage of this new tool is the possibility to perform interferometric measurements during the polishing process without the need of dismounting the working surface. A series of advantages of this method, numerical simulations and experimental results are described.

  5. Sensory factors affecting female consumers' acceptability of nail polish.

    Science.gov (United States)

    Sun, C; Koppel, K; Adhikari, K

    2015-12-01

    The objectives of this study were to determine what sensory factors impact consumers' acceptability of nail polishes, to explore how these sensory factors impact consumers' acceptability of nail polishes, to investigate whether there are any consumer segments according to their overall acceptability on different nail polishes and to scrutinize how the consumer segments are related to the sensory factors. Ninety-eight females participated in a nail polish consumer study at Kansas State University. Eight commercial products belonging to four categories - regular (REG), gel (GEL), flake (FLK) and water-based (WAT) - were evaluated. Each nail polish sample was evaluated twice by each participant in two different tasks - a task devoted to applying and evaluating the product and a task devoted to observing the appearance and evaluating the product. Pearson's correlation analysis, analysis of variance (ANOVA), external preference mapping, cluster analysis and internal preference mapping were applied for data analysis. Participants' scores of overall liking of the nail polishes were similar in the application task and in the observation task. In general, participants liked the REG and GEL product samples more than the FLK and WAT samples. Among all the sensory attributes, appearance attributes were the major factors that affected participants' overall liking. Aroma seemed to be a minor factor to participants' overall liking. Some sensory attributes, such as runny, shininess, opacity, spreadability, smoothness, coverage and wet appearance, were found to drive participants' overall acceptability positively, whereas others such as pinhole, fatty-edges, blister, brushlines, pearl-like, flake-protrusion, glittery and initial-drag impacted participants' overall acceptability negatively. Four clusters of participants were identified according to their overall liking scores from both the application task and the observation task. Participants' acceptability, based on different

  6. Effect of finishing and polishing procedures on surface roughness, gloss and color of resin-based composites.

    Science.gov (United States)

    Paravina, Rade D; Roeder, Leslie; Lu, Huan; Vogel, Karin; Powers, John M

    2004-08-01

    To evaluate the effects of different finishing and polishing procedures on surface roughness, gloss and color of five resin composites: two experimental microhybrid composites - FZ-Dentin (FZD) and FZ-Enamel (FZE), one commercial microhybrid composite - Esthet-X (EX), and two microfilled composites - Heliomolar (HM) and Renamel Microfill (RM). Surface roughness, gloss and color of the disc-shaped specimens (10 mm in diameter and 2-mm thick) were measured as Mylar (baseline), 16-fluted carbide bur and polishing were completed. Sixteen specimens of each composite were randomized to four groups of four. After finishing with a 16-fluted finishing bur, each group was polished by a different system: 1. Astropol (A), 2. Sof-lex disc (S), 3. Po-Go (P), 4. Enhance (E). Average surface roughness (Ra) was measured with a profilometer. Gloss measurements were performed using small-area glossmeter, while color coordinate values were recorded using a spectrophotometer. A deltaE*abgloss ranked according to polishing system (for all five composites together) was: P > E > A > S. The order of gloss values for the polished composites (for each of four polishing systems) was: RM > FZD > FZE > HM > EX. Fisher's PLSD intervals at the 0.05 level of significance for comparisons of means of surface roughness among five composites and four polishing systems were 0.01 and 0.01 microm, respectively. Fisher's PLSD intervals at the 0.05 level of significance for comparisons of means of gloss among five composites and four polishing systems were 6 and 5 GU, respectively. Color differences (deltaE*ab) among five composites and four polishing methods were found to range from 0.2 to 1.1.

  7. 17 September 2013 - Polish Members of Parliament visiting the Tunnel at Point 2 with Senior Engineer, Technology Department A. Siemko and visiting the ALICE cavern with ALICE Collaboration, B. Erazmus

    CERN Multimedia

    Anna Pantelia

    2013-01-01

    17 September 2013 - Polish Members of Parliament visiting the Tunnel at Point 2 with Senior Engineer, Technology Department A. Siemko and visiting the ALICE cavern with ALICE Collaboration, B. Erazmus

  8. Digital Signal Processing Applied to the Modernization Of Polish Navy Sonars

    Directory of Open Access Journals (Sweden)

    Marszal Jacek

    2014-04-01

    Full Text Available The article presents the equipment and digital signal processing methods used for modernizing the Polish Navy’s sonars. With the rapid advancement of electronic technologies and digital signal processing methods, electronic systems, including sonars, become obsolete very quickly. In the late 1990s a team of researchers of the Department of Marine Electronics Systems, Faculty of Electronics, Telecommunications and Informatics, Gdansk University of Technology, began work on modernizing existing sonar systems for the Polish Navy. As part of the effort, a methodology of sonar modernization was implemented involving a complete replacement of existing electronic components with newly designed ones by using bespoke systems and methods of digital signal processing. Large and expensive systems of ultrasound transducers and their dipping and stabilisation systems underwent necessary repairs but were otherwise left unchanged. As a result, between 2001 and 2014 the Gdansk University of Technology helped to modernize 30 sonars of different types.

  9. Surface Roughness and Gloss of Actual Composites as Polished With Different Polishing Systems.

    Science.gov (United States)

    Rodrigues-Junior, S A; Chemin, P; Piaia, P P; Ferracane, J L

    2015-01-01

    This in vitro study evaluated the effect of polishing with different polishing systems on the surface roughness and gloss of commercial composites. One hundred disk-shaped specimens (10 mm in diameter × 2 mm thick) were made with Filtek P-90, Filtek Z350 XT, Opallis, and Grandio. The specimens were manually finished with #400 sandpaper and polished by a single operator using three multistep systems (Superfix, Diamond Pro, and Sof-lex), one two-step system (Polidores DFL), and one one-step system (Enhance), following the manufacturer's instructions. The average surface roughness (μm) was measured with a surface profilometer (TR 200 Surface Roughness Tester), and gloss was measured using a small-area glossmeter (Novo-Curve, Rhopoint Instrumentation, East Sussex, UK). Data were analyzed by two-way analysis of variance and Tukey's test (α=0.05). Statistically significant differences in surface roughness were identified by varying the polishing systems (pGloss was influenced by the composites (pone-step system, Enhance, produced the lowest gloss for all composites. Surface roughness and gloss were affected by composites and polishing systems. The interaction between both also influenced these surface characteristics, meaning that a single polishing system will not behave similarly for all composites. The multistep systems produced higher gloss, while the one-step system produced the highest surface roughness and the lowest gloss of all.

  10. Economic Assessment of an Integrated Membrane System for Secondary Effluent Polishing for Unrestricted Reuse

    Directory of Open Access Journals (Sweden)

    Gideon Oron

    2012-03-01

    Full Text Available Extra treatment stages are required to polish the secondary effluent for unrestricted reuse, primarily for agricultural irrigation. Improved technology for the removal of particles, turbidity, bacteria and cysts, without the use of disinfectants is based on MicroFiltration (MF and UltraFiltration (UF membrane technology and in series with Reverse Osmosis (RO for dissolved solids removal. Field experiments were conducted using a mobile UF and RO membrane pilot unit at a capacity of around 1.0 m3/hr. A management model was defined and tested towards optimal polishing of secondary effluent. The two major purposes of the management model are: (i to delineate a methodology for economic assessment of optimal membrane technology implementation for secondary effluent upgrading for unrestricted use, and; (ii to provide guidelines for optimal RO membrane selection in regards to the pretreatment stage. The defined linear model takes into account the costs of the feed secondary effluent, the UF pretreatment and the RO process. Technological constraints refer primarily to the longevity of the membrane and their performance. Final treatment cost (the objective function includes investment, operation and maintenance expenses, UF pretreatment, RO treatment, post treatment and incentive for low salinity permeate use. The cost range of water for irrigation according to the model is between 15 and 42 US cents per m3.

  11. Polish visit

    CERN Document Server

    2003-01-01

    On 6 October, Professor Michal Kleiber, Polish Minister of Science and Chairman of the State Committee for Scientific Research, visited CERN and met both the current and designated Director General, Luciano Maiani and Robert Aymar. Professor Kleiber visited the CMS and ATLAS detector assembly halls, the underground cavern for ATLAS, and the LHC superconducting magnet string test hall. Michal Kleiber (left), Polish minister of science and Jan Krolikowski, scientist at Warsaw University and working for CMS, who shows the prototypes of the Muon Trigger board of CMS.

  12. Effects of various polishing media and techniques on the surface finish and behavior of laser glasses

    International Nuclear Information System (INIS)

    Landingham, R.L.; Casey, A.W.; Lindahl, R.O.

    1978-01-01

    The advance of high-power laser technology is dependent on the rate of advancement in laser glass forming and surface preparation. The threshold damage of glass surfaces continues to be a weak link in the overall advancement of laser technology. Methods were developed and used in the evaluation of existing glass surface preparation techniques. Modified procedures were evaluated to reduce surface contamination and subsurface defects. Polishing rates were monitored under controlled polishing conditions (purity, pH, particle size distribution, particle concentration, etc.). Future work at LLL for this ongoing investigation is described

  13. COLLOID POLISHING FILTER METHOD - FILTER FLOW TECHNOLOGY, INC. - INNOVATIVE TECHNOLOGY EVALUATION REPORT

    Science.gov (United States)

    The CPFM technology is designed to remove trace to moderate levels of nontritium radionuclides and heavy metal pollutants from water. The technology uses a proprietary compound that consists of inorganic, oxide-based granules. This mixed is designed to remove heavy metals and rad...

  14. Process optimization for ultrasonic vibration assisted polishing of micro-structured surfaces on super hard material

    Science.gov (United States)

    Sun, Zhiyuan; Guo, Bing; Rao, Zhimin; Zhao, Qingliang

    2014-08-01

    In consideration of the excellent property of SiC, the ground micro-structured surface quality is hard to meet the requirement - consequently the ultrasonic vibration assisted polishing (UVAP) of micro-structures of molds is proposed in this paper. Through the orthogonal experiment, the parameters of UVAP of micro-structures were optimized. The experimental results show that, abrasive polishing process, the effect of the workpiece feed rate on the surface roughness (Ra), groove tip radius (R) and material removal rate (MRR) of micro-structures is significant. While, the UVAP, the most significant effect factor for Ra, R and MRR is the ultrasonic amplitude of the ultrasonic vibration. In addition, within the scope of the polishing process parameters selected by preliminary experiments, ultrasonic amplitude of 2.5μm, polishing force of 0.5N, workpiece feed rate of 5 mm·min-1, polishing wheel rotational speed of 50rpm, polishing time of 35min, abrasive size of 100nm and the polishing liquid concentration of 15% is the best technology of UVAP of micro-structures. Under the optimal parameters, the ground traces on the micro-structured surface were removed efficiently and the integrity of the edges of the micro-structure after grinding was maintained efficiently.

  15. The way to zeros: The future of semiconductor device and chemical mechanical polishing technologies

    Science.gov (United States)

    Tsujimura, Manabu

    2016-06-01

    For the last 60 years, the development of cutting-edge semiconductor devices has strongly emphasized scaling; the effort to scale down current CMOS devices may well achieve the target of 5 nm nodes by 2020. Planarization by chemical mechanical polishing (CMP), is one technology essential for supporting scaling. This paper summarizes the history of CMP transitions in the planarization process as well as the changing degree of planarity required, and, finally, introduces innovative technologies to meet the requirements. The use of CMP was triggered by the replacement of local oxidation of silicon (LOCOS) as the element isolation technology by shallow trench isolation (STI) in the 1980s. Then, CMP’s use expanded to improving embedability of aluminum wiring, tungsten (W) contacts, Cu wiring, and, more recently, to its adoption in high-k metal gate (HKMG) and FinFET (FF) processes. Initially, the required degree of planarity was 50 nm, but now 0 nm is required. Further, zero defects on a post-CMP wafer is now the goal, and it is possible that zero psi CMP loading pressure will be required going forward. Soon, it seems, everything will have to be “zero” and perfect. Although the process is also chemical in nature, the CMP process is actually mechanical with a load added using slurry particles several tens of nm in diameter. Zero load in the loading process, zero nm planarity with no trace of processing, and zero residual foreign material, including the very slurry particles used in the process, are all required. This article will provide an overview of how to achieve these new requirements and what technologies should be employed.

  16. Calculation and simulation on mid-spatial frequency error in continuous polishing

    International Nuclear Information System (INIS)

    Xie Lei; Zhang Yunfan; You Yunfeng; Ma Ping; Liu Yibin; Yan Dingyao

    2013-01-01

    Based on theoretical model of continuous polishing, the influence of processing parameters on the polishing result was discussed. Possible causes of mid-spatial frequency error in the process were analyzed. The simulation results demonstrated that the low spatial frequency error was mainly caused by large rotating ratio. The mid-spatial frequency error would decrease as the low spatial frequency error became lower. The regular groove shape was the primary reason of the mid-spatial frequency error. When irregular and fitful grooves were adopted, the mid-spatial frequency error could be lessened. Moreover, the workpiece swing could make the polishing process more uniform and reduce the mid-spatial frequency error caused by the fix-eccentric plane polishing. (authors)

  17. THE POLISH SEJM ELECTIONS OF 2015: SPACE VARIABILITY OF THE RESULTS BASED ON SINGLE-MEMBER CONSTITUENCIES SIMULATION

    Directory of Open Access Journals (Sweden)

    Oskar SKOMSKI

    2017-11-01

    Full Text Available The main assumption of this paper is to analyse the Sejm elections of 2015 results. The authors conducted a simulation study regarding the single-member constituencies in the election to the Polish Parliament, basing the research on the election results facilitated by National Electoral Commission as well as the specific data provided by Central Statistical Office. The division of Poland into 460 single-member constituencies was mapped by the authors (those maps do not include the district divisions in the cities, as the agglomerations’ division is problematic. Obtained results indicate to the marginalization of the Polish political scene – plural voting would preclude the election victories of the secondary political parties and civil rights movements.

  18. KDP Aqueous Solution-in-Oil Microemulsion for Ultra-Precision Chemical-Mechanical Polishing of KDP Crystal

    Directory of Open Access Journals (Sweden)

    Hui Dong

    2017-03-01

    Full Text Available A novel functional KH2PO4 (KDP aqueous solution-in-oil (KDP aq/O microemulsion system for KDP crystal ultra-precision chemical-mechanical polishing (CMP was prepared. The system, which consisted of decanol, Triton X-100, and KH2PO4 aqueous solution, was available at room temperature. The functional KDP aq/O microemulsion system was systematically studied and applied as polishing solution to KDP CMP technology. In this study, a controlled deliquescent mechanism was proposed for KDP polishing with the KDP aq/O microemulsion. KDP aqueous solution, the chemical etchant in the polishing process, was caged into the micelles in the microemulsion, leading to a limitation of the reaction between the KDP crystal and KDP aqueous solution only if the microemulsion was deformed under the effect of the external force. Based on the interface reaction dynamics, KDP aqueous solutions with different concentrations (cKDP were applied to replace water in the traditional water-in-oil (W/O microemulsion. The practicability of the controlled deliquescent mechanism was proved by the decreasing material removal rate (MRR with the increasing of the cKDP. As a result, the corrosion pits on the KDP surface were avoided to some degree. Moreover, the roughnesses of KDP with KDP aq/O microemulsion (cKDP was changed from 10 mM to 100 mM as polishing solutions were smaller than that with the W/O microemulsion. The smallest surface root-mean-square roughness of 1.5 nm was obtained at a 30 mmol/L KDP aq solution, because of the most appropriate deliquescent rate and MRR.

  19. Surface polishing of niobium for superconducting radio frequency (SRF) cavity applications

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Liang [College of William and Mary, Williamsburg, VA (United States)

    2014-08-01

    Niobium cavities are important components in modern particle accelerators based on superconducting radio frequency (SRF) technology. The interior of SRF cavities are cleaned and polished in order to produce high accelerating field and low power dissipation on the cavity wall. Current polishing methods, buffered chemical polishing (BCP) and electro-polishing (EP), have their advantages and limitations. We seek to improve current methods and explore laser polishing (LP) as a greener alternative of chemical methods. The topography and removal rate of BCP at different conditions (duration, temperature, sample orientation, flow rate) was studied with optical microscopy, scanning electron microscopy (SEM), and electron backscatter diffraction (EBSD). Differential etching on different crystal orientations is the main contributor to fine grain niobium BCP topography, with gas evolution playing a secondary role. The surface of single crystal and bi-crystal niobium is smooth even after heavy BCP. The topography of fine grain niobium depends on total removal. The removal rate increases with temperature and surface acid flow rate within the rage of 0~20 °C, with chemical reaction being the possible dominate rate control mechanism. Surface flow helps to regulate temperature and avoid gas accumulation on the surface. The effect of surface flow rate on niobium EP was studied with optical microscopy, atomic force microscopy (AFM), and power spectral density (PSD) analysis. Within the range of 0~3.7 cm/s, no significant difference was found on the removal rate and the macro roughness. Possible improvement on the micro roughness with increased surface flow rate was observed. The effect of fluence and pulse accumulation on niobium topography during LP was studied with optical microscopy, SEM, AFM, and PSD analysis. Polishing on micro scale was achieved within fluence range of 0.57~0.90 J/cm2, with pulse accumulation adjusted accordingly. Larger area treatment was proved possible by

  20. [Bogdan Suchodolski--initiator and editor-in-chief of the publication History of Polish Science].

    Science.gov (United States)

    Kuźnicka, Barbara; Kuźnicki, Leszek

    2011-01-01

    Among numerous and distinguished author's and editorial works of Bogdan Suchodolski a particular value presents a publication in eight volumes entitled 'History of Polish Science' (including two biographical and bibliographic volumes), which was published in the years 1970-1992 on Professor's own initiative and edited by himself. This is the first synthesis of the history of science in Poland, from the beginning of the Middle Ages till the present time (to 1952). In the conception of the initiator and editor the work presents the development of scientific thought and achievements of the scholars in relation to national culture and in connexions with the trends in science in the world. 'History of Polish Science' is the work written by several dozen authors, representing different domains of the knowledge. Scientific, organizational and editorial patronate was possible by dint of History of Science and Technology Establishment of Polish Academy of Sciences (presently the Institute for the History of Science of Polish Academy of Sciences), which was managed by Bogdan Suchodolski.

  1. Grinding and polishing of conformal windows and domes

    Science.gov (United States)

    Fess, Edward; Ross, James; Matthews, Greg

    2017-05-01

    Conformal optics require special manufacturing techniques to produce them to optical tolerances. In many cases the materials used are very hard optical ceramics that present additional manufacturing challenges due to their hardness and grain structure. OptiPro has developed grinding technologies such as OptiSonic grinding, as well as sub-aperture polishing technologies like UltraForm Finishing (UFF) to manufacture these challenging components. We have also developed a custom computer aided manufacturing (CAM) software package, ProSurf, to generate the complex tool paths for both grinding and polishing processes. One of the main advantages of ProSurf over traditional CAM software packages is that it uses metrology feedback for deterministic corrections. The metrology input can be obtained from OptiPro's 5-axis UltraSurf metrology system, which is capable of measuring these complex shapes to sub-micron accuracies. Through the development of these technologies much work has been performed in creating, measuring and analyzing the alignment fiducials or datum's used to qualify the location of the optical surfaces. Understanding the sensitivity of the optical surface to any datum misalignment is critical to knowing not only where the part is in space, but how good the optical surfaces are to each other. Working with the optical designer to properly tolerance surfaces to these datums is crucial. This paper will present the technologies developed by OptiPro to manufacture conformal windows as well as information related to the optical surfaces sensitivity to datums and how accurately those datums can be measured.

  2. Polishing and parboiling effect on the nutritional and technological properties of pigmented rice

    Science.gov (United States)

    This study aims to evaluate the effects of polishing and parboiling on proximate composition, structure, phenolic compounds, antioxidant activity, cooking time and hardness of IAC-600 black rice cultivar and MPB-10 red rice lineage. Proximate analysis and light micrographs revealed higher migration ...

  3. Calculation of regimes of electro-erosion polishing with roughness value Rsub(a) less 0,2 μm

    International Nuclear Information System (INIS)

    Zolotykh, B.N.; Zolotykh, V.B.

    1984-01-01

    Calculation technique of ''polishing'' regimes of electroerosion treatment (EET) is considered and calculation results for a number of metals are presented. It is shown, that the calculation technique of EET polishing regimes provides results close to the experimental ones and can be used for the calculation of special pulse generators, as well as in the systems of automated projecting of EET technological processes

  4. The study of optimization on process parameters of high-accuracy computerized numerical control polishing

    Science.gov (United States)

    Huang, Wei-Ren; Huang, Shih-Pu; Tsai, Tsung-Yueh; Lin, Yi-Jyun; Yu, Zong-Ru; Kuo, Ching-Hsiang; Hsu, Wei-Yao; Young, Hong-Tsu

    2017-09-01

    Spherical lenses lead to forming spherical aberration and reduced optical performance. Consequently, in practice optical system shall apply a combination of spherical lenses for aberration correction. Thus, the volume of the optical system increased. In modern optical systems, aspherical lenses have been widely used because of their high optical performance with less optical components. However, aspherical surfaces cannot be fabricated by traditional full aperture polishing process due to their varying curvature. Sub-aperture computer numerical control (CNC) polishing is adopted for aspherical surface fabrication in recent years. By using CNC polishing process, mid-spatial frequency (MSF) error is normally accompanied during this process. And the MSF surface texture of optics decreases the optical performance for high precision optical system, especially for short-wavelength applications. Based on a bonnet polishing CNC machine, this study focuses on the relationship between MSF surface texture and CNC polishing parameters, which include feed rate, head speed, track spacing and path direction. The power spectral density (PSD) analysis is used to judge the MSF level caused by those polishing parameters. The test results show that controlling the removal depth of single polishing path, through the feed rate, and without same direction polishing path for higher total removal depth can efficiently reduce the MSF error. To verify the optical polishing parameters, we divided a correction polishing process to several polishing runs with different direction polishing paths. Compare to one shot polishing run, multi-direction path polishing plan could produce better surface quality on the optics.

  5. Effect of porcelain polishing addition of waste in properties blocks ceramic

    International Nuclear Information System (INIS)

    Santana, G.L.; Barbosa Neto, M.C.; Campos, L.F.; Macedo, D.A; Dutra, R.P.S.

    2016-01-01

    This work has as objective the study of the technological properties of ceramic blocks with addition of residue porcelain polishing. The test samples are produced with clay base, where the waste is introduced in concentrations of 10% and 20% by mass, to evaluate its influence on the properties of the ceramic block. All these materials were characterized by determining their chemical composition (XRF) and X-ray diffraction Sintering was performed at temperatures of 850 ° C, 950 ° C and 1100 ° C with a heating rate of 2 ° C / me and 60 minutes of landing. After this, there was obtained the technological properties of the samples such as: Loss on fire, the burning linear shrinkage, water absorption, porosity and density, as well as, mechanical strength properties through the flexural strength test. The results show that the addition of waste influenced both the technological properties, the mechanical properties evaluated in this study. (author)

  6. The tourism attractiveness of Polish libraries

    OpenAIRE

    Miedzińska, Magdalena; Tanaś, Sławoj

    2009-01-01

    The aim of the article is to draw the reader's attention to the tourism attractiveness of renowned Polish libraries. These have attained a tourism function due to tourism exploration and penetration, but remain in the shadow of other Polish cultural assets. The article outlines the historical geography of Polish libraries, an analysis of tourism assets and an attempt to classify and catalogue libraries in Poland.

  7. The place of polish in the multilingual space of the European Union

    Directory of Open Access Journals (Sweden)

    T. I. Neprytska

    2015-03-01

    Full Text Available The article studies the position of the Polish language in the multilingual space of the European Union and determines the key factors which facilitate its gaining popularity and spreading in Europe. A large territory and population determine the significant presence of Polish in the European Union. Intense economic development facilitates popularization of learning and using Polish in the business medium, however, English was and still remains the dominating language of business. Active work of the state on improving the reputation of the country abroad, civilizational (value­based unity with other nations of the EU, favorable geographical position, common Indo­European roots of Germanic, Romanic and Slavonic  languages as well as usage of the Latin type create favorable conditions for the development and popularization of Polish on the territory of the EU. The article also mentions a number of concerns, which are rooted in the historical past of a dependent or semi­dependent existence of the Polish people, namely, the existence of the Polish and culture in the shade of German and Russian culture space, the negative international image of modern Poland, which was formed at the beginning of the 1990­s, the low level of Europeans’ familiarization with the Polish culture, absence of popularity and economic necessity of learning Polish abroad.

  8. Calculation of regimes of electro-erosion polishing with roughness value Rsub(a) less 0,2. mu. m

    Energy Technology Data Exchange (ETDEWEB)

    Zolotykh, B.N.; Zolotykh, V.B.

    1984-01-01

    Calculation technique of ''polishing'' regimes of electroerosion treatment (EET) is considered and calculation results for a number of metals are presented. It is shown, that the calculation technique of EET polishing regimes provides results close to the experimental ones and can be used for the calculation of special pulse generators, as well as in the systems of automated projecting of EET technological processes.

  9. Technology Transfer brochure (Polish version)

    CERN Multimedia

    Lefevre, C

    2008-01-01

    A brief overview of how CERN's pioneering technologies for scientific research have branched out into various fields. Medicine, industrial processes, information and communication technology, as well as environment and energy fields make use of these innovative developments.

  10. Polish polar research (outline

    Directory of Open Access Journals (Sweden)

    Krzysztof Ludwik Birkenmajer

    2017-12-01

    Full Text Available The article describes Polish research and discoveries in the Arctic and the Antarctic since the 19th century. The author is a geologist and since 1956 has been engaged in scientific field research on Spitsbergen, Greenland and Antarctica (23 expeditions. For many years chairman of the Committee on Polar Research of the Polish Academy of Sciences, he is now its Honorary Chairman.

  11. Effects of different polishing techniques on the surface roughness of dental porcelains

    Directory of Open Access Journals (Sweden)

    Işil Sarikaya

    2010-02-01

    Full Text Available OBJECTIVE: The purpose of this study was to evaluate the effects of different polishing techniques on the surface roughness of dental porcelains. MATERIAL AND METHODS: Fifty-five cylindirical specimens (15x2 mm were prepared for each feldspathic (Vita VMK 95, Ceramco III and low-fusing dental porcelain (Matchmaker. Fifty-five specimens of machinable feldspathic porcelain blocks (Vitablocs Mark II, (12x14x18 mm were cut into 2-mm-thick slices (12x14 mm with low speed saw. The prepared specimens were divided into 11 groups (n=5 representing different polishing techniques including control ((C no surface treatment, glaze (G and other 9 groups that were finished and polished with polishing discs (Sof-Lex (Sl, two porcelain polishing kits (NTI (Pk, Dialite II (Di, a diamond polishing paste (Sparkle (Sp, a zirconium silicate based cleaning and polishing prophy paste (Zircate (Zr, an aluminum oxide polishing paste (Prisma Gloss (Pg, and combinations of them. The surface roughness of all groups was measured with a profilometer. The data were analyzed with a 2-way analysis of variance, and the mean values were compared by the Tukey Honestly Significant Difference test (a=0.05. RESULTS: For all porcelain material groups, the lowest Ra values were observed in Group Gl, Group Sl, Group Pk, and Group Di, which were not significantly different from each other (p>0.05.When comparing the 4 different porcelain materials, the machinable feldspathic porcelain block group (Mark II demonstrated statistically significantly less Ra values than the other porcelain materials tested (p<0.05. No significant difference was observed between the VMK 95 and Ceramco III porcelain groups (p=0.919, also these groups demonstrated the highest Ra values. CONCLUSION: Subjected to surface roughness, the surfaces obtained with polishing and/or cleaning-prophy paste materials used alone were rougher compared to the surfaces finished using Sof-lex, Dialite, and NTI polishing kit

  12. IDENTITY AND NEGOTIATION OF BOUNDARIES AMONG YOUNG POLISH JEWS

    OpenAIRE

    Cukras-Stelągowska, Joanna

    2015-01-01

    In this chapter, I would like to look at the processes of building the cultural identity of the young generation of Polish Jews, primarily including the models of constructing modern identifications with the Polish society, the Jewish people and the State of Israel. I will present the results of my own studies, and of those conducted by a few other young researchers dealing with these issues. My main theoretical sources are within the interpretive paradigm. The research methodology was based ...

  13. Zerodur polishing process for high surface quality and high efficiency

    International Nuclear Information System (INIS)

    Tesar, A.; Fuchs, B.

    1992-08-01

    Zerodur is a glass-ceramic composite importance in applications where temperature instabilities influence optical and mechanical performance, such as in earthbound and spaceborne telescope mirror substrates. Polished Zerodur surfaces of high quality have been required for laser gyro mirrors. Polished surface quality of substrates affects performance of high reflection coatings. Thus, the interest in improving Zerodur polished surface quality has become more general. Beyond eliminating subsurface damage, high quality surfaces are produced by reducing the amount of hydrated material redeposited on the surface during polishing. With the proper control of polishing parameters, such surfaces exhibit roughnesses of < l Angstrom rms. Zerodur polishing was studied to recommend a high surface quality polishing process which could be easily adapted to standard planetary continuous polishing machines and spindles. This summary contains information on a polishing process developed at LLNL which reproducibly provides high quality polished Zerodur surfaces at very high polishing efficiencies

  14. Lysenko affair and Polish botany.

    Science.gov (United States)

    Köhler, Piotr

    2011-01-01

    This article describes the slight impact of Lysenkoism upon Polish botany. I begin with an account of the development of plant genetics in Poland, as well as the attitude of scientists and the Polish intelligentsia toward Marxist philosophy prior to the World War II. Next I provide a short history of the introduction and demise of Lysenkoism in Polish science, with a focus on events in botany, in context with key events in Polish science from 1939 to 1958. The article outlines the little effects of Lysenkoism upon botanists and their research, as well as how botanists for the most part rejected what was often termed the "new biology." My paper shows that though Lysenko's theories received political support, and were actively promoted by a small circle of scientists and Communist party activists, they were never accepted by most botanists. Once the political climate in Poland altered after the events of 1956, Lysenko's theories were immediately abandoned.

  15. Food additives used in meat processing according to the Polish and European Union legislation.

    Science.gov (United States)

    Uradziński, J; Weiner, M

    2003-01-01

    This paper presents the legal regulations related to the use of food additives in meat production in Poland and the European Union. The Polish legal definition of food additives is given as well as the classification of permitted food additives added to food and stimulants by their technological function. In addition, a definition of processing aids in the food industry is included. It shows that Polish legislation includes food additives used to ensure or improve food nutritional value, whereas in the EU legislation, these substances are not included in the list of food additives. Moreover, the Council Directives include food additive specific purity criteria, whereas the Polish regulations do not mention the legal regulations of this issue in practice. The European Union use mechanisms and procedures for the introduction of new food additives into internal markets as well as controlling the circulation of additives. The Polish legislation in practice, however, does not determine approval or methods for the introduction of new food additives to the market. Legal regulations on the monitoring of food additives no exist.

  16. Abstracts Book of 42. Scientific Assembly of Polish Chemical Society and Association of Engineers and Technicians of Chemical Industry

    International Nuclear Information System (INIS)

    1999-01-01

    Scientific Assembly of Polish Chemical Society and Association of Engineers and Technicians of Chemical Industry is the most important chemical forum of Polish chemists organised annually. The state of art of many fundamental and applied investigations have been presented and discussed. The following scientific sessions and microsymposia have been proposed: plenary session, analytical chemistry, inorganic chemistry, organic chemistry, chemistry and environment, chemistry and technology of polymers, chemistry didactics, electrochemistry, young scientists forum, chemical technology, chemical engineering, high energetics materials, computers in research and teaching of chemistry, structure modelling and polymer properties, silicon-organic compounds

  17. THE POLISH BUSINESS ENVIRONMENT FOR LANGUAGE SERVICE PROVIDERS IN VIEW OF THE PORTER’S FIVE FORCES MODEL

    OpenAIRE

    Kowalska, Monika

    2014-01-01

    After the Polish accession to the European Union in 2004, language services have considerably grown in importance. Intensive contacts with foreign companies and institutions coupled with information technology developments have increased the role of English as a linguistic medium of international cooperation. The overall aim of this paper is to examine the Polish business environment for Language Service Providers (LSPs) offering specialized English courses and translation services (EN-PL and...

  18. Emissions from the Polish power industry

    International Nuclear Information System (INIS)

    Uliasz-Bochenczyk, Alicja; Mokrzycki, Eugeniusz

    2007-01-01

    Poland is a country where power and heat energy production is based on conventional fuel combustion, above all hard coal and lignite. In power plants and combined heat and power plants, fossil fuel combustion results in emitting to the atmosphere first of all SO 2 , NO x , CO, particulate matter, greenhouse gases for instance N 2 O and CO 2 . For many years the Polish power industry has tried to reduce the emission of air contaminants to the atmosphere. The reduction is feasible on account of various methods of emission reduction, which have been advanced and applied for many years. The paper presents conventional fuels used in the Polish professional power industry, as well as the principal emission types occurring there for the last 10 years and the undertaken reduction measures

  19. Chemical polishing of partially oxidized T-111 alloy

    International Nuclear Information System (INIS)

    Teaney, P.E.

    1974-01-01

    The specimens were pressure-mounted in Bakelite and ground through 600 grit on silicon carbide papers. The specimens were rough-polished on a vibratory polisher for 4 to 6 h, using a water slurry of one micron alumina on Texmet, followed by 0.3-μ alumina on Texmet overnight. Final polishing was accomplished by continuous swabbing with a chemical polish. A chemical polish consisting of ten parts lactic acid, four parts nitric acid, and four parts hydrofluoric acid worked well for the T-111 parent material specimens; however, in the partially oxidized specimens, considerable pitting and staining occurred in the oxygen-affected zone and in the transition zone between the oxygen-affected zone and the parent material. A chemical polish was developed for the partially oxidized specimens by adjusting the ratio of the acids to ten parts lactic acid, two parts nitric acid, and two parts hydrofluoric acid. This slowed the chemical attack on the oxygen-affected zone considerably and, with continuous swabbing, the pitting and stain could be avoided. The specimens were rinsed and checked occasionally on the metallograph to determine when the proper polish had been obtained. Some specimens required intermittent polishing times up to 1 / 2 hour. No relationship could be established between the oxygen content of the specimen and the time required for chemical polishing in the partially oxidized specimens. However, the microstructure of the transition zone was the most difficult to obtain, and specimens with uniform reaction zones across the width of the specimen polished quicker than those with the transition zone

  20. Graphite Composite Panel Polishing Fixture

    Science.gov (United States)

    Hagopian, John; Strojny, Carl; Budinoff, Jason

    2011-01-01

    The use of high-strength, lightweight composites for the fixture is the novel feature of this innovation. The main advantage is the light weight and high stiffness-to-mass ratio relative to aluminum. Meter-class optics require support during the grinding/polishing process with large tools. The use of aluminum as a polishing fixture is standard, with pitch providing a compliant layer to allow support without deformation. Unfortunately, with meter-scale optics, a meter-scale fixture weighs over 120 lb (.55 kg) and may distort the optics being fabricated by loading the mirror and/or tool used in fabrication. The use of composite structures that are lightweight yet stiff allows standard techniques to be used while providing for a decrease in fixture weight by almost 70 percent. Mounts classically used to support large mirrors during fabrication are especially heavy and difficult to handle. The mount must be especially stiff to avoid deformation during the optical fabrication process, where a very large and heavy lap often can distort the mount and optic being fabricated. If the optic is placed on top of the lapping tool, the weight of the optic and the fixture can distort the lap. Fixtures to support the mirror during fabrication are often very large plates of aluminum, often 2 in. (.5 cm) or more in thickness and weight upwards of 150 lb (68 kg). With the addition of a backing material such as pitch and the mirror itself, the assembly can often weigh over 250 lb (.113 kg) for a meter-class optic. This innovation is the use of a lightweight graphite panel with an aluminum honeycomb core for use as the polishing fixture. These materials have been used in the aerospace industry as structural members due to their light weight and high stiffness. The grinding polishing fixture consists of the graphite composite panel, fittings, and fixtures to allow interface to the polishing machine, and introduction of pitch buttons to support the optic under fabrication. In its

  1. Polish Americans. Second, Revised Edition.

    Science.gov (United States)

    Lopata, Helen Znaniecka

    This book examines Polonia, the Polish ethnic community in America created by three giant waves of immigration between 1880 and 1990. The complicated history of this ethnic group is reflected in the lives of increasing numbers of Polish Americans, including recent immigrants brought by political and economic changes, as they achieve middle class…

  2. FY 2000 report on the results of the regional consortium R and D project - Regional new technology creation R and D. First year report. Functional molds with highly polished diamond coatings; 2000 nendo chiiki consortium kenkyu kaihatsu jigyo - chiiki shingijutsu soshutsu kenkyu kaihatsu. Kyomen diamond maku wo yusuru kokino kanagata (shonendo) seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The development was proceeded with of the technology to form the uniform diamond coating on mold with the complicated shape and also to highly polish it by a new chemical polishing method. The new chemical polishing method is a method using TiAlX intermetallic compounds as grindstone. Reaction with each other of Ti and C by frictional heat expedites diamond polishing. 1) development of the controlling technology of diamond coating interface; 2) development of the 3-D diamond polishing system; 3) demonstrative test on diamond coating; 4) study of a possibility of the commercialization. 1) was divided into the development of the controlling technology of diamond coating interface and the survey of super-hard alloys suitable for diamond coating formation. In the latter, it was found out that the highly Co containing particular WC super-hard alloys were bad in adhesiveness to diamond coating. Therefore, studies were made on the manufacturing of super-hard alloys which use coarse WC only near the surface and does not have much Co and on the use of SiC excellent in adhesiveness both to super-hard alloys and diamond for intermediate layer. (NEDO)

  3. Trend and current practices of palm oil mill effluent polishing: Application of advanced oxidation processes and their future perspectives.

    Science.gov (United States)

    Bello, Mustapha Mohammed; Abdul Raman, Abdul Aziz

    2017-08-01

    Palm oil processing is a multi-stage operation which generates large amount of effluent. On average, palm oil mill effluent (POME) may contain up to 51, 000 mg/L COD, 25,000 mg/L BOD, 40,000 TS and 6000 mg/L oil and grease. Due to its potential to cause environmental pollution, palm oil mills are required to treat the effluent prior to discharge. Biological treatments using open ponding system are widely used for POME treatment. Although these processes are capable of reducing the pollutant concentrations, they require long hydraulic retention time and large space, with the effluent frequently failing to satisfy the discharge regulation. Due to more stringent environmental regulations, research interest has recently shifted to the development of polishing technologies for the biologically-treated POME. Various technologies such as advanced oxidation processes, membrane technology, adsorption and coagulation have been investigated. Among these, advanced oxidation processes have shown potentials as polishing technologies for POME. This paper offers an overview on the POME polishing technologies, with particularly emphasis on advanced oxidation processes and their prospects for large scale applications. Although there are some challenges in large scale applications of these technologies, this review offers some perspectives that could help in overcoming these challenges. Copyright © 2017 Elsevier Ltd. All rights reserved.

  4. Experimental Polish-Lithuanian Corpus with the Semantic Annotation Elements

    Directory of Open Access Journals (Sweden)

    Danuta Roszko

    2015-06-01

    Full Text Available Experimental Polish-Lithuanian Corpus with the Semantic Annotation Elements In the article the authors present the experimental Polish-Lithuanian corpus (ECorpPL-LT formed for the idea of Polish-Lithuanian theoretical contrastive studies, a Polish-Lithuanian electronic dictionary, and as help for a sworn translator. The semantic annotation being brought into ECorpPL-LT is extremely useful in Polish-Lithuanian contrastive studies, and also proves helpful in translation work.

  5. Assessing operability of a novel polisher arrangement using MMS

    International Nuclear Information System (INIS)

    Shor, S.W.W.

    1987-01-01

    A condensate polisher is intended to remove both particulate matter and ionic material from the condensate. Condensate polishers have normally been placed directly in the condensate system downstream of the condensate pumps. This inline location has certain disadvantages. These disadvantages are discussed. Placing the polisher in a sidestream location, where water is removed from the condensate system, pumped through the polisher, and then returned to the condensate system provides a solution to these disadvantages. Several possible types of sidestream installations is described. This has a polisher taking unpolished condensate from one compartment from one compartment of a divided hotwell in a specially modified condenser and returning polished condensate to the other compartment. The polisher is supplied by its own dedicated pumps, which have a head requirement sufficient only to overcome the pressure drop through the polisher circuit at a flow rate of 110% of maximum condensate flow. This concept is very attractive but has not yet been tested even though it is being installed in several new units. A simulation was, therefore, performed using MMS to provide confidence that this particular sidestream polisher arrangement was operationally viable

  6. [The fate of Polish psychiatry under German occupation during World War II].

    Science.gov (United States)

    Leidinger, Friedrich

    2014-07-01

    Polish psychiatry was since its origin deeply influenced by German (Austrian) and Russian psychiatry. After the German assault Polish psychiatric patients were the first victims of mass executions, and the first to be killed by new developed "gassing" technology. Especially cruel was the fate of Jewish patients. German "health policy" in occupied Poland was only "starvation or shooting". Some hospitals continued working under German rule and received patients from Germany in the framework of Nazi-"euthanasia". The article describes the mostly ignored facts of the close link between the medical programme of annihilation of the "unfit" and the genocide of Poles and Jews. © Georg Thieme Verlag KG Stuttgart · New York.

  7. Nd:YOV4 laser polishing on WC-Co HVOF coating

    Science.gov (United States)

    Giorleo, L.; Ceretti, E.; Montesano, L.; La Vecchia, G. M.

    2017-10-01

    WC/Co coatings are widely applied to different types of components due to their extraordinary performance properties including high hardness and wear properties. In industrial applications High Velocity Oxy-Fuel (HVOF) technique is extensively used to deposit hard metal coatings. The main advantage of HVOF compared to other thermal spray techniques is the ability to accelerate the melted powder particles of the feedstock material at a relatively high velocity, leading to obtain good adhesion and low porosity level. However, despite the mentioned benefits, the surface finish quality of WC-Co HVOF coatings results to be poor (Ra higher than 5 µm) thus a mechanical polishing process is often needed. The main problem is that the high hardness of coating leads the polishing process expensive in terms of time and tool wear; moreover polishing becomes difficult and not always possible in case of limited accessibility of a part, micro dimensions or undercuts. Nowadays a different technique available to improve surface roughness is the laser polishing process. The polishing principle is based on focused radiation of a laser beam that melts a microscopic layer of surface material. Compared to conventional polishing process (as grinding) it ensures the possibility of avoiding tool wear, less pollution (no abrasive or liquids), no debris, less machining time and coupled with a galvo system it results to be more suitable in case of 3D complex workpieces. In this paper laser polishing process executed with a Nd:YOV4 Laser was investigated: the effect of different process parameters as initial coating morphology, laser scan speed and loop cycles were tested. Results were compared by a statistical approach in terms of average roughness along with a morphological analysis carried out by Scanning Electron Microscope (SEM) investigation coupled with EDS spectra.

  8. Papers of Scientific Seminar Polish Science and Technology for Nuclear Energy of Tomorrow

    International Nuclear Information System (INIS)

    2000-01-01

    The report presents papers and discussion during the seminar organised by Polish Nuclear Society, Institute of Nuclear Chemistry and Technology, Institute of Atomic Energy and National Atomic Agency held 13-14 April 2000 in Madralin near warsaw. The seminar was attended by about 70 participants from many centers and universities. The main topics were connected with decision of Economic Committee of the Government accepting a document entitled 'Principles of energy policy in poland up to year 2020', which predicts low increase of electric energy demand thus excludes construction of nuclear power plants in near future.Authors of papers and participants of discussion pointed out many factors in development of energy supply in the world and in Poland, Which should focus the interest of public opinion on sustained development of energy sources keeping open a nuclear option. The panel discussion was concentrated on the following topics: development of energy sector in Poland, nuclear energy of to-morrow, public information and education and research activities. The main conclusions of seminar may be formulated as follows: 1) pay more attention to realistic and correct assumption of energy demands in future, 2) follow up the tendencies in nuclear reactor technology development associated with nuclear and radiological safety along with storing the radioactive waste and burn-up fuel, 3) nuclear energy cannot be developed without public acceptance, ots positive aspects and mainly proecological character should be highlighted, 4) long term program of public education should be performed especially by proper field of studies at universities and responsible presentation in mass media, 5) intensification of research in widely formulated nuclear energy applications including work on supporting of nuclear energy development in Poland (author)

  9. Slab-coupled optical sensor fabrication using side-polished Panda fibers.

    Science.gov (United States)

    King, Rex; Seng, Frederick; Stan, Nikola; Cuzner, Kevin; Josephson, Chad; Selfridge, Richard; Schultz, Stephen

    2016-11-01

    A new device structure used for slab-coupled optical sensor (SCOS) technology was developed to fabricate electric field sensors. This new device structure replaces the D-fiber used in traditional SCOS technology with a side-polished Panda fiber. Unlike the D-fiber SCOS, the Panda fiber SCOS is made from commercially available materials and is simpler to fabricate. The Panda SCOS interfaces easier with lab equipment and exhibits ∼3  dB less loss at link points than the D-fiber SCOS. The optical system for the D-fiber is bandwidth limited by a transimpedance amplifier (TIA) used to amplify to the electric signal. The Panda SCOS exhibits less loss than the D-fiber and, as a result, does not require as high a gain setting on the TIA, which results in an overall higher bandwidth range. Results show that the Panda sensor also achieves comparable sensitivity results to the D-fiber SCOS. Although the Panda SCOS is not as sensitive as other side-polished fiber electric field sensors, it can be fabricated much easier because the fabrication process does not require special alignment techniques, and it is made from commercially available materials.

  10. Impact of chemical polishing on surface roughness and dimensional quality of electron beam melting process (EBM) parts

    Science.gov (United States)

    Dolimont, Adrien; Rivière-Lorphèvre, Edouard; Ducobu, François; Backaert, Stéphane

    2018-05-01

    Additive manufacturing is growing faster and faster. This leads us to study the functionalization of the parts that are produced by these processes. Electron Beam melting (EBM) is one of these technologies. It is a powder based additive manufacturing (AM) method. With this process, it is possible to manufacture high-density metal parts with complex topology. One of the big problems with these technologies is the surface finish. To improve the quality of the surface, some finishing operations are needed. In this study, the focus is set on chemical polishing. The goal is to determine how the chemical etching impacts the dimensional accuracy and the surface roughness of EBM parts. To this end, an experimental campaign was carried out on the most widely used material in EBM, Ti6Al4V. Different exposure times were tested. The impact of these times on surface quality was evaluated. To help predicting the excess thickness to be provided, the dimensional impact of chemical polishing on EBM parts was estimated. 15 parts were measured before and after chemical machining. The improvement of surface quality was also evaluated after each treatment.

  11. Performance Evaluation of Large Aperture 'Polished Panel' Optical Receivers Based on Experimental Data

    Science.gov (United States)

    Vilnrotter, Victor

    2013-01-01

    Recent interest in hybrid RF/Optical communications has led to the development and installation of a "polished-panel" optical receiver evaluation assembly on the 34-meter research antenna at Deep-Space Station 13 (DSS-13) at NASA's Goldstone Communications Complex. The test setup consists of a custom aluminum panel polished to optical smoothness, and a large-sensor CCD camera designed to image the point-spread function (PSF) generated by the polished aluminum panel. Extensive data has been obtained via realtime tracking and imaging of planets and stars at DSS-13. Both "on-source" and "off-source" data were recorded at various elevations, enabling the development of realistic simulations and analytic models to help determine the performance of future deep-space communications systems operating with on-off keying (OOK) or pulse-position-modulated (PPM) signaling formats with photon-counting detection, and compared with the ultimate quantum bound on detection performance for these modulations. Experimentally determined PSFs were scaled to provide realistic signal-distributions across a photon-counting detector array when a pulse is received, and uncoded as well as block-coded performance analyzed and evaluated for a well-known class of block codes.

  12. Models of nanoparticles movement, collision, and friction in chemical mechanical polishing (CMP)

    Energy Technology Data Exchange (ETDEWEB)

    Ilie, Filip, E-mail: filip@meca.omtr.pub.ro [Polytechnic University of Bucharest, Department of Machine Elements and Tribology (Romania)

    2012-03-15

    Nanoparticles have been widely used in polishing slurry such as chemical mechanical polishing (CMP) process. The movement of nanoparticles in polishing slurry and the interaction between nanoparticles and solid surface are very important to obtain an atomic smooth surface in CMP process. Polishing slurry contains abrasive nanoparticles (with the size range of about 10-100 nm) and chemical reagents. Abrasive nanoparticles and hydrodynamic pressure are considered to cause the polishing effect. Nanoparticles behavior in the slurry with power-law viscosity shows great effect on the wafer surface in polishing process. CMP is now a standard process of integrated circuit manufacturing at nanoscale. Various models can dynamically predict the evolution of surface topography for any time point during CMP. To research, using a combination of individual nanoscale friction measurements for CMP of SiO{sub 2}, in an analytical model, to sum these effects, and the results scale CMP experiments, can guide the research and validate the model. CMP endpoint measurements, such as those from motor current traces, enable verification of model predictions, relating to friction and wear in CMP and surface topography evolution for different types of CMP processes and patterned chips. In this article, we explore models of the microscopic frictional force based on the surface topography and present both experimental and theoretical studies on the movement of nanoparticles in polishing slurry and collision between nanoparticles, as well as between the particles and solid surfaces in time of process CMP. Experimental results have proved that the nanoparticle size and slurry properties have great effects on the polishing results. The effects of the nanoparticle size and the slurry film thickness are also discussed.

  13. Surface roughness and morphology of dental nanocomposites polished by four different procedures evaluated by a multifractal approach

    Energy Technology Data Exchange (ETDEWEB)

    Ţălu, Ştefan, E-mail: stefan_ta@yahoo.com [Technical University of Cluj-Napoca, Faculty of Mechanical Engineering, Department of AET, Discipline of Descriptive Geometry and Engineering Graphics, 103-105 B-dul Muncii St., Cluj-Napoca 400641, Cluj (Romania); Stach, Sebastian, E-mail: sebastian.stach@us.edu.pl [University of Silesia, Faculty of Computer Science and Materials Science, Institute of Informatics, Department of Biomedical Computer Systems, Będzińska 39, 41-205 Sosnowiec (Poland); Lainović, Tijana, E-mail: tijana.lainovic@gmail.com [University of Novi Sad, Faculty of Medicine, School of Dentistry, Hajduk Veljkova 3, 21000 Novi Sad (Serbia); Vilotić, Marko, E-mail: markovil@uns.ac.rs [University of Novi Sad, Faculty of Technical Sciences, Department for Production Engineering, Trg Dositeja Obradovića 6, 21000 Novi Sad (Serbia); Blažić, Larisa, E-mail: larisa.blazic@gmail.com [University of Novi Sad, Faculty of Medicine, School of Dentistry, Clinic of Dentistry of Vojvodina, Department of Restorative Dentistry and Endodontics, Hajduk Veljkova 3, 21000 Novi Sad (Serbia); Alb, Sandu Florin, E-mail: albflorin@yahoo.com [“Iuliu Haţieganu” University of Medicine and Pharmacy, Faculty of Dentistry, Department of Periodontology, 8 Victor Babeş St., 400012 Cluj-Napoca (Romania); Kakaš, Damir, E-mail: kakasdam@uns.ac.rs [University of Novi Sad, Faculty of Technical Sciences, Department for Production Engineering, Trg Dositeja Obradovića 6, 21000 Novi Sad (Serbia)

    2015-03-01

    Graphical abstract: - Highlights: • Multifractals are good indicators of polished dental composites 3-D surface structure. • The nanofilled composite had superior 3-D surface properties than the nanohybrid one. • Composite polishing with diamond paste created improved 3-D multifractal structure. • Recommendation: polish the composite with diamond paste if using the one-step tool. • Multifractal analysis could become essential in designing new dental surfaces. - Abstract: The objective of this study was to determine the effect of different dental polishing methods on surface texture parameters of dental nanocomposites. The 3-D surface morphology was investigated by atomic force microscopy (AFM) and multifractal analysis. Two representative dental resin-based nanocomposites were investigated: a nanofilled and a nanohybrid composite. The samples were polished by two dental polishing protocols using multi-step and one-step system. Both protocols were then followed by diamond paste polishing. The 3-D surface roughness of samples was studied by AFM on square areas of topography on the 80 × 80 μm{sup 2} scanning area. The multifractal spectrum theory based on computational algorithms was applied for AFM data and multifractal spectra were calculated. The generalized dimension D{sub q} and the singularity spectrum f(α) provided quantitative values that characterize the local scale properties of dental nanocomposites polished by four different dental polishing protocols at nanometer scale. The results showed that the larger the spectrum width Δα (Δα = α{sub max} − α{sub min}) of the multifractal spectra f(α), the more non-uniform was the surface morphology. Also, the 3-D surface topography was described by statistical parameters, according to ISO 25178-2:2012. The 3-D surface of samples had a multifractal nature. Nanofilled composite had lower values of height parameters than nanohybrid composites, due to its composition. Multi-step polishing protocol

  14. Surface roughness and morphology of dental nanocomposites polished by four different procedures evaluated by a multifractal approach

    International Nuclear Information System (INIS)

    Ţălu, Ştefan; Stach, Sebastian; Lainović, Tijana; Vilotić, Marko; Blažić, Larisa; Alb, Sandu Florin; Kakaš, Damir

    2015-01-01

    Graphical abstract: - Highlights: • Multifractals are good indicators of polished dental composites 3-D surface structure. • The nanofilled composite had superior 3-D surface properties than the nanohybrid one. • Composite polishing with diamond paste created improved 3-D multifractal structure. • Recommendation: polish the composite with diamond paste if using the one-step tool. • Multifractal analysis could become essential in designing new dental surfaces. - Abstract: The objective of this study was to determine the effect of different dental polishing methods on surface texture parameters of dental nanocomposites. The 3-D surface morphology was investigated by atomic force microscopy (AFM) and multifractal analysis. Two representative dental resin-based nanocomposites were investigated: a nanofilled and a nanohybrid composite. The samples were polished by two dental polishing protocols using multi-step and one-step system. Both protocols were then followed by diamond paste polishing. The 3-D surface roughness of samples was studied by AFM on square areas of topography on the 80 × 80 μm 2 scanning area. The multifractal spectrum theory based on computational algorithms was applied for AFM data and multifractal spectra were calculated. The generalized dimension D q and the singularity spectrum f(α) provided quantitative values that characterize the local scale properties of dental nanocomposites polished by four different dental polishing protocols at nanometer scale. The results showed that the larger the spectrum width Δα (Δα = α max − α min ) of the multifractal spectra f(α), the more non-uniform was the surface morphology. Also, the 3-D surface topography was described by statistical parameters, according to ISO 25178-2:2012. The 3-D surface of samples had a multifractal nature. Nanofilled composite had lower values of height parameters than nanohybrid composites, due to its composition. Multi-step polishing protocol created a better

  15. Chemical-mechanical polishing of metal and dielectric films for microelectronic applications

    Science.gov (United States)

    Hegde, Sharath

    The demand for smaller, faster devices has led the integrated circuit (IC) industry to continually increase the device density on a chip while simultaneously reducing feature dimensions. Copper interconnects and multilevel metallization (MLM) schemes were introduced to meet some of these challenges. With the employment of MLM in the ultra-large-scale-integrated (ULSI) circuit fabrication technology, repeated planarization of different surface layers with tolerance of a few nanometers is required. Presently, chemical-mechanical planarization (CMP) is the only technique that can meet this requirement. Damascene and shallow trench isolation processes are currently used in conjunction with CMP in the fabrication of multilevel copper interconnects and isolation of devices, respectively, for advanced logic and memory devices. These processes, at some stage, require simultaneous polishing of two different materials using a single slurry that offers high polish rates, high polish selectivity to one material over the other and good post-polish surface finish. Slurries containing one kind of abrasive particles do not meet most of these demands due mainly to the unique physical and chemical properties of each abrasive. However, if a composite particle is formed that takes the advantages of different abrasives while mitigating their disadvantages, the CMP performance of resulting abrasives would be compelling. It is demonstrated that electrostatic interactions between ceria and silica particles at pH 4 can be used to produce composite particles with enhanced functionality. Zeta potential measurement and TEM images used for particle characterization show the presence of such composite particles with smaller shell particles attached onto larger core particles. Slurries containing ceria (core)/silica (shell) and silica (core)/ceria (shell) composite particles when used to polish metal and dielectric films, respectively, yield both enhanced metal and dielectric film removal rates

  16. Study on chemical mechanical polishing of silicon wafer with megasonic vibration assisted.

    Science.gov (United States)

    Zhai, Ke; He, Qing; Li, Liang; Ren, Yi

    2017-09-01

    Chemical mechanical polishing (CMP) is the primary method to realize the global planarization of silicon wafer. In order to improve this process, a novel method which combined megasonic vibration to assist chemical mechanical polishing (MA-CMP) is developed in this paper. A matching layer structure of polishing head was calculated and designed. Silicon wafers are polished by megasonic assisted chemical mechanical polishing and traditional chemical mechanical polishing respectively, both coarse polishing and precision polishing experiments were carried out. With the use of megasonic vibration, the surface roughness values Ra reduced from 22.260nm to 17.835nm in coarse polishing, and the material removal rate increased by approximately 15-25% for megasonic assisted chemical mechanical polishing relative to traditional chemical mechanical polishing. Average Surface roughness values Ra reduced from 0.509nm to 0.387nm in precision polishing. The results show that megasonic assisted chemical mechanical polishing is a feasible method to improve polishing efficiency and surface quality. The material removal and finishing mechanisms of megasonic vibration assisted polishing are investigated too. Copyright © 2017 Elsevier B.V. All rights reserved.

  17. Sword of Christ. Christian inspirations of Polish socialism before the January Uprising

    Directory of Open Access Journals (Sweden)

    Piotr Kuligowski

    2012-06-01

    Full Text Available The article presents the history of the Christian fraction of Polish socialism against the background of the era, from the very beginning until its end after the January Uprising. On the basis of the texts from the era the understanding of socialism, the principles of the program of Clusters of the Polish People and the anatomy of Fr. Piotr Ściegienny’s conspiracy have been reconstructed. The text reproduces the utopian ideas of social reconstruction by Zenon Świętosławski and Ludwik Królikowski and based on these the text also shows the place of Christian socialism in the Polish socialist thought.

  18. STUDY OF POLISHING AISI 316L WITH STRUCTURED ABRASIVE

    Directory of Open Access Journals (Sweden)

    François GOOSSENS

    2015-05-01

    Full Text Available Finishing process like polishing is usually used to obtain high quality mechanical surface characteristics such as texture and roughness. These operations are mainly handmade and need highly trained operators thus limiting their repeatability and profitability. To optimize the industrialization of the polishing process, it is therefore necessary to modelize the process to built efficient parameter database. The aim of this study is to characterise the polishing of 316L stainless steel with structured abrasive belts. The geometric data of the belts are given, and we then propose a model to determine material removal. An experimental test bench is set up to test this model and characterise the polishing process in terms of forces. It produces samples for different polishing conditions. The different polished surfaces are then analyzed thanks to the roughness and the wettability. Using experimental designs, we are able to validate the proposed model and identify the parameters that influence a polishing operation.

  19. [Lysenkoism in Polish botany].

    Science.gov (United States)

    Köhler, Piotr

    2008-01-01

    Lysenkoism by PAN came with the Sixth General Assembly of its members on June 11-12, 1956. The second tier of propagating Lysenkoism consisted in activities aimed at the general public, including the teaching of creative Darwinism (obligatory for pupils of various levels of education), in the school years 1949/50-1956/57. There were few botanists who published studies in Lysenkoism: only 55 persons did so. Among them, there were only a few botanists who could boast of significant previous scientific achievements--they included Stefan Białobok (1909-1992), Władysław Kunicki-Goldfinger (1916-1995), Edmund Malinowski (1885-1979), Konstanty Moldenhawer (1889-1962), Józef Motyka (1900-1984), Szczepan Pieniazek. A majority of the authors of publication in Lysenkoism were young scientists or people who did publish anything later on. Basing on the available bibliographies, it is possible to ascertain that there were ca. 140 Lysenkoist botanical publications (out of the total of 3410), i.e. 4.1% (fig. 1) of all the botanist publications in Poland in that period. Their number in the years 1949-1953 was higher than in the next period, and oscillated between 15 and 24 publications annually (fig. 2). The percentage of Lysenkoist studies among all publications in botany published each year was highest in 1949 (11.5%), and decreased systematically in the following years (fig. 3). Lysenkoism was a marginal phenomenon in Polish botany. Among the Lysenkoist publications, most summarized papers delivered at successive conferences, or consisted in reprints of Soviet studies. A significant group was made up of publications popularizing the principles and achievements of Lysenkoism (on the basis of Soviet publications). There were relatively studies presenting the results of research conducted in Poland on the basis of Lysenko's theory. Botanists who remember those times recollect that topics connected with Michurinian-Lysenkoist biology were avoided. It is symptomatic that not a single

  20. Social Interest in The Polish Doctrine of Monument Preservation

    Science.gov (United States)

    Antoszczyszyn, Marek

    2017-10-01

    The paper discusses a controversy surrounding the latest amendment to the text of the Polish Parliament Act Conservation and the Care of Monuments (2015). It is a common dictum that any edifice cannot exist without good foundations. In this particular case of the mentioned above Act, definition of monument appears to be such a basis. A social interest is one of the constituent elements of the definition mentioned above. In the first part of the paper the notion of the social interest expression has been discussed, particularly from national and international points of view. The second part of the paper comprises some examples from the Polish monument preservation experience with the use of comparison method supported by case study. Only three big Polish cities: Warsaw, Wroclaw and Szczecin are involved in the presented case study but it must be emphasized that many other places on Polish territory experienced the same. Basing on the faith of these cities, the results and discussion chapter proves fundamental discrepancy in social interest notion between national and international scopes using some analytical methods. Finally, in the discussion chapter some proposals for the future amendment of monument definition in the Act of Conservation and the Care of Monuments have been provided.

  1. Scope of Nursing Care in Polish Intensive Care Units

    Directory of Open Access Journals (Sweden)

    Mariusz Wysokiński

    2013-01-01

    Full Text Available Introduction. The TISS-28 scale, which may be used for nursing staff scheduling in ICU, does not reflect the complete scope of nursing resulting from varied cultural and organizational conditions of individual systems of health care. Aim. The objective of the study was an attempt to provide an answer to the question what scope of nursing care provided by Polish nurses in ICU does the TISS-28 scale reflect? Material and Methods. The methods of working time measurement were used in the study. For the needs of the study, 252 hours of continuous observation (day-long observation and 3.697 time-schedule measurements were carried out. Results. The total nursing time was 4125.79 min. (68.76 hours, that is, 60.15% of the total working time of Polish nurses during the period analyzed. Based on the median test, the difference was observed on the level of χ2=16945.8, P<0.001 between the nurses’ workload resulting from performance of activities qualified into the TISS-28 scale and load resulting from performance of interventions within the scopes of care not considered in this scale in Polish ICUs. Conclusions. The original version of the TISS-28 scale does not fully reflect the workload among Polish nurses employed in ICUs.

  2. Research status in ultra-precision machining of silicon carbide parts by oxidation-assisted polishing

    Directory of Open Access Journals (Sweden)

    Xinmin SHEN

    2016-10-01

    Full Text Available Oxidation-assisted polishing is an important machining method for obtaining SiC parts with high precision. Through plasma oxidation, thermal oxidation, and anodic oxidation, soft oxide can be obtained on the RS-SiC substrate. With the assistance of abrasive polishing to remove the oxide rapidly, the material removal rate can be increased and the surface quality can be improved. The research results indicate that the surface roughness root-mean-square (RMS and roughness-average (Ra can reach 0.626 nm and 0.480 nm by plasma oxidation-assisted polishing; in thermal oxidation-assisted polishing, the RMS and Ra can be 0.920 nm and 0.726 nm; in anodic oxidation, the calculated oxidation rate is 5.3 nm/s based on Deal-Grove model, and the RMS and Ra are 4.428 nm and 3.453 nm respectively in anodic oxidation-assisted polishing. The oxidation-assisted polishing can be propitious to improve the process level in machining RS-SiC, which would promote the application of SiC parts in optics and ceramics fields.

  3. Effect of prophylactic polishing protocols on the surface roughness of esthetic restorative materials.

    Science.gov (United States)

    Neme, A L; Frazier, K B; Roeder, L B; Debner, T L

    2002-01-01

    Many polishing protocols have been evaluated in vitro for their effect on the surface roughness of restorative materials. These results have been useful in establishing protocols for in vivo application. However, limited research has focused on the subsequent care and maintenance of esthetic restorations following their placement. This investigation evaluated the effect of five polishing protocols that could be implemented at recall on the surface roughness of five direct esthetic restorative materials. Specimens (n=25) measuring 8 mm diameter x 3 mm thick were fabricated in an acrylic mold using five light-cured resin-based materials (hybrid composite, microfilled composite, packable composite, compomer and resin-modified glass ionomer). After photopolymerization, all specimens were polished with Sof-Lex Disks to produce an initial (baseline) surface finish. All specimens were then polished with one of five prophylactic protocols (Butler medium paste, Butler coarse paste, OneGloss, SuperBuff or OneGloss & SuperBuff). The average surface roughness of each treated specimen was determined from three measurements with a profilometer (Surface 1). Next, all specimens were brushed 60,000 times at 1.5 Hz using a brush-head force of 2 N on a Manly V-8 cross-brushing machine in a 50:50 (w/w) slurry of toothpaste and water. The surface roughness of each specimen was measured after brushing (Surface 2) followed by re-polishing with one of five protocols, then final surface roughness values were determined (Surface 3). The data were analyzed using repeated measures ANOVA. Significant differences (p=0.05) in surface roughness were observed among restorative materials and polishing protocols. The microfilled and hybrid resin composite yielded significantly rougher surfaces than the other three materials following tooth brushing. Prophylactic polishing protocols can be used to restore a smooth surface on resin-based esthetic restorative materials following simulated tooth

  4. Impact of initial surface parameters on the final quality of laser micro-polished surfaces

    Science.gov (United States)

    Chow, Michael; Bordatchev, Evgueni V.; Knopf, George K.

    2012-03-01

    Laser micro-polishing (LμP) is a new laser-based microfabrication technology for improving surface quality during a finishing operation and for producing parts and surfaces with near-optical surface quality. The LμP process uses low power laser energy to melt a thin layer of material on the previously machined surface. The polishing effect is achieved as the molten material in the laser-material interaction zone flows from the elevated regions to the local minimum due to surface tension. This flow of molten material then forms a thin ultra-smooth layer on the top surface. The LμP is a complex thermo-dynamic process where the melting, flow and redistribution of molten material is significantly influenced by a variety of process parameters related to the laser, the travel motions and the material. The goal of this study is to analyze the impact of initial surface parameters on the final surface quality. Ball-end micromilling was used for preparing initial surface of samples from H13 tool steel that were polished using a Q-switched Nd:YAG laser. The height and width of micromilled scallops (waviness) were identified as dominant parameter affecting the quality of the LμPed surface. By adjusting process parameters, the Ra value of a surface, having a waviness period of 33 μm and a peak-to-valley value of 5.9 μm, was reduced from 499 nm to 301 nm, improving the final surface quality by 39.7%.

  5. Recurrent APC gene mutations in Polish FAP families

    Directory of Open Access Journals (Sweden)

    Pławski Andrzej

    2007-12-01

    Full Text Available Abstract The molecular diagnostics of genetically conditioned disorders is based on the identification of the mutations in the predisposing genes. Hereditary cancer disorders of the gastrointestinal tracts are caused by mutations of the tumour suppressor genes or the DNA repair genes. Occurrence of recurrent mutation allows improvement of molecular diagnostics. The mutation spectrum in the genes causing hereditary forms of colorectal cancers in the Polish population was previously described. In the present work an estimation of the frequency of the recurrent mutations of the APC gene was performed. Eight types of mutations occurred in 19.4% of our FAP families and these constitute 43% of all Polish diagnosed families.

  6. Mathematical modelling of a self-polishing antifouling paint exposed to seawater: A parameter study

    DEFF Research Database (Denmark)

    Kiil, Søren; Pedersen, M. S.; Dam-Johansen, Kim

    2002-01-01

    , and to suggest ways of controlling biocide release rates. A case study with an antifouling paint based on the well-known tributyltin self-polishing copolymer system showed that the rate of paint polishing was influenced, to various degrees, by the following parameters: seawater pH and concentration of Na...

  7. The Polish Mother on the defensive? The transformation of the myth and its impact on the motherhood of Polish women

    Directory of Open Access Journals (Sweden)

    Agnieszka Imbierowicz

    2012-06-01

    Full Text Available The subject of this presentation is the attempt to define and to present the origins, socio-cultural content and the evolution of The Polish Mother myth, present in the polish national consciousness. The author tries to show how this myth was born, what functions it fulfilled and what forms it took in the changing historical and social reality, from the moment of loss of independence, through a period of real socialism, until the present day. The impact of this myth in the lives of real women and their motherhood is taken into consideration. Then, the author comparing the results of the latest polish sociological researches on the family and its transformation, and transformation of value systems together with theories about the specifics of life in the period of postmodernity, wonders whether it’s time to deconstruct the myth of The Polish Mother, because it does not fit the conditions of today’s world, which is characterized, above all, by the apotheosis of individuality, self-realization and freedom, or perhaps in polish society there is still strong traditionalism in thinking about motherhood, and the myth of The Polish Mother is still alive?

  8. Effect of polishing instruments and polishing regimens on surface topography and phase transformation of monolithic zirconia: An evaluation with XPS and XRD analysis.

    Science.gov (United States)

    Al-Haj Husain, Nadin; Camilleri, Josette; Özcan, Mutlu

    2016-12-01

    Polishing procedures might alter monolithic zirconia (MZ) surface resulting in phase changes that can be deleterious for clinical performance and antagonist tooth wear. This study investigated the topographical features and phase transformation in MZ after polishing with different regimens simulating the clinical workflow. ​ MZ specimens (Katana Zirconia HT, Kuraray-Noritake) (12×12×1.8 mm(3)) were grinded and polished using one of the five systems assessed: BG: Silicone carbide polishers (Brownie, Greenie, Super Greenie); CG: Diamond impregnated ceramic polisher kit (Ceragloss); EV: Synthetically bonded grinder interspersed with diamond (EVE Kit); SL: Urethane coated paper with aluminium oxide grits (Soflex Finishing and Polishing System Kit) and DB: Diamond bur (8 µm). Polished specimens were initially roughened with 220 µm diamond burs (Grinding Bur-GB) (10 s, 160.000160,000 rpm) and considered for baseline measurements. Polishing regimens were performed for 10 s using a slow-speed hand piece under water-cooling except for SL, in a custom made device (750 g; 5000 and 75,000 rpm). Surface roughnesses, phase changes (XRD) were assessed, surface characterization was performed (SEM, EDS). The highest roughness was obtained with the EV system (1.11 µm) compared to those of other systems (0.13-0.4 µm) (pθ and minor peak at 34.94°2θ. While GB, CG, EV, SL and DB exhibited a peak shift to the left, BG demonstrated a right peak shift on the 2θ scale. Monoclinic phase change was not noted in any of the groups. All polishing methods, except BG, exhibited a peak shift towards the lower angles of the 2-theta scale. Since the peak shifts were in the order of fractions of an angle they are attributed to stress formation rather than a phase change in the material. Thus, all polishing systems tested may not be detrimental for the phase transformation of MZ. EV system resulted in the highest roughness and none of the polishing regimens restored the polishability to the

  9. Materials of 45. Scientific Assembly of Polish Chemical Society. Volumes 1-3

    International Nuclear Information System (INIS)

    2002-01-01

    Scientific assemblies of Polish Chemical Society are the most important chemical meeting organised annually in Poland. Basic as well as application studies in all chemical branches have been extensively presented. The next subjects was proposed as scientific sessions and symposia topics: organic chemistry, inorganic chemistry, physical chemistry, analytical chemistry, technology and chemical engineering, polymer chemistry, solid state chemistry, catalysis, biological chemistry, chemistry and technology of coal, environmental protection, didactics of chemistry, history of chemistry, young scientist forum, flow analysis, and high-energy materials

  10. New technologies of enhanced oil recovery

    Directory of Open Access Journals (Sweden)

    Paweł Wojnarowski

    2006-10-01

    Full Text Available It is known from the literature that up to 27 % of oil in oilfields can be produced using primary and hydration methods. The efficiency of production can be increased by employing more advanced methods, i.e. EOR. The Polish Oil and Gas Company iwork with Polish oilfields, where currently primary methods are applied, but the Polish experiences with EOR date back to the years 1932-1987. In view of high oil prices, reconsidering EOR as a production method is economically justifiable. Therefore, it is purposeful to implement new pilot technologies, aimed at implementing new technologies, understanding accompanying phenomena, and calibrating of simulation models, including economical models for an optimal control of the oilfield exploitation. World’s new exploitation methods worked out in the last few years and suggestions for their implementation in Polish conditions are presented in the paper

  11. Seawater-Soluble Pigments and Their Potential Use in Self-Polishing Antifouling Paints: Simulation-based Screening Tool

    DEFF Research Database (Denmark)

    Kiil, Søren; Dam-Johansen, Kim; Erik Weinell, Claus

    2002-01-01

    This work concerns the on-going development of efficient and environmentally friendly antifouling paints for biofouling control on large ocean-going ships. It is illustrated how a detailed mathematical model for a self-polishing antifouling paint exposed to seawater can be used as a product...... solubility and seawater diffusivity of dissolved pigment species have a significant influence on the polishing and leaching behaviour of a typical self-polishing paint system. The pigment size distribution, on the other hand, only has a minor influence on the paint-seawater interaction. Simulations also...... indicate that only compounds which are effective against biofouling at very low seawater concentrations are useful as active antifouling paint ingredients. The need for model verification and exploration of practical issues, subsequent a given pigment has been found of interest, is discussed. The model...

  12. Chemical Mechanical Polishing Optimization for 4H-SiC

    National Research Council Canada - National Science Library

    Neslen, Craig

    2000-01-01

    .... Preliminary chemical mechanical polishing (CMP) studies of 1 3/8" 4H-SiC wafers were performed in an attempt to identify the polishing parameter values that result in a maximum material removal rate and thus reduce substrate polishing time...

  13. The corpus-driven revolution in Polish Sign Language: the interview with Dr. Paweł Rutkowski

    Directory of Open Access Journals (Sweden)

    Iztok Kosem

    2018-02-01

    Full Text Available Dr. Paweł Rutkowski is head of the Section for Sign Linguistics at the University of Warsaw. He is a general linguist and a specialist in the field of syntax of natural languages, carrying out research on Polish Sign Language (polski język migowy — PJM. He has been awarded a number of prizes, grants and scholarships by such institutions as the Foundation for Polish Science, Polish Ministry of Science and Higher Education, National Science Centre, Poland, Polish–U.S. Fulbright Commission, Kosciuszko Foundation and DAAD. Dr. Rutkowski leads the team developing the Corpus of Polish Sign Language and the Corpus-based Dictionary of Polish Sign Language, the first dictionary of this language prepared in compliance with modern lexicographical standards. The dictionary is an open-access publication, available freely at the following address: http://www.slownikpjm.uw.edu.pl/en/. This interview took place at eLex 2017, a biennial conference on electronic lexicography, where Dr. Rutkowski was awarded the Adam Kilgarriff Prize and gave a keynote address entitled Sign language as a challenge to electronic lexicography: The Corpus-based Dictionary of Polish Sign Language and beyond. The interview was conducted by Dr. Victoria Nyst from Leiden University, Faculty of Humanities, and Dr. Iztok Kosem from the University of Ljubljana, Faculty of Arts.

  14. Polish Qualitative Sociology: The General Features and Development

    OpenAIRE

    Konecki, Krzysztof Tomasz

    2005-01-01

    The article explores the development of Polish qualitative sociology in Poland by presenting its main intellectual routes and some of the general features of Polish sociology. Romanticism and inductionmethod are crucial elements for the development of this discipline in Poland and contribute to its. unigueness. The role of Florian Znaniecki in creating the Polish qualitative sociology is also underlined. Krzysztof Konecki

  15. Efficiency of a natural wetland for effluent polishing of a septic tank

    Directory of Open Access Journals (Sweden)

    Z. Yousefi

    2014-04-01

    Full Text Available Wetlands now days apply as a polishing system for the classical wastewater treatment, in addition of different usages. Usually wetland systems are inexpensive methods vs. expensive high technology treatment systems. Objective of this study is an evaluation of natural wetland treatment in polishing of a septic effluent. Research duration works extended for 10 months on a natural wetland system in Pardis of Mazandaran University of medical sciences and eastern north of health faculty. Wastewater quality index such as pH, EC, BOD, COD, TSS, Nitrate, Phosphorus, Ammonia and Temperature performed on the samples of influent and effluent of the system. The study showed the system works as a buffering system for flow and pH. Results indicated that average of BOD5 and TSS efficiency were 67.70and 83%, respectively. Efficiency of COD was 65.26 and 80 % for a Low and moderate strength influent respectively. Average of phosphorus, NH3 and Nitrate in effluent were 0.032 mg/L, 7.18 and 0.036 mg/L, respectively. Efficiency of ammonia and Phosphorus were slightly increased in best condition. Based on this study result, natural wetland can be success in BOD, COD, and TSS removal of the classical septic tank, but for nitrogen and Phosphorus removal do not have considerable effects.

  16. Content of Bachelors' in Tourism Informative Training in Ukrainian and Polish Experience: Comparative Study

    Science.gov (United States)

    Zubekhina, Tetiana

    2015-01-01

    This article provides a comparative analysis of the content of Bachelors' in Tourism informative training in Ukrainian and Polish experience. The content of Bachelors' in Tourism informative training in Ukraine and Poland has been analyzed. The content of subjects, namely, "Information Technologies in Tourism" and "The Foundations…

  17. Effects of polishing procedures on color stability of composite resins

    Directory of Open Access Journals (Sweden)

    Ahmet Umut Güler

    2009-04-01

    Full Text Available The purpose of this study was to investigate the effect of different polishing methods on color stability of posterior, universal and nanohybrid composite resin restorative materials upon exposure to a staining agent. Twenty-five specimens were prepared for each of 5 different composite resins (Filtek Z250, Filtek P60, Quadrant LC, Grandio and Filtek Supreme. Specimens were divided into 5 groups and different polishing procedures, including polishing discs (Pd, polishing discs then diamond polishing paste (PdP, polishing discs then a liquid polishing system (Biscover (PdB, and combinations of these (PdPB were used. Unpolished specimens served as the control (C. The specimens were stored for 48 h in a coffee solution. The color of all specimens was measured before and after exposure with a colorimeter, and total color change (DE* were calculated. The data were analyzed with a two-way ANOVA and the means were compared by Tukey HSD test (a=0.05. The lowest color difference was observed in the groups PdP and C, while the highest color difference was observed in PdPB, and PdB. When comparing the five different restorative materials, no significant difference was observed between FiltekP60 and FiltekZ250, and these materials demonstrated significantly less color change than Quadrant LC and the nanohybrid materials (Grandio, Filtek Supreme. The posterior (Filtek P60 and universal (Filtek Z250 composite resin restorative materials, which do not contain tetraethyleneglycol dimethacrylate (TEGDMA, were found to be less stainable than the nanohybrid (Grandio, Filtek Supreme and universal (Quadrant LC composite resins, which contain TEGDMA. The use of diamond polishing paste after polishing with polishing discs significantly decreased staining when compared to the groups that used polishing discs alone, for all restorative materials tested. The highest color change values were obtained for the specimens that were polished with the Biscover liquid polish

  18. Polish Semantic Parser

    Directory of Open Access Journals (Sweden)

    Agnieszka Grudzinska

    2000-01-01

    Full Text Available Amount of information transferred by computers grows very rapidly thus outgrowing the average man's capability of reception. It implies computer programs increase in the demand for which would be able to perform an introductory classitication or even selection of information directed to a particular receiver. Due to the complexity of the problem, we restricted it to understanding short newspaper notes. Among many conceptions formulated so far, the conceptual dependency worked out by Roger Schank has been chosen. It is a formal language of description of the semantics of pronouncement integrated with a text understanding algorithm. Substantial part of each text transformation system is a semantic parser of the Polish language. It is a module, which as the first and the only one has an access to the text in the Polish language. lt plays the role of an element, which finds relations between words of the Polish language and the formal registration. It translates sentences written in the language used by people into the language theory. The presented structure of knowledge units and the shape of understanding process algorithms are universal by virtue of the theory. On the other hand the defined knowledge units and the rules used in the algorithms ure only examples because they are constructed in order to understand short newspaper notes.

  19. Microbiological flora and nail polish: a brief report.

    Science.gov (United States)

    Rayan, G M; Flournoy, D J; Schlageter, P

    1994-11-01

    Removing nail polish and prosthetic nails from operating room (OR) personnel prior to scrubbing and from patients prior to hand surgery is recommended but not practiced in many hospitals. There is concern that nail polish can act as a vehicle for the transfer of infectious agents. This study was designed to determine the incidence of microbiological flora of nail polish in a clinical setting.

  20. Reel-to-reel substrate tape polishing system

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, Venkat; Gardner, Michael T.; Judd, Raymond D.; Weloth, Martin; Qiao, Yunfei

    2005-06-21

    Disclosed is a reel-to-reel single-pass mechanical polishing system (100) suitable for polishing long lengths of metal substrate tape (124) used in the manufacture of high-temperature superconductor (HTS) coated tape, including multiple instantiations of a polishing station (114) in combination with a subsequent rinsing station (116) arranged along the axis of the metal substrate tape (124) that is translating between a payout spool (110a) and a take-up spool (110b). The metal substrate tape obtains a surface smoothness that is suitable for the subsequent deposition of a buffer layer.

  1. Polish Qualitative Sociology: The General Features and Development

    OpenAIRE

    Konecki, Krzysztof Tomasz; Kacperczyk, Anna; Marciniak, Łukasz

    2005-01-01

    Forum Qualitative Sozialforschung / Forum: Qualitative Social Research,2005, 6(3) The article explores the development of Polish qualitative sociology in Poland by presenting its main intellectual routes and some of the general features of Polish sociology. Romanticism and inductionmethod are crucial elements for the development of this discipline in Poland and contribute to its. unigueness. The role of Florian Znaniecki in creating the Polish qualitative sociology is also underlined.

  2. Abstracts Book of 41. Scientific Assembly of Polish Chemical Society and Association of Engineers and Technicians of Chemical Industry

    International Nuclear Information System (INIS)

    1998-01-01

    Scientific Assembly of Polish Chemical Society and Association of Engineers and Technicians of Chemical Industry is the most important scientific forum of Polish Chemists. The state of the art in many basic, fundamental and applied investigations has been presented and discussed. The following scientific sessions and microsymposia have been proposed: theoretical chemistry; molecular interactions; metal compounds - chemical, physical, electronic and biological aspects; catalysis and surface physico-chemistry; polymers - radiochemistry, modifications, physics and analytical methods; organic and bioorganic chemistry; physico-chemistry of condensed matter; chemical metallurgy; environmental protection; inorganic technology; chemistry and technology of coal; radiation chemistry; analytical chemistry; chemical engineering; young scientists forum; chemical didactics; petrochemistry; energetic materials; membranes and membrane processes; medical chemistry

  3. 21 CFR 872.6030 - Oral cavity abrasive polishing agent.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Oral cavity abrasive polishing agent. 872.6030... (CONTINUED) MEDICAL DEVICES DENTAL DEVICES Miscellaneous Devices § 872.6030 Oral cavity abrasive polishing agent. (a) Identification. An oral cavity abrasive polishing agent is a device in paste or powder form...

  4. The Polish family under Stalinist subjugation [Rodzina w sytuacji stalinowskiego zniewolenia

    Directory of Open Access Journals (Sweden)

    Elwira JOLANTA KRYŃSKA

    2016-06-01

    Full Text Available The situation of Polish families during Stalinist subjugation was particularly arduous. The family was treated as an important part of the political system’s socialization which was not rooted at all in the sphere of traditional values and norms developed in the process of historical progress of the Polish statehood. Due to the foundations of Latin civilization based on personalization that were cherished by Polish families, cynical endeavors to take away freedom, legal capacity and personal property – the objectives which Stalinism aimed at both indirectly and directly – failed, which destroyed the communists’ plans. The family did not cease to be the most important centre of human life and development. Theoreticians of a „new deal” did not manage to limit the family to the role of a „basic social unit” of „socialist morality”.

  5. Moral Education Technologies in Pedagogical Theory and Practice of Poland and Ukraine

    Science.gov (United States)

    Yankovych, Iryna

    2014-01-01

    The comparative analysis of theoretical bases and the practice of moral education technologies implementation in Polish and Ukrainian pedagogy has been made. There has been stated that moral education technology in Ukrainian pedagogical science can be interpreted as a moral education system, the constituent parts of which are the following: aims,…

  6. The Export Performance of MNE Affiliates in Polish manufacturing: 1993-2002

    DEFF Research Database (Denmark)

    Nielsen, Jørgen Ulff-Møller; Pawlik, Konrad

    2006-01-01

    of the foreign affiliates. The results from panel estimations show that the export intensity of the affiliates has increased every year since 1994. The lowest level of export performance was recorded in science-based industries. Import intensity, labour intensity, wage level, scale economies and foreign control......Using a unique database containing trade (export and import) and industry variables (wages, employment, foreign capital share, investments, sales, etc.) of foreign affiliates in the Polish manufacturing industry for the years 1993-2002, this paper analyses the determinants of the export performance...... are significant and positively associated to export performance, while investment activity is negatively related to the export performance of the affiliates. On the assumption that some industry variables are proxies for technology transfer, the results show that the increasing export orientation of foreign...

  7. ECONOMIC CRISES – GLOBAL AND LOCAL – IN REFLECTION OF POLISH PRESS

    Directory of Open Access Journals (Sweden)

    Krzysztof Gibek

    2010-01-01

    Full Text Available One of the sociological features of the current economic crises had been its beginning as a media fact rather than economic reality for ordinary people in Poland. Until the very end of 2008 the offi cial strategy of Polish government was denying of present of any economic crises in Poland: “The Polish economy as well as Polish banking system are used to be healthy and in good conditions and we will be suffer a little slow done because we are not an island”. Unfortunately it occurs not to be true.Based on discourse analysis of two major Polish daily and two weekly we would like to show the evolution of narrative describing crises in global terms and particularly at the domestic market. What was the picture of global crises at the beginning of this discussion, how it supposed to affect Poland, who serve as experts and opinion givers and finally how through the language we can observe the change from ‘slow down’ to ‘crises’? This are basic questions we would like to answer in our text.

  8. Cannabinoids cases in polish athletes

    OpenAIRE

    A Pokrywka; Z Obmiński; D Kwiatkowska; R Grucza

    2009-01-01

    The aim of this study was to investigate the number of cases and the profiles of Polish athletes who had occasionally been using marijuana or hashish throughout the period of 1998-2004, with respect to: sex, age, and discipline of sport as well as the period of testing (in- and out-of-competition). Results of the study were compared with some data reported by other WADA accredited anti-doping laboratories. Totally, 13 631 urine samples taken from Polish athletes of both sexes, aged 10-67 year...

  9. Infestation of Polish Agricultural Soils by Plasmodiophora Brassicae Along The Polish-Ukrainian Border

    Directory of Open Access Journals (Sweden)

    Jędryczka Małgorzata

    2014-07-01

    Full Text Available There has been a rapid, worldwide increase in oilseed rape production that has resulted in enormous intensification of oilseed rape cultivation, leading to tight rotations. This in turn, has caused an accumulation of pests as well as foliar and soil-borne diseases. Recently, clubroot has become one of the biggest concerns of oilseed rape growers. Clubroot is caused by the soil-borne protist Plasmodiophora brassicae Woronin. The pathogen may be present in groundwater, lakes, and irrigation water used in sprinkling systems. It can be easily transmitted from one field to another not only by water, but also by soil particles and dust transmitted by wind and on machinery. The aim of our overall study was to check for P. brassicae infestation of Polish agricultural soils. This paper presents the 2012 results of a study performed along the Polish-Ukrainian border in two provinces: Lublin (Lubelskie Voivodeship and the Carpathian Foothills (Podkarpackie Voivodeship, in south-east Poland. Monitoring was done in 11 counties, including nine rural and two municipal ones. In total, 40 samples were collected, out of which 36 were collected from fields located in rural areas and four from municipal areas, with two per municipal region. Each sample was collected at 8-10 sites per field, using a soil auger. The biotest to detect the presence of P. brassicae was done under greenhouse conditions using seedlings of the susceptible Brassicas: B. rapa ssp. pekinensis and the Polish variety of oilseed rape B. napus cv. Monolit. Susceptible plants grown in heavily infested soils produced galls on their roots. A county was regarded as free from the pathogen, if none of the bait plants became infected. The pathogen was found in three out of 40 fields monitored (7.5% in the Carpathian Foothill region. The fields were located in two rural counties. The pathogen was not found in Lublin province, and was also not detected in any of the municipal counties. The detection with

  10. Financial repression, money growth, and seignorage: The Polish experience

    NARCIS (Netherlands)

    Aarle, B. van; Budina, N.

    1997-01-01

    Financial Repression, Money Growth and Seignorage: The Polish Experience. — A small analytical framework is developed to analyze the relation between reserve requirements, base money growth and seignorage revenues. From the analysis, the authors can derive of steady-state seignorage revenues as a

  11. Symmetry or asymmetry? Cross-border openness of service providers in Polish-Czech and Polish-German border towns

    Directory of Open Access Journals (Sweden)

    Dołzbłasz Sylwia

    2015-03-01

    Full Text Available The symmetry and/or asymmetry in terms of cross-border openness of service providers is examined in this article, for the cases of two border twin towns: Cieszyn/Český Těšín at the Polish-Czech border, and Gubin/Guben at the Polish-German border. To assess the level of openness of firms towards clients from the other side of the border, four trans-border categories were examined: neighbour’s language visible at store location; business offers in the language of the neighbour; the possibilities of payment in the neighbour’s currency; and the staff’s knowledge of the language. This enabled a comparison of both parts of the particular twin towns in relation to the character of cross-border openness, as well as an assessment of their symmetry/asymmetry. Comparisons of Gubin/Guben and Cieszyn/Český Těšín with respect to the analysed features were also carried out. The analysis shows significant variation in the level of cross-border openness towards clients from neighbouring countries. Whereas in the Polish-Czech town a relative symmetry was observed, in the Polish-German case, significant asymmetry was noted.

  12. Transformation of the Polish Banking Sector

    Directory of Open Access Journals (Sweden)

    Marek Stefański

    2009-07-01

    Full Text Available In the post-war period the banking system in Poland underwent two important system transitions: after 1946 and after 1989. The third transformation began after May 1, 2004, but it did not have a systemic character. The Polish banking sector started to operate on the Single European Market. The first part of the paper is devoted to the problems of the banks transformations after 1989 with a special focus on the quantitative development of banks in 19892008, and on subsequent privatisation and consolidation processes. The former intensified in 19891999, and the latter in 19992002. The consolidation process was very noticeable in the sector of cooperative banks after 1994. The second part of the paper includes an economic and financial analysis of the banks. A lot of attention was paid to the liquidity of the banking sector. It was assessed as good, which was confirmed by a short-term rating of Moodys and by the Financial Stability Report 2009, published by the National Bank of Poland in June 2009. The comparison of the net profit of the banking sector in 19972008 shows its dependence on the economic situation and policy. The number of banks with capital adequacy ratio well above the minimum required by the banking supervision is rising. The financial power ratings are not favorable for the domestic banks. The third part of the paper focuses on the development directions of the Polish banking sector. It may be concluded on the basis of the analysis that privatisation and consolidation processes will be continued. They will concentrate on the capital of foreign banks already operating in Poland. As compared with individual foreign banks, the potential of the Polish banking sector is week. The fourth part of the paper focuses on the presentation Polish banking sector in the context of European Union banking sector. The paper finishes with conclusions. Generally, Polish banks have to implement a strategy to enable them to compete on the Single

  13. Effect of Polishing Systems on Surface Roughness and Topography of Monolithic Zirconia.

    Science.gov (United States)

    Goo, C L; Yap, Auj; Tan, Kbc; Fawzy, A S

    2016-01-01

    This study evaluated the effect of different chairside polishing systems on the surface roughness and topography of monolithic zirconia. Thirty-five monolithic zirconia specimens (Lava PLUS, 3M ESPE) were fabricated and divided into five groups of seven and polished with the following: Group 1 (WZ)-Dura white stone followed by Shofu zirconia polishing kit; Group 2 (SZ)-Shofu zirconia polishing kit; Group 3 (CE)-Ceramiste porcelain polishers; Group 4 (CM)-Ceramaster porcelain polishers; and Group 5 (KZ)-Komet ZR zirconia polishers. All specimens were ground with a fine-grit diamond bur prior to polishing procedures to simulate clinical finishing. Baseline and post-polishing profilometric readings were recorded and delta Ra values (difference in mean surface roughness before and after polishing) were computed and analyzed using one-way analysis of variance and Scheffe post hoc test (pSEM) images of the ground but unpolished and polished specimens were acquired. Delta Ra values ranged from 0.146 for CE to 0.400 for KZ. Delta Ra values for KZ, WZ, and SZ were significantly greater than for CE. Significant differences in delta Ra values were also observed between KZ and CM. The SEM images obtained were consistent with the profilometric findings. Diamond-impregnated polishing systems were more effective than silica carbide-impregnated ones in reducing the surface roughness of ground monolithic zirconia.

  14. Simulation and analysis of an alternative kinematics for improving the polishing uniformity over the surface of polished tiles

    Directory of Open Access Journals (Sweden)

    Weingaertner, W. L.

    2010-10-01

    Full Text Available The present work investigates the possibility of adopting a new kinematics at the industrial polishing lines of porcelain stoneware tile. An alternative motion of the transverse oscillation of the polishing heads is proposed, in which no radical changes in the industries facilities are required. The basic idea is to replace the purely sinus motion of the polishing heads by a rather trapezoid wavelike motion. In theory this could be achieved simply by adopting regular delays at the transverse oscillation motion. Consequences of this alternative kinematics were quantitatively analyzed considering the spatial homogeneity of polishing expected for tiles. Such homogeneity was represented by the coefficient of variation of the distribution of polishing time over the surface, which was in turn determined by means of computational simulations, taking into account the effect of multiple polishing heads.

    El presente trabajo investiga la posibilidad de adoptar una nueva cinemática en las líneas de pulido industrial de baldosas de gres porcelánico. Se propone una propuesta alternativa de la oscilación transversal de los cabezales pulidores, en el que no hay cambios radicales en las instalaciones de las industrias son necesarias. La idea básica consiste en sustituir el movimiento totalmente del seno de los cabezales pulidores por un movimiento ondulatorio trapezoide. En teoría, esto podría lograrse sólo mediante la adopción de los habituales retrasos en el movimiento de oscilación transversal. las consecuencias de esta cinemática fueron analizados cuantitativamente, teniendo en cuenta la homogeneidad espacial de pulido. Tal homogeneidad fora representada por el coeficiente de variación de la distribución de lo tiempo de pulido en la superficie, determinada por medio de simulaciones computacionales, teniendo también en cuenta el efecto de pulido de las cabezas múltiples.

  15. Evaluation of the surface roughness of three heat-cured acrylic denture base resins with different conventional lathe polishing techniques: A comparative study.

    Science.gov (United States)

    Rao, Duggineni Chalapathi; Kalavathy, N; Mohammad, H S; Hariprasad, A; Kumar, C Ravi

    2015-01-01

    Surface roughness promotes adhesion and colonization of denture plaque. Therefore, it is important to know the effects of polishing and finishing on the surface roughness of various acrylic resin materials. To evaluate and compare the effects of different conventional lathe polishing techniques on heat cured acrylic resins in producing surface roughness. Three different commercially available heat-cured acrylic resin materials namely DPI, Meliodent and Trevalon Hi were selected. 30 Specimens of each acrylic material (30 x 3 = 90, 10 x 60 x 2mm) were prepared and divided into 5 groups, each group consisted of 6 Nos. of specimens per material(6x3=18) and were grouped as Group A(unfinished), Group B (finished), Group C (Polishing Paste), Group D (Polishing Cake) and Group E (Pumice and Gold rouge). The resulted surface roughness (μm) was measured using Perthometer and observed under Scanning Electron Microscope. The values obtained were subjected statistical analyses. Among the materials tested, better results were obtained with Trevalon Hi followed by Meliodent and DPI. Among the polishing methods used, superior results were obtained with universal polishing paste followed by polishing cake; Pumice and Gold rouge. Although Pumice and Gold rouge values produced greater roughness value, they were well within the threshold value of 0.2 mm.

  16. Cannabinoids cases in polish athletes

    Directory of Open Access Journals (Sweden)

    A Pokrywka

    2009-07-01

    Full Text Available The aim of this study was to investigate the number of cases and the profiles of Polish athletes who had occasionally been using marijuana or hashish throughout the period of 1998-2004, with respect to: sex, age, and discipline of sport as well as the period of testing (in- and out-of-competition. Results of the study were compared with some data reported by other WADA accredited anti-doping laboratories. Totally, 13 631 urine samples taken from Polish athletes of both sexes, aged 10-67 years, performing 46 disciplines of sport were tested. Cannabinoids were detected in 267 samples. Among Polish athletes the relative number of positive THC (tetrahydrocannabinol samples was one of the highest in Europe. The group of young Polish athletes (aged 16-24 years was the most THC-positive. THC-positive cases were noted more frequently in male athletes tested during out of competitions. The so-called contact sports (rugby, ice hockey, skating, boxing, badminton, body building and acrobatic sports were those sports, where the higher risk of cannabis use was observed. The legal interpretation of some positive cannabinoids results would be difficult because of some accidental and unintentional use of the narcotics by sportsmen. It was concluded that national anti-doping organizations (NADO’s, which are competent to judge whether the anti-doping rules were violated, should take into account the possibility of non-intentional doping use of cannabinoids via passive smoking of marijuana.

  17. Monitoring of Robot Assisted Polishing through parameters of acoustic emission

    DEFF Research Database (Denmark)

    Lazarev, Ruslan; Top, Søren; Bilberg, Arne

    The polishing process is essential for the surface generation of machine tooling components in advanced manufacturing. While robot assisted polishing is faster and more consistent than manual polishing, it can still consume a significant part of ma- chining time and operator presence time...

  18. Trace element analysis of nail polishes

    International Nuclear Information System (INIS)

    Misra, G.; Mittal, V.K.; Sahota, H.S.

    1999-01-01

    Instrumental neutron activation analysis (INAA) technique was used to measure the concentrations of various trace elements in nail polishes of popular Indian and foreign brands. The aim of the present experiment was to see whether trace elements could distinguish nail polishes of different Indian and foreign brands from forensic point of view. It was found that cesium can act as a marker to differentiate foreign and Indian brands. (author)

  19. Audit Committee Practice in the Polish Listed Stock Companies. Present Situation and Development Perspectives

    Directory of Open Access Journals (Sweden)

    Piotr Szczepankowski

    2012-06-01

    Full Text Available The audit committee is one of the parts of corporate governance mechanism, which is understood as the relationship between corporate managers, directors and the providers of equity, people and institutions who save and invest their capital to earn the return. This study presents survey research results of audit committee activity in Polish public stock companies quoted on the Warsaw Stock Exchange (WSE. The purpose of this paper is to present the audit committee practice in Poland after 2009. The paper shows that the audit committee practice is still the most problematic issue of transitional Polish corporate governance rules. The survey has shown that the corporate needs and its implementation, and communication with listed companies leave a lot of room for improvement. The paper is based on the documents prepared in 2010 by PricewaterhouseCoopers, the Polish Association of Listed Companies and the Polish Institute of Directors.

  20. Profilometric analysis of two composite resins' surface repolished after tooth brush abrasion with three polishing systems.

    Science.gov (United States)

    Uppal, Mudit; Ganesh, Arathi; Balagopal, Suresh; Kaur, Gurleen

    2013-07-01

    To evaluate the effect of three polishing protocols that could be implemented at recall on the surface roughness of two direct esthetic restorative materials. Specimens (n = 40) measuring 8 mm (length) × 5 mm (width) × 4 mm (height) were fabricated in an acrylic mold using two light-cured resin-based materials (microfilled composite and microhybrid composite). After photopolymerization, all specimens were finished and polished with one of three polishing protocols (Enhance, One Gloss, and Sof-Lex polishing systems). The average surface roughness of each treated specimen was determined using 3D optical profilometer. Next all specimens were brushed 60,000 times with nylon bristles at 7200 rpm using crosshead brushing device with equal parts of toothpaste and water used as abrasive medium. The surface roughness of each specimen was measured after brushing followed by repolishing with one of three polishing protocols, and then, the final surface roughness values were determined. The data were analyzed using one-way and two-factor analysis of variance (ANOVA) and Tukey's honestly significant difference (HSD). Significant difference (P < 0.05) in surface roughness was observed. Simulated brushing following initial polishing procedure significantly roughened the surface of restorative material (P < 0.05). Polishing protocols can be used to restore a smooth surface on esthetic restorative materials following simulated tooth brushing.

  1. Factors influencing the surface quality of polished tool steels

    International Nuclear Information System (INIS)

    Rebeggiani, S; Rosén, B-G

    2014-01-01

    Today’s demands on surface quality of moulds for injection moulding of plastic components involve no/low defect contents and roughness levels in the nm-range for high gloss applications. Material properties as well as operating conditions influence the mould finish, and thus the final surface of moulded products. This paper focuses on how particle content and different polishing strategies influence final surface qualities of moulds. Visual estimations of polished tool steel samples were combined with non-contact 3D-surface texture analysis in order to correlate traditional assessments to more quantitative methods, and to be able to analyse the surfaces at nanometre-level. It was found that steels with a lower proportion of particles, like carbides and oxides, gave rise to smoother polished surfaces. In a comparative study of polishers from different polishing shops, it was found that while different surface preparation strategies can lead to similar final roughness, similar preparation techniques can produce high-quality surfaces from different steel grades. However, the non-contact 3D-surface texture analysis showed that not all smooth polished surfaces have desirable functional topographies for injection moulding of glossy plastic components. (paper)

  2. Evaluation of the surface roughness of three heat-cured acrylic denture base resins with different conventional lathe polishing techniques: A comparative study

    Directory of Open Access Journals (Sweden)

    Duggineni Chalapathi Rao

    2015-01-01

    Results: Among the materials tested, better results were obtained with Trevalon Hi followed by Meliodent and DPI. Among the polishing methods used, superior results were obtained with universal polishing paste followed by polishing cake; Pumice and Gold rouge. Although Pumice and Gold rouge values produced greater roughness value, they were well within the threshold value of 0.2 mm.

  3. Polish economic clusters and their efforts to protect the environment – selected examples

    Directory of Open Access Journals (Sweden)

    Dyrda-Muskus Joanna

    2014-01-01

    Full Text Available This paper presents the benefits they can obtain business which aim to protect the environment. The environment protection has found its place and affects the process of systemic change of the Polish economy. This article assumes that building a competitive economy and enterprise development based on the principle of sustainable development requires the development of mechanisms for mutual benefits. These will be the economic mechanisms, technical and technological, and social. All these mechanisms are concentrated in clusters. Pursue sustainable development policies, an emphasis on environmental protection will be the general element for them a competitive advantage. Sustainable development will in this case be both the agent and the goal of economic and entrepreneurship development. Basing on the assumption that economic development is possible through the achievement of competitive advantage, sustainable development should be treated as its source.

  4. Surface qualities after chemical-mechanical polishing on thin films

    International Nuclear Information System (INIS)

    Fu, Wei-En; Lin, Tzeng-Yow; Chen, Meng-Ke; Chen, Chao-Chang A.

    2009-01-01

    Demands for substrate and film surface planarizations significantly increase as the feature sizes of Integrated Circuit (IC) components continue to shrink. Chemical Mechanical Polishing (CMP), incorporating chemical and mechanical interactions to planarize chemically modified surface layers, has been one of the major manufacturing processes to provide global and local surface planarizations in IC fabrications. Not only is the material removal rate a concern, the qualities of the CMP produced surface are critical as well, such as surface finish, defects and surface stresses. This paper is to examine the CMP produced surface roughness on tungsten or W thin films based on the CMP process conditions. The W thin films with thickness below 1000 nm on silicon wafer were chemical-mechanical polished at different down pressures and platen speeds to produce different surface roughness. The surface roughness measurements were performed by an atomic force microscope (DI D3100). Results show that the quality of surface finish (R a value) is determined by the combined effects of down pressures and platen speeds. An optimal polishing condition is, then, possible for selecting the down pressures and platen speeds.

  5. Damage-free polishing of monocrystalline silicon wafers without chemical additives

    International Nuclear Information System (INIS)

    Biddut, A.Q.; Zhang, L.C.; Ali, Y.M.; Liu, Z.

    2008-01-01

    This investigation explores the possibility and identifies the mechanism of damage-free polishing of monocrystalline silicon without chemical additives. Using high resolution electron microscopy and contact mechanics, the study concludes that a damage-free polishing process without chemicals is feasible. All forms of damages, such as amorphous Si, dislocations and plane shifting, can be eliminated by avoiding the initiation of the β-tin phase of silicon during polishing. When using 50 nm abrasives, the nominal pressure to achieve damage-free polishing is 20 kPa

  6. Computer-Controlled Cylindrical Polishing Process for Large X-Ray Mirror Mandrels

    Science.gov (United States)

    Khan, Gufran S.; Gubarev, Mikhail; Speegle, Chet; Ramsey, Brian

    2010-01-01

    We are developing high-energy grazing incidence shell optics for hard-x-ray telescopes. The resolution of a mirror shells depends on the quality of cylindrical mandrel from which they are being replicated. Mid-spatial-frequency axial figure error is a dominant contributor in the error budget of the mandrel. This paper presents our efforts to develop a deterministic cylindrical polishing process in order to keep the mid-spatial-frequency axial figure errors to a minimum. Simulation software is developed to model the residual surface figure errors of a mandrel due to the polishing process parameters and the tools used, as well as to compute the optical performance of the optics. The study carried out using the developed software was focused on establishing a relationship between the polishing process parameters and the mid-spatial-frequency error generation. The process parameters modeled are the speeds of the lap and the mandrel, the tool s influence function, the contour path (dwell) of the tools, their shape and the distribution of the tools on the polishing lap. Using the inputs from the mathematical model, a mandrel having conical approximated Wolter-1 geometry, has been polished on a newly developed computer-controlled cylindrical polishing machine. The preliminary results of a series of polishing experiments demonstrate a qualitative agreement with the developed model. We report our first experimental results and discuss plans for further improvements in the polishing process. The ability to simulate the polishing process is critical to optimize the polishing process, improve the mandrel quality and significantly reduce the cost of mandrel production

  7. Tracking Performance of Upgraded "Polished Panel" Optical Receiver on NASA's 34 Meter Research Antenna

    Science.gov (United States)

    Vilnrotter, Victor

    2013-01-01

    There has been considerable interest in developing and demonstrating a hybrid "polished panel" optical receiver concept that would replace the microwave panels on the Deep Space Network's (DSN) 34 meter antennas with highly polished aluminum panels, thus enabling simultaneous opticaland microwave reception. A test setup has been installed on the 34 meter research antenna at DSS-13 (Deep Space Station 13) at NASA's Goldstone Deep Space Communications Complex in California in order to assess the feasibility of this concept. Here we describe the results of a recent effort todramatically reduce the dimensions of the point-spread function (PSF) generated by a custom polished panel, thus enabling improved optical communications performance. The latest results are compared to the previous configuration in terms of quantifiable PSF improvement. In addition, the performance of acquisition and tracking algorithms designed specifically for the polished panel PSF are evaluated and compared, based on data obtained from real-time tracking of planets and bright stars with the 34 meter research antenna at DSS-13.

  8. Strain-free polished channel-cut crystal monochromators: a new approach and results

    Science.gov (United States)

    Kasman, Elina; Montgomery, Jonathan; Huang, XianRong; Lerch, Jason; Assoufid, Lahsen

    2017-08-01

    The use of channel-cut crystal monochromators has been traditionally limited to applications that can tolerate the rough surface quality from wet etching without polishing. We have previously presented and discussed the motivation for producing channel cut crystals with strain-free polished surfaces [1]. Afterwards, we have undertaken an effort to design and implement an automated machine for polishing channel-cut crystals. The initial effort led to inefficient results. Since then, we conceptualized, designed, and implemented a new version of the channel-cut polishing machine, now called C-CHiRP (Channel-Cut High Resolution Polisher), also known as CCPM V2.0. The new machine design no longer utilizes Figure-8 motion that mimics manual polishing. Instead, the polishing is achieved by a combination of rotary and linear functions of two coordinated motion systems. Here we present the new design of C-CHiRP, its capabilities and features. Multiple channel-cut crystals polished using the C-CHiRP have been deployed into several beamlines at the Advanced Photon Source (APS). We present the measurements of surface finish, flatness, as well as topography results obtained at 1-BM of APS, as compared with results typically achieved when polishing flat-surface monochromator crystals using conventional polishing processes. Limitations of the current machine design, capabilities and considerations for strain-free polishing of highly complex crystals are also discussed, together with an outlook for future developments and improvements.

  9. The prospects for hard coal as a fuel for the Polish power sector

    International Nuclear Information System (INIS)

    Kaminski, Jacek; KudeLko, Mariusz

    2010-01-01

    This paper presents the prospects for the development of the Polish hard coal sector from the perspective of the power sector. The most important issues determining the mid- and long-term future for domestic coal production are: (1) the development of the economy, hence the demand for electricity, (2) regulations (mostly environmental) affecting the power sector, (3) the competitiveness of coal-based technologies, and (4) the costs of domestic coal production. Since the range of issues and relations being considered is very broad, a specific method needs to be employed for the quantitative analysis. The tool applied in this study is the partial equilibrium model POWER-POL, in which both the coal and the power sectors are incorporated. The model focuses on energy-economy-environmental issues without capturing detailed macroeconomic links. The model was run under six scenario assumptions. The results show that the domestic coal sector should maintain its position as a key supplier of primary energy for the Polish power sector. However, the environmental regulations to which the domestic power sector has to conform will decrease the share of coal in the fuel-mix. Since the investment processes in this sector are usually long-term, the effects of changes will be noticeable from 2015 onwards. - Research highlights: →Application of the partial equilibrium model POWER-POL for a quantitative analysis. →Coal will maintain its dominant position in the Polish heat and electricity production fuel-mix at least up to 2020. →Attractiveness of domestic hard coal supplies will depend on the environmental regulations (mostly on the EU level) and development in the world coal market. →The first nuclear power plant will be put into operation in 2020.

  10. Can Reduced-Step Polishers Be as Effective as Multiple-Step Polishers in Enhancing Surface Smoothness?

    Science.gov (United States)

    Kemaloglu, Hande; Karacolak, Gamze; Turkun, L Sebnem

    2017-02-01

    The aim of this study was to evaluate the effects of various finishing and polishing systems on the final surface roughness of a resin composite. Hypotheses tested were: (1) reduced-step polishing systems are as effective as multiple-step systems on reducing the surface roughness of a resin composite and (2) the number of application steps in an F/P system has no effect on reducing surface roughness. Ninety discs of a nano-hybrid resin composite were fabricated and divided into nine groups (n = 10). Except the control, all of the specimens were roughened prior to be polished by: Enamel Plus Shiny, Venus Supra, One-gloss, Sof-Lex Wheels, Super-Snap, Enhance/PoGo, Clearfil Twist Dia, and rubber cups. The surface roughness was measured and the surfaces were examined under scanning electron microscope. Results were analyzed with analysis of variance and Holm-Sidak's multiple comparisons test (p One-gloss, Enamel Plus Shiny, and Venus Supra groups. (1) The number of application steps has no effect on the performance of F/P systems. (2) Reduced-step polishers used after a finisher can be preferable to multiple-step systems when used on nanohybrid resin composites. (3) The effect of F/P systems on surface roughness seems to be material-dependent rather than instrument- or system-dependent. Reduced-step systems used after a prepolisher can be an acceptable alternative to multiple-step systems on enhancing the surface smoothness of a nanohybrid composite; however, their effectiveness depends on the materials' properties. (J Esthet Restor Dent 29:31-40, 2017). © 2016 Wiley Periodicals, Inc.

  11. Surface geometry of three packable and one hybrid composite after polishing.

    Science.gov (United States)

    Jung, Martin; Bruegger, Hilka; Klimek, Joachim

    2003-01-01

    This study evaluated the surface quality of four composite materials after polishing with six different polishing techniques. Eighty specimens were made using three packable composites (Definite/Degussa, SureFil/ Dentsply and Solitaire/Heraeus-Kulzer) and one hybrid composite (Herculite XRV/Kerr). Five specimens of each material were polished using flexible Sof-Lex discs. The remaining 75 specimens of each composite were prepared using three finishing protocols: a single 30 microm diamond (n = 25), two finishing diamonds (30/20 microm; n = 25) and a 30 microm diamond followed by a tungsten carbide finishing bur (n = 25). Final polishing of each of the three finishing groups was accomplished with SuperBuff, Diafix-oral, OneGloss, Astropol and HaWe Composite Polishers (n = 5, each). Surface roughness was evaluated quantitatively by laser-stylus profilometry. Average roughness (R(a)) was calculated; statistical analysis of the data was performed with two-way ANOVA and Scheffé post-hoc tests. The polished surfaces were examined qualitatively by SEM. The results showed significant effects on surface roughness from the different composites (p = 0.011) and polishing systems (p < 0.001). After polishing, the Solitaire surfaces (R(a) = 0.72 microm) were smoother than Definite (R(a) = 0.87 microm) and SureFil (R(a) = 0.89 microm) and significantly smoother than Herculite (R(a) = 0.92 microm; p = 0.011). Three of the polishing methods (SuperBuff, Diafix-oral and Astropol) achieved lower R(a)-values than Sof-Lex discs. The polishing quality of the one-step systems SuperBuff and Diafix-oral was strongly affected by the initial finishing protocol.

  12. Study of Profile Changes during Mechanical Polishing using Relocation Profilometry

    Science.gov (United States)

    Kumaran, S. Chidambara; Shunmugam, M. S.

    2017-10-01

    Mechanical polishing is a finishing process practiced conventionally to enhance quality of surface. Surface finish is improved by mechanical cutting action of abrasive particles on work surface. Polishing is complex in nature and research efforts have been focused on understanding the polishing mechanism. Study of changes in profile is a useful method of understanding behavior of the polishing process. Such a study requires tracing same profile at regular process intervals, which is a tedious job. An innovative relocation technique is followed in the present work to study profile changes during mechanical polishing of austenitic stainless steel specimen. Using special locating fixture, micro-indentation mark and cross-correlation technique, the same profile is traced at certain process intervals. Comparison of different parameters of profiles shows the manner in which metal removal takes place in the polishing process. Mass removal during process estimated by the same relocation technique is checked with that obtained using weight measurement. The proposed approach can be extended to other micro/nano finishing processes and favorable process conditions can be identified.

  13. Transparency in practice: Evidence from 'verification analyses' issued by the Polish Agency for Health Technology Assessment in 2012-2015.

    Science.gov (United States)

    Ozierański, Piotr; Löblová, Olga; Nicholls, Natalia; Csanádi, Marcell; Kaló, Zoltán; McKee, Martin; King, Lawrence

    2018-01-08

    Transparency is recognised to be a key underpinning of the work of health technology assessment (HTA) agencies, yet it has only recently become a subject of systematic inquiry. We contribute to this research field by considering the Polish Agency for Health Technology Assessment (AHTAPol). We situate the AHTAPol in a broader context by comparing it with the National Institute for Health and Care Excellence (NICE) in England. To this end, we analyse all 332 assessment reports, called verification analyses, that the AHTAPol issued from 2012 to 2015, and a stratified sample of 22 Evidence Review Group reports published by NICE in the same period. Overall, by increasingly presenting its key conclusions in assessment reports, the AHTAPol has reached the transparency standards set out by NICE in transparency of HTA outputs. The AHTAPol is more transparent than NICE in certain aspects of the HTA process, such as providing rationales for redacting assessment reports and providing summaries of expert opinions. Nevertheless, it is less transparent in other areas of the HTA process, such as including information on expert conflicts of interest. Our findings have important implications for understanding HTA in Poland and more broadly. We use them to formulate recommendations for policymakers.

  14. Automated visual inspection for polished stone manufacture

    Science.gov (United States)

    Smith, Melvyn L.; Smith, Lyndon N.

    2003-05-01

    Increased globalisation of the ornamental stone market has lead to increased competition and more rigorous product quality requirements. As such, there are strong motivators to introduce new, more effective, inspection technologies that will help enable stone processors to reduce costs, improve quality and improve productivity. Natural stone surfaces may contain a mixture of complex two-dimensional (2D) patterns and three-dimensional (3D) features. The challenge in terms of automated inspection is to develop systems able to reliably identify 3D topographic defects, either naturally occurring or resulting from polishing, in the presence of concomitant complex 2D stochastic colour patterns. The resulting real-time analysis of the defects may be used in adaptive process control, in order to avoid the wasteful production of defective product. An innovative approach, using structured light and based upon an adaptation of the photometric stereo method, has been pioneered and developed at UWE to isolate and characterize mixed 2D and 3D surface features. The method is able to undertake tasks considered beyond the capabilities of existing surface inspection techniques. The approach has been successfully applied to real stone samples, and a selection of experimental results is presented.

  15. Development of hybrid fluid jet/float polishing process

    Science.gov (United States)

    Beaucamp, Anthony T. H.; Namba, Yoshiharu; Freeman, Richard R.

    2013-09-01

    On one hand, the "float polishing" process consists of a tin lap having many concentric grooves, cut from a flat by single point diamond turning. This lap is rotated above a hydrostatic bearing spindle of high rigidity, damping and rotational accuracy. The optical surface thus floats above a thin layer of abrasive particles. But whilst surface texture can be smoothed to ~0.1nm rms (as measured by atomic force microscopy), this process can only be used on flat surfaces. On the other hand, the CNC "fluid jet polishing" process consists of pumping a mixture of water and abrasive particles to a converging nozzle, thus generating a polishing spot that can be moved along a tool path with tight track spacing. But whilst tool path feed can be moderated to ultra-precisely correct form error on freeform optical surfaces, surface finish improvement is generally limited to ~1.5nm rms (with fine abrasives). This paper reports on the development of a novel finishing method, that combines the advantages of "fluid jet polishing" (i.e. freeform corrective capability) with "float polishing" (i.e. super-smooth surface finish of 0.1nm rms or less). To come up with this new "hybrid" method, computational fluid dynamic modeling of both processes in COMSOL is being used to characterize abrasion conditions and adapt the process parameters of experimental fluid jet polishing equipment, including: (1) geometrical shape of nozzle, (2) position relative to the surface, (3) control of inlet pressure. This new process is aimed at finishing of next generation X-Ray / Gamma Ray focusing optics.

  16. Polish Adult Reading Test (PART) - construction of Polish test for estimating the level of premorbid intelligence in schizophrenia.

    Science.gov (United States)

    Karakuła-Juchnowicz, Hanna; Stecka, Mariola

    2017-08-29

    In view of unavailability in Poland of the standardized methods to measure PIQ, the aim of the work was to develop a Polish test to assess the premorbid level of intelligence - PART(Polish AdultReading Test) and to measureits psychometric properties, such as validity, reliability as well as standardization in the group of schizophrenia patients. The principles of PART construction were based on the idea of popular worldwide National Adult Reading Test by Hazel Nelson. The research comprised a group of 122 subjects (65 schizophrenia patients and 57 healthy people), aged 18-60 years, matched for age and gender. PART appears to be a method with high internal consistency and reliability measured by test-retest, inter-rater reliability, and the method with acceptable diagnostic and prognostic validity. The standardized procedures of PART have been investigated and described. Considering the psychometric values of PART and a short time of its performance, the test may be a useful diagnostic instrument in the assessment of premorbid level of intelligence in a group of schizophrenic patients.

  17. Optimization of the buffer layer of a side polished fiber slab coupler based on 3 D ADI beam propagation method

    International Nuclear Information System (INIS)

    Lee, Cherl Hee; Kim, Cheol; Park, Jae Hee

    2008-01-01

    A side polished fiber slab coupler has been widely applied to a sensor, which has the advantages of short response time, simple manufacturing process, and reusability as well as in line fiber component. A new type of a side polished fiber sensor providing remote sensing with an improved performance was also recently developed. The side polished fiber slab coupler is modeled as a fiber to planar waveguide coupler with four layers, including the fiber cladding, a buffer layer, planar waveguide and overlay material. The coupling effects by the buffer layer of a side polished fiber slab coupler are analyzed by using 3 dimensional alternating direction implicit (ADI)beam propagation method, where the refractive index and thickness of the buffer layer were tuned for efficient light coupling. The coupling is easily tuned and more occurred by the refractive index and thickness of the buffer layer for efficient coupling. This study tried to optimize the buffer layer parameters for achieving the desired light coupling and power transfer performance

  18. Note on the polishing of small spheres of ferrimagnetic materials

    Energy Technology Data Exchange (ETDEWEB)

    Grunberg, J. G.; Antier, G. [Centre d' etudes nucleaires de Grenoble - C.E.N.G. (France); Seiden, P. E. [Institut Fourier, Universite de Grenoble (France)

    1961-07-01

    This note describes a simple and rapid method that we have used for obtaining a high degree of polish on spheres of ferrimagnetic materials. A high surface polish is of particular importance if one desires to perform ferrimagnetic resonance experiments on very narrow linewidth materials such as Yttrium Iron Garnet. It is not possible to obtain the very narrow linewidths without polishing the sample with a very fine abrasive such as 'Linde A'. Although the methods presently used for the fine polishing of ferrite spheres give satisfactory results, the method described here is of particular interest because of its simplicity and speed. For example with the air-jet tumbling technique it can take as long as three days of polishing to obtain an acceptable surface while our method will give the same results in one to two hours. (author)

  19. Initial polishing time affects gloss retention in resin composites.

    Science.gov (United States)

    Waheeb, Nehal; Silikas, Nick; Watts, David

    2012-10-01

    To determine the effect of finishing and polishing time on the surface gloss of various resin-composites before and after simulated toothbrushing. Eight representative resin-composites (Ceram X mono, Ceram X duo, Tetric EvoCeram, Venus Diamond, EsteliteSigma Quick, Esthet.X HD, Filtek Supreme XT and Spectrum TPH) were used to prepare 80 disc-shaped (12 mm x 2 mm) specimens. The two step system Venus Supra was used for polishing the specimens for 3 minutes (Group A) and 10 minutes (Group B). All specimens were subjected to 16,000 cycles of simulated toothbrushing. The surface gloss was measured after polishing and after brushing using the gloss meter. Results were evaluated using one way ANOVA, two ways ANOVA and Dennett's post hoc test (P = 0.05). Group B (10-minute polishing) resulted in higher gloss values (GV) for all specimens compared to Group A (3 minutes). Also Group B showed better gloss retention compared to Group A after simulated toothbrushing. In each group, there was a significant difference between the polished composite resins (P gloss after the simulated toothbrushing.

  20. Sexual Health of Polish Athletes with Disabilities

    Directory of Open Access Journals (Sweden)

    Ryszard Plinta

    2015-06-01

    Full Text Available The purpose of this study was to determine sexual functioning of Polish athletes with disabilities (including paralympians. The study encompassed 218 people with physical disabilities, aged between 18 and 45 (149 men and 69 women. The entire research population was divided into three groups: Polish paralympians (n = 45, athletes with disabilities (n = 126 and non-athletes with disabilities (n = 47. The quality of sexual life of Polish paralympians was measured by using the Polish version of Female Sexual Function Index and International Index of Erectile Function. Clinically significant erectile dysfunctions were most often diagnosed in non-athletes (83.33% with 50% result of severe erectile dysfunctions, followed by athletes and paralympians with comparable results of 56.98% and 54.17% respectively (p = 0.00388. Statistically significant clinical sexual dysfunctions concerned lubrication, orgasm as well as pain domains, and prevailed among female non-athletes (68.42%, 68.42% and 57.89%. Practising sports at the highest level has a favourable effect on the sexuality of men and women with physical disabilities. Men with physical disabilities manifest more sexual disorders than women, an aspect which should be considered by health-care professionals working with people with disabilities.

  1. Presentation of the verbs in Bulgarian-Polish electronic dictionary

    Directory of Open Access Journals (Sweden)

    Ludmila Dimitrova

    2014-09-01

    Full Text Available Presentation of the verbs in Bulgarian-Polish electronic dictionary This paper briefly discusses the presentation of the verbs in the first electronic Bulgarian-Polish dictionary that is currently being developed under a bilateral collaboration between IMI-BAS and ISS-PAS. Special attention is given to the digital entry classifiers that describe Bulgarian and Polish verbs. Problems related to the correspondence between natural language phenomena and their presentations are discussed. Some examples illustrate the different types of dictionary entries for verbs.

  2. "Agricultural budget" and the competitiveness of the Polish agriculture

    OpenAIRE

    Lenkiewicz, Stanisław; Rokicki, Bartłomiej

    2014-01-01

    The aim of the publication is to assess the impact of public support on the functioning of the Polish agriculture. In order to achieve this aim the publication includes an analysis of the system of direct payments and rural development policy instruments planned to be implemented in Poland within the CAP 2014-2020. The study also presents an analysis of regional diversity of the Polish agriculture and an assessment of the scale of agricultural investment made in recent years in all the Polish...

  3. Engineering solutions for the electro-polishing of multi-cell superconducting accelerators structures

    International Nuclear Information System (INIS)

    Schulz, E.; Bandelmann, R.; Escherich, K.; Keese, D.; Leenen, M.; Lilje, L.; Matheisen, A.; Morales, H.; Schmueser, P.; Seidel, M.; Steinhau-Kuehl, N.; Tiessen, J.

    2003-01-01

    Due to surface treatment with electro-polishing superconducting niobium resonators can potentially reach accelerating gradients well beyond 35 MV/m at a frequency of 1.3 GHz. The anticipated gradient for the 500GeV version of the TESLA collider is 23.4 MV/m. In view of the extendibility of the collider towards higher energies this technology is therefore of great importance for the TESLA project. In this paper we discuss the engineering aspects of the planned electro-polishing facility at DESY. The facility will allow for the treatment of single cell cavities as well as the standard TESLA 9-cell structure, and also a so called superstructure that consists of 2 x 9 cells. The issues described cover the acid circulation including cooling requirements, the required current densities resulting in the specifications of the electrical circuit, removal of oxyhydrogen gas, rotating feed-through and the overall mechanical layout. Furthermore we report on recent tests of critical components. (author)

  4. Effects of Polishing Bur Application Force and Reuse on Sintered Zirconia Surface Topography.

    Science.gov (United States)

    Fischer, N G; Tsujimoto, A; Baruth, A G

    2018-03-16

    Limited information is available on how to polish and finish zirconia surfaces following computer-aided design/computer-aided manufacturing (CAD/CAM), specifically, how differing application forces and reuse of zirconia polishing systems affect zirconia topography. To determine the effect of differing, clinically relevant, polishing application forces and multiple usages of polishing burs on the surface topography of CAD/CAM zirconia. One hundred twenty 220-grit carbide finished zirconia disks were sintered according to manufacturer's directions and divided into two groups for the study of two coarse polishing bur types. Each group was divided into subgroups for polishing (15,000 rpm) at 15 seconds for 1.0 N, 4.5 N, or 11 N of force using a purpose-built fixture. Subgroups were further divided to study the effects of polishing for the first, fifth, 15th, and 30th bur use, simulating clinical procedures. Unpolished surfaces served as a control group. Surfaces were imaged with noncontact optical profilometry (OP) and atomic force microscopy (AFM) to measure average roughness values (Ra). Polishing burs were optically examined for wear. Scanning electron microscopy (SEM) was performed on burs and zirconia surfaces. One-way ANOVA with post hoc Tukey HSD (honest significant difference) tests (α=0.05) were used for statistical analyses. AFM and OP Ra values of all polished surfaces were significantly lower than those of the unpolished control. Different polishing forces and bur reuse showed no significant differences in AFM Ra. However, significant differences in OP Ra were found due to differing application forces and bur reuse between the first and subsequent uses. SEM and optical micrographs revealed notable bur wear, increasing with increasing reuse. SEM and AFM micrographs clearly showed polished, periodic zirconia surfaces. Nanoscale topography, as analyzed with kurtosis and average groove depth, was found dependent on the specific polishing bur type. These in

  5. The factorial structure of job-related affective well-being: Polish adaptation of the Warr's measure.

    Science.gov (United States)

    Mielniczuk, Emilia; Łaguna, Mariola

    2018-02-16

    The first aim of the study reported in this article was to test the factorial structure of job-related affect in a Polish sample. The second aim was to develop the Polish adaptation of the Warr's job-related affective well-being measure published in 1990, which is designed to assess 4 types of affect at work: anxiety, comfort, depression, enthusiasm. A longitudinal study design with 2 measurement times was used for verifying the psychometric properties of the Polish version of the measure. The final sample consisted of 254 Polish employees from different professions. Participants were asked to fill in a set of questionnaires consisting of measures capturing job-related affective well-being, mood, and turnover intention. The first step of analysis was to test the theoretically-based structure of the job-related affective well-being measure in a Polish sample. The confirmatory factor analysis revealed that a 4-factor model best describes the structure of the measure in comparison to 5 alternative models. Next, reliability of this measure was assessed. All scales achieved good internal consistency and acceptable test-retest reliability after 2 weeks. Finally, the convergent and discriminant validity as well as the criterion and predictive validity of all job-related affective well-being scales was confirmed, based on correlations between job-related affect and mood as well as turnover intention. The results suggest that the Polish adaptation of Warr's job-related affective well-being measure can be used by scientists as well as by practitioners who aim at assessing 4 types of affective well-being at a work context. This work is available in Open Access model and licensed under a CC BY-NC 3.0 PL license.

  6. The Czechoslovak-Polish Club in Brno (1925–1939)

    Czech Academy of Sciences Publication Activity Database

    Baron, Roman

    2011-01-01

    Roč. 3, č. 1 (2011), s. 43-64 ISSN 1803-6546 Institutional research plan: CEZ:AV0Z80150510 Keywords : Czechoslovak-Polish solidarity * Czechoslovak-Polish relations * Brno * associations * Interwar Period Subject RIV: AB - History

  7. Performance test of condensate polishing system for Qinshan Nuclear Power Plant

    International Nuclear Information System (INIS)

    You Zhaojin; Qian Shijun; Lu Ruiting

    1995-11-01

    The flow chart, resin performance and water quality specifications of the condensate polishing system for Qinshan Nuclear Power Plant (QNPP) are briefly described. The initial regeneration process and the following service of the condensate polishing system are introduced. And the ability to remove corrosion products and ionic impurities of the condensate polishing system are verified during start-up, normal power operation and condenser leakage of the plant. The result shows that the performance of condensate polishing system in QNPP can completely meet the design requirements. Especially during the start-up of the unit or the leakage of the condenser, despite the inlet water quality of the polishers is far worse than the specified standard, the outlet water quality is still controlled within the indexes. Finally, several existing problems, such as 'volume ratio between resins is not optimum' and 'the inert resin and anion resin can not be stratified completely', in the condensate polishing system are also discussed. (4 refs., 1 fig., 8 tabs.)

  8. Two planar polishing methods by using FIB technique: Toward ultimate top-down delayering for failure analysis

    Energy Technology Data Exchange (ETDEWEB)

    Wang, D. D., E-mail: dandan.wang@globalfoundries.com; Huang, Y. M.; Tan, P. K.; Feng, H.; Low, G. R.; Yap, H. H.; He, R.; Tan, H.; Dawood, M. K.; Zhao, Y. Z.; Lam, J.; Mai, Z. H. [GLOBALFOUNDRIES Singapore Pte. Ltd, 60 Woodlands Industrial Park D Street 2, Singapore 738406 (Singapore)

    2015-12-15

    Presently two major limiting factors are hindering the failure analysis (FA) development during the semiconductor manufacturing process and technology improvement: (1) Impossibility of manual polishing on the edge dies due to the amenability of layer peeling off; (2) Abundant demand of multi-locations FA, especially focusing different levels of layers simultaneously. Aiming at resolving these limitations, here we demonstrate two unique high precision polishing methods by using focused ion beam (FIB) technique. One is the vertical top down chemical etching at the aimed location; the other one is the planar top down slicing. Using the FIB for delayering not only solves these problems mentioned above, but also offers significant advantages over physical planar polishing methods such as: (1) having a better control of the delayering progress, (2) enabling precisely milling at a region of interest, (3) providing the prevention of over-delayering and (4) possessing capability to capture images at the region of interest simultaneously and cut into the die directly to expose the exact failure without damaging other sections of the specimen.

  9. Influence of polishing on surface roughness following toothbrushing wear of composite resins.

    Science.gov (United States)

    Dalla-Vecchia, Karine Battestin; Taborda, Talita Damas; Stona, Deborah; Pressi, Heloísa; Burnett Júnior, Luiz Henrique; Rodrigues-Junior, Sinval Adalberto

    2017-01-01

    This study aimed to evaluate the influence of different polishing systems on the surface roughness of composite resins following procedures to simulate the effects of toothbrushing over time. Four currently available commercial composites were used to make 128 cylindrical specimens. The specimens were randomly allocated to polishing with a 1-step polisher or 1 of 3 multistep polishers (n = 8 per group). The baseline surface roughness was measured, and the specimens were submitted to 5000, 10,000, and 20,000 brushing cycles to represent toothbrushing throughout 6, 12, and 24 months, respectively. Results showed that surface roughness was influenced by the type of composite and polishing system and was not influenced by the simulated toothbrushing time. However, the surface roughness, as challenged by toothbrushing wear, was affected by the interaction among the composite, the polisher, and the toothbrushing time. The 1-step polisher produced the highest surface roughness and influenced toothbrushing wear resistance of some composites.

  10. Surface analysis of polished fused-silica laser lenses by ion-scattering spectrometry

    International Nuclear Information System (INIS)

    Orvek, K.; Steward, S.A.

    1982-01-01

    New advances in high-powered glass lasers, particularly the NOVA system, have resulted in a need for lenses having higher damage threshold values than those now available. It is currently thought that surface contaminants on the lenses are responsible for initiating part of the damage. These contaminants are apparently introduced during the final polishing stages. In this study, we used ion-scattering spectrometry (ISS) to identify contaminants arising through the use of different polishing techniques. Five lenses were studied, each having undergone different polishing procedures. The first lens was not polished after receiving it from the manfacturer (No. 381). Ion microprobe data were available for this lens, and they were compared to ISS results. The second lens had been polished with rouge, a polishing compound no longer in use (No. 796). This sample served as a further check on the ISS results. The third lens was studied as received from the manufacturer - with no handling or cleaning (No. 802). The final two lenses had both been polished using high-purity ceria, cerium oxide (No. 800 and No. 801). The difference between these two was that No. 800 was polished using a nylon lap, and No. 801 was polished using pitch as a lap. The 800-series lenses were all made from the same batch, and constituted the major part of the investigation

  11. 1.06 μm 150 psec laser damage study of diamond turned, diamond turned/polished and polished metal mirrors

    International Nuclear Information System (INIS)

    Saito, T.T.; Milam, D.; Baker, P.; Murphy, G.

    1975-01-01

    Using a well characterized 1.06 μm 150 ps glass laser pulse the damage characteristics for diamond turned, diamond turned/ polished, and polished copper and silver mirrors less than 5 cm diameter were studied. Although most samples were tested with a normal angle of incidence, some were tested at 45 0 with different linear polarization showing an increase in damage threshold for S polarization. Different damage mechanisms observed will be discussed. Laser damage is related to residual surface influences of the fabrication process. First attempts to polish diamond turned surfaces resulted in a significant decrease in laser damage threshold. The importance of including the heat of fusion in the one dimensional heat analysis of the theoretical damage threshold and how close the samples came to the theoretical damage threshold is discussed. (auth)

  12. Summaries of the 40. Scientific Assembly of Polish Chemical Society and Association of Engineers and Technicians of Chemical Industry

    International Nuclear Information System (INIS)

    1997-01-01

    Annual 40. Scientific Assembly of Polish Chemical Society and Association of Engineers and Technicians of Chemical Industry has been held in Gdansk on 22-26 September 1997. The most valuable scientific results obtained in Polish Laboratories have been presented in 22 main sections and 7 symposia directed especially at following subjects: analytical chemistry, biochemistry, solid state chemistry and material science, physical chemistry, heteroorganic and coordination chemistry, medical and pharmaceutical chemistry, metalorganic chemistry, inorganic and organic chemistry, polymers chemistry, chemistry and environment protection, theoretical chemistry, chemical didactics, photochemistry, radiation chemistry and chemical kinetics, chemical engineering, catalysis, crystallochemistry, chemical technology, electrochemistry, and instrumental methods

  13. Polishing, coating and integration of SiC mirrors for space telescopes

    Science.gov (United States)

    Rodolfo, Jacques

    2017-11-01

    In the last years, the technology of SiC mirrors took an increasingly significant part in the field of space telescopes. Sagem is involved in the JWST program to manufacture and test the optical components of the NIRSpec instrument. The instrument is made of 3 TMAs and 4 plane mirrors made of SiC. Sagem is in charge of the CVD cladding, the polishing, the coating of the mirrors and the integration and testing of the TMAs. The qualification of the process has been performed through the manufacturing and testing of the qualification model of the FOR TMA. This TMA has shown very good performances both at ambient and during the cryo test. The polishing process has been improved for the manufacturing of the flight model. This improvement has been driven by the BRDF performance of the mirror. This parameter has been deeply analysed and a model has been built to predict the performance of the mirrors. The existing Dittman model have been analysed and found to be optimistic.

  14. Polish Phoneme Statistics Obtained On Large Set Of Written Texts

    Directory of Open Access Journals (Sweden)

    Bartosz Ziółko

    2009-01-01

    Full Text Available The phonetical statistics were collected from several Polish corpora. The paper is a summaryof the data which are phoneme n-grams and some phenomena in the statistics. Triphonestatistics apply context-dependent speech units which have an important role in speech recognitionsystems and were never calculated for a large set of Polish written texts. The standardphonetic alphabet for Polish, SAMPA, and methods of providing phonetic transcriptions are described.

  15. Polishing compound for plastic surfaces

    Science.gov (United States)

    Stowell, M.S.

    1991-01-01

    This invention is comprised of a polishing compound for plastic materials. The compound includes approximately by approximately by weight 25 to 80 parts at least one petroleum distillate lubricant, 1 to 12 parts mineral spirits, 50 to 155 parts abrasive paste, and 15 to 60 parts water. Preferably, the compound includes approximately 37 to 42 parts at least one petroleum distillate lubricant, up to 8 parts mineral spirits, 95 to 110 parts abrasive paste, and 50 to 55 parts water. The proportions of the ingredients are varied in accordance with the particular application. The compound is used on PLEXIGLAS{trademark}, LEXAN{trademark}, LUCITE{trademark}, polyvinyl chloride (PVC), and similar plastic materials whenever a smooth, clear polished surface is desired.

  16. Detection of Giardia intestinalis infections in Polish soldiers deployed to Afghanistan.

    Science.gov (United States)

    Korzeniewski, Krzysztof; Konior, Monika; Augustynowicz, Alina; Lass, Anna; Kowalska, Ewa

    2016-01-01

    Members of the Polish Military Contingent (PMC) have been stationed in Afghanistan since 2002. They typically serve in areas characterised by low standards of sanitation which often leads to the development of food- and waterborne diseases. The aim of the study was to evaluate the prevalence of Giardia intestinalis infections among Polish soldiers deployed to Afghanistan. The research study was conducted as part of a programme for prevention of parasitic diseases of the gastrointestinal tract run by the Polish Armed Forces. The study was carried out in August 2011; it involved 630 asymptomatic Polish soldiers serving in the Forward Operational Base (FOB) Ghazni in eastern Afghanistan. Stool specimens obtained from members of the PMC were first tested in FOB Ghazni (detection of Giardia intestinalis by Rida Quick Giardia immunochromatographic tests and Ridascreen Giardia immunoenzymatic tests - single samples). Next, the same biological material and two other faecal specimens fixed in 10% formalin were transported to the Military Institute of Medicine in Poland, where they were tested for Giardia intestinalis under light microscopy (direct smear, decantation in distilled water). Parasitological tests performed under light microscopy showed that 2.7% (17/630) of the study group were infected with Giardia intestinalis. Some of these results were confirmed by immunochromatographic tests (6/630). In contrast, immunoenzymatic tests (ELISA) demonstrated a significantly higher detection rate reaching 18.1% (114/630). Immunoenzymatic tests confirmed all the positive results given by light microscopy and by immunochromatographic tests. The prevalence rate of Giardia intestinalis infections in Polish soldiers deployed to Afghanistan was found to be high. Microscopic methods exhibit low sensitivity and therefore may result in the underestimation of the true parasite prevalence. Immunoenzymatic tests (ELISA) showing a much higher sensitivity in comparison to light microscopy

  17. [Health literacy as an element of the Polish occupational health system].

    Science.gov (United States)

    Dobras, Maciej

    Nowadays it is believed that a comprehensive approach towards one's health requires the development and subsequent mastering of health literacy. Although this term has no Polish equivalent, it applies to the ability of individuals to access, analyze and understand information necessary to make informed health decisions. In this publication it is suggested that 'biegłość zdrowotna' can be used as a corresponding Polish term. This publication is based on the review of the available literature (in Polish and in English) on health literacy. To illustrate the hypothetical level of health literacy among Polish employers and employees reports of the Chief Labour Inspectorate and individual items from the Second European Survey of Enterprises on New and Emerging Risks (ESENER-2) were used. The analysis proves that health literacy is a multidimensional concept which has been studied and investigated so far only in relation to chosen nosological units, but practically it does not appear in relation to occupational health. There are reasons to believe that in Poland the low level of health literacy among both employers and employees, lies at the forefront of a passive approach towards the safeguarding of workers health. The concept of health literacy needs further dissemination in Poland, whereas the main area of future research should be the design of the Polish tool for assessing health literacy. The national system of occupational health seems to offer a possible ground for implementing such a concept, especially bearing in mind that within the current system there are several entities and services, which have the legal mandate to undertake informative and advisory duties - exactly those, which help build and master health literacy skills. Med Pr 2016;67(5):681-689. This work is available in Open Access model and licensed under a CC BY-NC 3.0 PL license.

  18. Friction Properties of Polished Cvd Diamond Films Sliding against Different Metals

    Science.gov (United States)

    Lin, Zichao; Sun, Fanghong; Shen, Bin

    2016-11-01

    Owing to their excellent mechanical and tribological properties, like the well-known extreme hardness, low coefficient of friction and high chemical inertness, chemical vapor deposition (CVD) diamond films have found applications as a hard coating for drawing dies. The surface roughness of the diamond films is one of the most important attributes to the drawing dies. In this paper, the effects of different surface roughnesses on the friction properties of diamond films have been experimentally studied. Diamond films were fabricated using hot filament CVD. The WC-Co (Co 6wt.%) drawing dies were used as substrates. A gas mixture of acetone and hydrogen gas was used as the feedstock gas. The CVD diamond films were polished using mechanical polishing. Polished diamond films with three different surface roughnesses, as well as the unpolished diamond film, were fabricated in order to study the tribological performance between the CVD diamond films and different metals with oil lubrication. The unpolished and polished CVD diamond films are characterized with scanning electron microscope (SEM), atomic force microscope (AFM), surface profilometer, Raman spectrum and X-ray diffraction (XRD). The friction examinations were carried out by using a ball-on-plate type reciprocating friction tester. Low carbide steel, stainless steel, copper and aluminum materials were used as counterpart balls. Based on this study, the results presented the friction coefficients between the polished CVD films and different metals. The friction tests demonstrate that the smooth surface finish of CVD diamond films is beneficial for reducing their friction coefficients. The diamond films exhibit low friction coefficients when slid against the stainless steel balls and low carbide steel ball, lower than that slid against copper ball and aluminum ball, attributed to the higher ductility of copper and aluminum causing larger amount of wear debris adhering to the sliding interface and higher adhesive

  19. Experimental Evaluation of Optically Polished Aluminum Panels on the Deep Space Network's 34 Meter Antenna

    Science.gov (United States)

    Vilnrotter, V.

    2011-01-01

    The potential development of large aperture ground?based "photon bucket" optical receivers for deep space communications has received considerable attention recently. One approach currently under investigation is to polish the aluminum reflector panels of 34?meter microwave antennas to high reflectance, and accept the relatively large spotsize generated by state of?the?art polished aluminum panels. Theoretical analyses of receiving antenna pointing, temporal synchronization and data detection have been addressed in previous papers. Here we describe the experimental effort currently underway at the Deep Space Network (DSN) Goldstone Communications Complex in California, to test and verify these concepts in a realistic operational environment. Two polished aluminum panels (a standard DSN panel polished to high reflectance, and a custom designed aluminum panel with much better surface quality) have been mounted on the 34 meter research antenna at Deep?Space Station 13 (DSS?13), and a remotely controlled CCD camera with a large CCD sensor in a weather?proof container has been installed next to the subreflector, pointed directly at the custom polished panel. The point?spread function (PSF) generated by the Vertex polished panel has been determined to be smaller than the sensor of the CCD camera, hence a detailed picture of the PSF can be obtained every few seconds, and the sensor array data processed to determine the center of the intensity distribution. In addition to estimating the center coordinates, expected communications performance can also been evaluated with the recorded data. The results of preliminary pointing experiments with the Vertex polished panel receiver using the planet Jupiter to simulate the PSF generated by a deep?space optical transmitter are presented and discussed in this paper.

  20. The development of market power in the Polish power generation sector: A 10-year perspective

    International Nuclear Information System (INIS)

    Kamiński, Jacek

    2012-01-01

    The paper examines how and to which extent consolidation in the Polish power generation sector has affected the potential for market power over the last 10 years. Although this sector has been undergoing liberalisation (privatisation, introduction of TPA regulations and competition etc.), the consolidation efforts shown by Polish governments have resulted in a significant increase in concentration of both installed capacity and production. The methodology applied in this study includes typical ex-post structural and behavioural measures employed to estimate potential for market power, namely: concentration ratios (for the largest and the three largest suppliers), the Herfindahl–Hirschman Index, entropy, Supply Margin Assessment, the Residual Supply Index and the Lerner Index. Furthermore, an analysis based on the Gini coefficient was employed to obtain an insight into inequalities. The results of this study show that governmental decisions led to a significant increase in the potential to exercise market power held by key power generation companies. Of key importance was the 2007 consolidation, resulting in an increase in the HHI to 1374 (in terms of installed capacity) and 1945 (in terms of electricity production). This consolidation resulted in the creation of the first Pivotal Supplier in the Polish power generation sector in 2008. - Highlights: ► Market power analysis based on structural and behavioural indices was carried out for the Polish power sector. ► Governmental policy resulted in significant increase in concentration of both installed capacity and generation. ► Increase in the Lerner Index of brown coal-based generation and decrease of the hard coal-based one were observed.

  1. Evaluation of the Appearance of Nail Polish Following Daily Treatment of Ex Vivo Human Fingernails With Topical Solutions of Tavaborole or Efinaconazole.

    Science.gov (United States)

    Vlahovic, Tracey C; Coronado, Dina; Chanda, Sanjay; Merchant, Tejal; Zane, Lee T

    2016-01-01

    Patients with onychomycosis may mask infected nails with polish. Tavaborole topical solution, 5% is a boron-based, small-molecule pharmaceutical approved for the treatment of toenail onychomycosis caused by Trichophyton rubrum and Trichophyton mentagrophytes; efinaconazole topical solution, 10% is approved for the same indication. Nail polish appearance after application of tavaborole (dropper) or efinaconazole (brush); respective applicator appearance; presence of color transfer from respective applicators; and color transfer to remaining solutions after dosing of polished nails were evaluated. Twelve ex vivo human cadaver fingernails were cleaned, polished with two coats of L'Oréal® Nail Color, Devil Wears Red #420, and mounted on floral foam. Nails were treated with tavaborole or efinaconazole solutions once daily for 7 days. Dropper and brush applicators were applied to white watercolor paper immediately after dosing to evaluate color transfer from polished nails. On day 7, remaining solutions were transferred to clear glass vials to evaluate color transfer from applicators to solutions. Nails, applicators, and papers were photographed daily following application; remaining solutions were photographed after 7 days of dosing. Tavaborole-treated polished nails showed no polish discoloration, and tavaborole applicators did not change in appearance during treatment. No color transfer from polished nails was evident to applicator, paper, or remaining solution. Efinaconazole-treated polished nails showed substantial polish changes after the first day of treatment, with polish appearance and discoloration progressively worsening over 7 days of treatment. Color transfer from nails was evident to applicator, paper, and remaining solution. Daily dropper application of tavaborole to ex vivo polished nails did not alter polish appearance. Brush application of efinaconazole produced visible changes in polish appearance and color transfer to applicators, paper, and

  2. Pseudo-random tool paths for CNC sub-aperture polishing and other applications.

    Science.gov (United States)

    Dunn, Christina R; Walker, David D

    2008-11-10

    In this paper we first contrast classical and CNC polishing techniques in regard to the repetitiveness of the machine motions. We then present a pseudo-random tool path for use with CNC sub-aperture polishing techniques and report polishing results from equivalent random and raster tool-paths. The random tool-path used - the unicursal random tool-path - employs a random seed to generate a pattern which never crosses itself. Because of this property, this tool-path is directly compatible with dwell time maps for corrective polishing. The tool-path can be used to polish any continuous area of any boundary shape, including surfaces with interior perforations.

  3. Phonematic translation of Polish texts by the neural network

    International Nuclear Information System (INIS)

    Bielecki, A.; Podolak, I.T.; Wosiek, J.; Majkut, E.

    1996-01-01

    Using the back propagation algorithm, we have trained the feed forward neural network to pronounce Polish language, more precisely to translate Polish text into its phonematic counterpart. Depending on the input coding and network architecture, 88%-95% translation efficiency was achieved. (author)

  4. Tribological approach to study polishing of road surface under traffic

    OpenAIRE

    KANE, Malal; DO, Minh Tan

    2007-01-01

    The polishing phenomenon of road pavements under the vehicle traffic constitutes the main mechanism inherent to the loss of skid resistance over time. A better understanding of this phenomenon would allow an improvement of road safety. This study comprises a review of laboratory test and a model simulating the polishing of road surfaces. The laboratory test uses a polishing machine so called 'Wehner-Schulze' which can reproduce the evolution of the road texture from specimens taken directly f...

  5. 10. national conference of Maria Sklodowska-Curie Polish Radiation Research Society. Abstract of papers

    International Nuclear Information System (INIS)

    1995-01-01

    The 10. national conference of M. Sklodowska-Curie Polish Radiation Research Society has given the opportunity to present novel Polish advisement in all fields of radiation research, e.g. radiation chemistry and biology, radiation processing, environmental study, mathematical modeling and simulation as well as different theoretical study. As a whole it may be treated as a review of actual state of art. The scientific progress since 1992 when the 9. national conference place up to now can be easy assessed on the base of presented material

  6. Comparison of different polishing methods on the surface roughness of microhybrid, microfill, and nanofill composite resins.

    Science.gov (United States)

    Moda, Mariana D; Godas, André Gustavo de L; Fernandes, Juliana C; Suzuki, Thaís Y U; Guedes, Ana Paula A; Briso, André L F; Bedran-Russo, Ana Karina; Dos Santos, Paulo H

    2018-02-01

    The aim of the present study was to evaluate the effect of different polishing methods on the surface roughness of resin-based composites subjected to a thermocycling procedure. A total of 192 specimens were divided into 24 groups, according to composite materials (Filtek Z250, Point 4, Renamel Nanofill, Filtek Supreme Plus, Renamel Microfill, and Premise) and finishing and polishing systems (Sof-Lex Pop On, Super Snap, Flexidisc, and Flexidisc+Enamelize). The specimens were subjected to thermocycling (5000 cycles). Filtek Supreme Plus showed the lowest surface roughness values before thermocycling. After thermocycling, Filtek Supreme Plus continued to have the lowest surface roughness, with a statistically-significant difference for the other materials. After thermocycling, there was no statistically-significant difference among all the polishing techniques studied. The thermocycling was concluded as being able to change composite resins' surface roughness, whereas different finishing and polishing methods did not result in surface roughness changes after thermocycling. © 2017 John Wiley & Sons Australia, Ltd.

  7. Self-reported quality of life in multiple sclerosis patients: preliminary results based on the Polish MS Registry.

    Science.gov (United States)

    Brola, Waldemar; Sobolewski, Piotr; Fudala, Małgorzata; Flaga, Stanisław; Jantarski, Konrad; Ryglewicz, Danuta; Potemkowski, Andrzej

    2016-01-01

    The aim of the study was to analyze selected clinical and sociodemographic factors and their effects on the quality of life (QoL) of multiple sclerosis (MS) patients registered in the Polish MS Registry. This was a cross-sectional observational study performed in Poland. Data on personal and disease-specific factors were collected between January 1, 2011, and December 31, 2015, via the web portal of the Polish MS Registry. All patients were assessed by a physician and asked to complete the Polish language versions of the following self-evaluation questionnaires: EuroQol 5-Dimensions, EuroQoL Visual Analog Scale, and Multiple Sclerosis Impact Scale. Univariate analysis and logistic regression were performed to determine the factors associated with QoL. The study included 2,385 patients (female/male ratio 2.3:1) with clinically confirmed MS (mean age 37.8±9.2 years). Average EuroQol 5-Dimensions index was 0.72±0.24, and the mean EuroQoL Visual Analog Scale score was 64.2±22.8. The average Multiple Sclerosis Impact Scale score was 84.6±11.2 (62.2±18.4 for physical condition and 23.8±7.2 for mental condition). Lower QoL scores were significantly associated with higher level of disability (odds ratio [OR], 0.932; 95% confidence interval [CI], 0.876-0.984; P=0.001), age >40 years (OR, 1.042; 95% CI, 0.924-1.158; P=0.012), longer disease duration (OR, 0.482; 95% CI, 0.224-0.998; P=0.042), and lack of disease modifying therapies (OR, 0.024; 95% CI, 0.160-0.835; P=0.024). No significant associations were found between QoL, sex, type of MS course, patient's education, and marital status. The Polish MS Registry is the first national registry for long-term observation that allows for self-evaluation of the QoL. QoL of Polish patients with MS is significantly lower compared with the rest of the population. The parameter is mainly affected by the level of disability, duration of the disease, and limited access to immunomodulatory therapy.

  8. Forming a health culture of future teachers in Polish educational establishments

    Directory of Open Access Journals (Sweden)

    T.S. IERMAKOVA

    2014-10-01

    Full Text Available Aim: to study the experience of the structure and system of training of future teachers in Polish schools. Material: content analysis of domestic and foreign authors. Used data from the survey of students of Polish universities. Also were used survey results through polish service ANKIETKA. For comparison, a questionnaire survey 35 students of the Faculty of Physical Education (future teachers of physical training and 30 students - the future teachers of elementary school of Ukrainian university. Results: the study of Polish teachers consider health culture of a person as the ability to assess individual and community health needs using in everyday life hygiene and health regulations. There have been some differences among Ukrainian and Polish students in their health and health culture. Among the respondents, Polish students - the future teachers of physical culture, is dominated motives such as the improvement of the physical condition, strengthen self-esteem, as well as improved health. Polish students from other disciplines believe that the most important motive for the adoption of physical activity is a concern for the physical well-being and mental health. The majority of Ukrainian students (future teachers of physical culture believe an important part of building health culture of their direct participation in various sports clubs, as well as the ability to organize physical culture, sports and educational work with students outside the classroom. Ukrainian students (other specialty noted the need to improve health, enhance knowledge in specific subjects humanities and promoting healthy lifestyles. Conclusions: It is recommended to use the experience of preparing students of Polish schools in modern Ukrainian higher education.

  9. Confocal Raman spectrocopy for the analysis of nail polish evidence.

    Science.gov (United States)

    López-López, Maria; Vaz, Joana; García-Ruiz, Carmen

    2015-06-01

    Nail polishes are cosmetic paints that may be susceptible of forensic analysis offering useful information to assist in a crime reconstruction. Although the nail polish appearance could allow a quick visual identification of the sample, this analysis is subjected to the perception and subjective interpretation of the forensic examiner. The chemical analysis of the nail polishes offers great deal of information not subjected to analyst interpretation. Confocal Raman spectroscopy is a well-suited technique for the analysis of paints due to its non-invasive and non-destructive nature and its ability to supply information about the organic and inorganic components of the sample. In this work, 77 regular and gel nail polishes were analyzed with confocal Raman spectroscopy using two laser wavelengths (532 and 780 nm). The sample behavior under the two laser wavelengths and the differences in the spectra taken at different points of the sample were studied for each nail polish. Additionally, the spectra obtained for all the nail polishes were visually compared. The results concluded that the longer laser wavelength prevents sample burning and fluorescence effects; the similarity among the spectra collected within the sample is not directly related with the presence of glitter particles; and 64% of the samples analyzed showed a characteristic spectrum. Additionally, the use of confocal Raman spectroscopy for the forensic analysis of nail polishes evidence in the form of flakes or smudges on different surfaces were studied. The results showed that both types of evidence can be analyzed by the technique. Also, two non-invasive sampling methods for the collection of the evidence from the nails of the suspect or the victim were proposed: (i) to use acetone-soaked cotton swabs to remove the nail varnishes and (ii) to scrape the nail polish from the nail with a blade. Both approaches, each exhibiting advantages and drawbacks in terms of transport and handling were appropriate

  10. Novel ceria-polymer microcomposites for chemical mechanical polishing

    International Nuclear Information System (INIS)

    Coutinho, Cecil A.; Mudhivarthi, Subrahmanya R.; Kumar, Ashok; Gupta, Vinay K.

    2008-01-01

    Abrasive particles are key components in slurries for chemical mechanical polishing (CMP). Since the particle characteristics determine surface quality of wafers during polishing, in this research, novel abrasive composite particles have been developed. These composite particles contain nanoparticles of ceria dispersed within cross-linked, polymeric microspheres such that the average mass fraction of ceria is approximately 50% in the particles. The microspheres are formed by co-polymerization of N-isopropylacrylamide (NIPAM) with 3-(trimethoxysilyl)propyl methacrylate (MPS) and contain interpenetrating (IP) chains of poly(acrylic acid) (PAAc). Infrared spectroscopy, dynamic light scattering, and transmission electron microscopy are employed to characterize the composite particles. Planarization of silicon dioxide wafers is studied on a bench-top CMP tester and the polished surfaces are characterized by ellipsometry, atomic force and optical microscopy. Slurries formed from the composite ceria-polymer particles lead to lower topographical variations and surface roughness than slurries of only ceria nanoparticles even though both slurries achieve similar removal rates of ∼100 nm/min for similar ceria content. Polishing with the novel composite particles gives surfaces devoid of scratches and particle deposition, which makes these particles suitable for the next generation slurries in CMP

  11. Novel ceria-polymer microcomposites for chemical mechanical polishing

    Energy Technology Data Exchange (ETDEWEB)

    Coutinho, Cecil A. [Department of Chemical and Biomedical Engineering, University of South Florida (United States); Mudhivarthi, Subrahmanya R.; Kumar, Ashok [Nanomaterials and Nanomanufacturing Research Center, University of South Florida (United States); Department of Mechanical Engineering, University of South Florida (United States); Gupta, Vinay K. [Department of Chemical and Biomedical Engineering, University of South Florida (United States)], E-mail: vkgupta@eng.usf.edu

    2008-12-30

    Abrasive particles are key components in slurries for chemical mechanical polishing (CMP). Since the particle characteristics determine surface quality of wafers during polishing, in this research, novel abrasive composite particles have been developed. These composite particles contain nanoparticles of ceria dispersed within cross-linked, polymeric microspheres such that the average mass fraction of ceria is approximately 50% in the particles. The microspheres are formed by co-polymerization of N-isopropylacrylamide (NIPAM) with 3-(trimethoxysilyl)propyl methacrylate (MPS) and contain interpenetrating (IP) chains of poly(acrylic acid) (PAAc). Infrared spectroscopy, dynamic light scattering, and transmission electron microscopy are employed to characterize the composite particles. Planarization of silicon dioxide wafers is studied on a bench-top CMP tester and the polished surfaces are characterized by ellipsometry, atomic force and optical microscopy. Slurries formed from the composite ceria-polymer particles lead to lower topographical variations and surface roughness than slurries of only ceria nanoparticles even though both slurries achieve similar removal rates of {approx}100 nm/min for similar ceria content. Polishing with the novel composite particles gives surfaces devoid of scratches and particle deposition, which makes these particles suitable for the next generation slurries in CMP.

  12. Jewish problem in the Polish Communist Party

    Directory of Open Access Journals (Sweden)

    Cimek Henryk

    2016-12-01

    Full Text Available Jews accounted for approx. 8-10% of the population of the Second Republic and in the communist movement (Polish Communist Party and Polish Communist Youth Union the rate was approx, 30%, while in subsequent years it much fluctuated. The percentage of Jews was the highest in the authorities of the party and in the KZMP. This had a negative impact on the position of the KPP on many issues, especially in its relation to the Second Republic.

  13. Borel hierarchies in infinite products of Polish spaces

    Indian Academy of Sciences (India)

    with two product topologies: (i) the product of copies of the Polish topology on X, so that H is again a Polish space and (ii) the product of copies of the discrete topology on X. Define now the Borel hierarchy in the larger topology on H. To do so, we need some notation. An element of H will be denoted by h = (x1,x2,...,xn,.

  14. Validating the psycholinguistic aspects of LITMUS-CLT: Evidence from Polish and Norwegian.

    Science.gov (United States)

    Hansen, Pernille; Simonsen, Hanne Gram; Łuniewska, Magdalena; Haman, Ewa

    2017-01-01

    The novel assessment tool Cross-Linguistic Lexical Tasks (LITMUS-CLT) aims for comparable cross-linguistic assessment of multilingual children's lexical skills by basing each language version on two language-specific variables: age of acquisition (AoA) and complexity index (CI), a novel measure related to phonology, morphology, exposure and etymology. This article investigates the validity of this methodology, asking whether the underlying properties are robust predictors of children's performance. The Polish and Norwegian CLTs were used to assess 32 bilingual Polish-Norwegian, 34 monolingual Norwegian and 36 monolingual Polish children. The effects of AoA and CI were contrasted with frequency in child directed speech (CDS) and imageability, two known predictors of lexical development. AoA was a reliable predictor of performance within all parts of CLT, in contrast to CI. Apart from AoA, only exposure and CDS frequency had a significant effect within both monolinguals and bilinguals. These results indicate that CLT assesses lexical skills in a cross-linguistically comparable manner, but suggest a revision of the CI measure.

  15. Reducing the Edge Chipping for Capillary End Face Grinding and Polishing

    Directory of Open Access Journals (Sweden)

    Hošek J.

    2013-05-01

    Full Text Available This paper presents results of glass capillary end face grinding and polishing by approach that reduces the edge chipping. Brittle materials have natural tendency for edge chipping what leads to beveling the sharp edges. Not beveled sharp edges on glass capillary are important for special applications like surface tension measurement of small liquid samples. We use common grinding and polishing process for capillary end face machining modified with gradual decreasing of grinding load based on the relation of the critical chipping load. Achieved surface roughness is measured using atomic force microscopy (AFM. Capillary inner edge quality is checked both with optical microscopes and electron microscope too. We achieved a non-chipped capillary inner edge with radius down to 100 nm.

  16. Relative performance of soft contact lenses having lathe-cut posterior surfaces with and without additional polishing.

    Science.gov (United States)

    O'Brien, C; Charman, W N

    2006-05-01

    After a preliminary investigation of the effects of tool feed rate and spindle speed on the surface roughness of unhydrated, lathe-cut polymacon surfaces, a laboratory and clinical comparison was made between lenses with identical parameters except that the lathe-cut posterior surface was left unpolished in the "test" lenses and was polished in the "control" lenses. The lenses had moulded anterior surfaces. Laboratory comparisons included surface roughness, lens power and its uniformity across the surface. Double-blind clinical trials over 4-hour (27 subjects) and 1-month (10 subjects) periods, involved one eye of each subject wearing a "test" lens and the other, a "control" lens. No clinically significant differences were found between the results for the test and control lenses. It is concluded that today's lathing technology makes a final polishing stage unnecessary.

  17. Effect of One-Step and Multi-Steps Polishing System on Enamel Roughness

    Directory of Open Access Journals (Sweden)

    Cynthia Sumali

    2013-07-01

    Full Text Available Normal 0 false false false MicrosoftInternetExplorer4 The final procedures of orthodontic treatment are bracket debonding and cleaning the remaining adhesive. Multi-step polishing system is the most common method used. The disadvantage of that system is long working time, because of the stages that should be done. Therefore, dental material manufacturer make an improvement to the system, to reduce several stages into one stage only. This new system is known as one-step polishing system. Objective: To compare the effect of one-step and multi-step polishing system on enamel roughness after orthodontic bracket debonding. Methods: Randomized control trial was conducted included twenty-eight maxillary premolar randomized into two polishing system; one-step OptraPol (Ivoclar, Vivadent and multi-step AstroPol (Ivoclar, Vivadent. After bracket debonding, the remaining adhesive on each group was cleaned by subjective polishing system for ninety seconds using low speed handpiece. The enamel roughness was subjected to profilometer, registering two roughness parameters (Ra, Rz. Independent t-test was used to analyze the mean score of enamel roughness in each group. Results: There was no significant difference of enamel roughness between one-step and multi-step polishing system (p>0.005. Conclusion: One-step polishing system can produce a similar enamel roughness to multi-step polishing system after bracket debonding and adhesive cleaning.DOI: 10.14693/jdi.v19i3.136

  18. The View of Lithuanian Statehood Held by the Polish Underground during 1939-1944

    OpenAIRE

    Bubnys, Arūnas

    2006-01-01

    The article investigates the attitudes of Polish underground actors towards the statehood of Lithuania, its territorial integrity, and Lithuanian-Polish relations during the World War II. The author draws the conclusion that Polish underground political structures expressed a hostile and prejudiced attitude towards Lithuania. All the blame and responsibility for bad mutual relations is put on Lithuania and Lithuanians. Polish underground actors living in Vilnija were extremely hostile towards...

  19. Experimental Study on Layered Ice Bonded Abrasive Polishing of Glass-ceramics

    Directory of Open Access Journals (Sweden)

    Yuli SUN

    2014-12-01

    Full Text Available Layered ice bonded abrasive tools (LIBAT is a new kind of one which not only has the ability of lapping and polishing but also has the effect of self-dressing. In this paper, two kinds of layered ice bonded abrasive tools were designed and manufactured. Experimental studies on layered ice bonded abrasive (LIBA polishing of glass-ceramics were conducted. The results show that the surface topography of glass-ceramics polished by micro α-Al2O3-nano α-Al2O3 LIBAT is better than that of polished by micro α-Al2O3-nano SiO2 LIBAT. The surface roughness Sa of glass-ceramics polished by the two kinds of LIBAT is at the nanometer scale. The reasons of this phenomenon were analyzed. The experimental results illustrate that the LIBAT shows good effect and can be used in production practice. DOI: http://dx.doi.org/10.5755/j01.ms.20.4.6149

  20. Energy savings in Polish buildings

    Energy Technology Data Exchange (ETDEWEB)

    Markel, L.C.; Gula, A.; Reeves, G.

    1995-12-31

    A demonstration of low-cost insulation and weatherization techniques was a part of phase 1 of the Krakow Clean Fossil Fuels and Energy Efficient Project. The objectives were to identify a cost-effective set of measures to reduce energy used for space heating, determine how much energy could be saved, and foster widespread implementation of those measures. The demonstration project focused on 4 11-story buildings in a Krakow housing cooperative. Energy savings of over 20% were obtained. Most important, the procedures and materials implemented in the demonstration project have been adapted to Polish conditions and applied to other housing cooperatives, schools, and hospitals. Additional projects are being planned, in Krakow and other cities, under the direction of FEWE-Krakow, the Polish Energie Cities Network, and Biuro Rozwoju Krakowa.

  1. THE LOW PRICE EFFECT ON THE POLISH MARKET

    Directory of Open Access Journals (Sweden)

    Adam Zaremba

    2014-06-01

    Full Text Available In this paper we investigate the characteristics of the low price anomaly, which implies higher returns to stocks with a low nominal price. The research aims to broaden academic knowledge in a few ways. Firstly, we deliver some fresh evidence on the low price effect from the Polish market. Secondly, we analyze the interdependence between the low price effect and other return factors: value, size and liquidity. Thirdly, we investigate whether the low price effect is present after accounting for liquidity. Fourthly, we check to see whether the low price effect is robust to transaction costs. The paper is composed of three main sections. In the beginning, we review the existing literature. Next, we present the data sources and research methods employed. Finally, we discuss our research findings. Our computations are based on all the stocks listed on the Warsaw Stock Exchange (WSE in the years 2003-2013. We have concluded that the low price effect is present on the Polish market, although the statistical significance is very weak and it disappears entirely after accounting for transaction costs and liquidity.

  2. Experimental Study on Abrasive Waterjet Polishing of Hydraulic Turbine Blades

    International Nuclear Information System (INIS)

    Khakpour, H; Birglenl, L; Tahan, A; Paquet, F

    2014-01-01

    In this paper, an experimental investigation is implemented on the abrasive waterjet polishing technique to evaluate its capability in polishing of surfaces and edges of hydraulic turbine blades. For this, the properties of this method are studied and the main parameters affecting its performance are determined. Then, an experimental test-rig is designed, manufactured and tested to be used in this study. This test-rig can be used to polish linear and planar areas on the surface of the desired workpieces. Considering the number of parameters and their levels, the Taguchi method is used to design the preliminary experiments. All experiments are then implemented according to the Taguchi L 18 orthogonal array. The signal-to-noise ratios obtained from the results of these experiments are used to determine the importance of the controlled polishing parameters on the final quality of the polished surface. The evaluations on these ratios reveal that the nozzle angle and the nozzle diameter have the most important impact on the results. The outcomes of these experiments can be used as a basis to design a more precise set of experiments in which the optimal values of each parameter can be estimated

  3. Experimental Evaluation of the "Polished Panel Optical Receiver" Concept on the Deep Space Network's 34 Meter Antenna

    Science.gov (United States)

    Vilnrotter, Victor A.

    2012-01-01

    The potential development of large aperture ground-based "photon bucket" optical receivers for deep space communications has received considerable attention recently. One approach currently under investigation proposes to polish the aluminum reflector panels of 34-meter microwave antennas to high reflectance, and accept the relatively large spotsize generated by even state-of-the-art polished aluminum panels. Here we describe the experimental effort currently underway at the Deep Space Network (DSN) Goldstone Communications Complex in California, to test and verify these concepts in a realistic operational environment. A custom designed aluminum panel has been mounted on the 34 meter research antenna at Deep-Space Station 13 (DSS-13), and a remotely controlled CCD camera with a large CCD sensor in a weather-proof container has been installed next to the subreflector, pointed directly at the custom polished panel. Using the planet Jupiter as the optical point-source, the point-spread function (PSF) generated by the polished panel has been characterized, the array data processed to determine the center of the intensity distribution, and expected communications performance of the proposed polished panel optical receiver has been evaluated.

  4. Understanding the creation of & reducing surface microroughness during polishing & post-processing of glass optics

    Energy Technology Data Exchange (ETDEWEB)

    Suratwala, Tayyab [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2016-09-22

    In the follow study, we have developed a detailed understanding of the chemical and mechanical microscopic interactions that occur during polishing affecting the resulting surface microroughness of the workpiece. Through targeted experiments and modeling, the quantitative relationships of many important polishing parameters & characteristics affecting surface microroughness have been determined. These behaviors and phenomena have been described by a number of models including: (a) the Ensemble Hertzian Multi Gap (EHMG) model used to predict the removal rate and roughness at atomic force microscope (AFM) scale lengths as a function of various polishing parameters, (b) the Island Distribution Gap (IDG) model used to predict the roughness at larger scale lengths, (c) the Deraguin-Verwey-Landau-Overbeek (DLVO) 3-body electrostatic colloidal model used to predict the interaction of slurry particles at the interface and roughness behavior as a function of pH, and (d) a diffusion/chemical reaction rate model of the incorporation of impurities species into the polishing surface layer (called the Bielby layer). Based on this improved understanding, novel strategies to polish the workpiece have been developed simultaneously leading to both ultrasmooth surfaces and high material removal rates. Some of these strategies include: (a) use of narrow PSD slurries, (b) a novel diamond conditioning recipe of the lap to increase the active contact area between the workpiece and lap without destroying its surface figure, (c) proper control of pH for a given glass type to allow for a uniform distribution of slurry particles at the interface, and (d) increase in applied load just up to the transition between molecular to plastic removal regime for a single slurry particle. These techniques have been incorporated into a previously developed finishing process called Convergent Polishing leading to not just economical finishing process with improved surface figure control, but also

  5. Surface morphology changes of acrylic resins during finishing and polishing phases

    Directory of Open Access Journals (Sweden)

    Glaucio Serra

    2013-12-01

    Full Text Available INTRODUCTION: The finishing and polishing phases are essential to improve smoothness and shining on the surface of acrylic resins used to make removable orthodontic appliances. A good surface finishing reduces roughness, which facilitates hygiene, prevents staining and provides greater comfort to the patients. OBJECTIVE: The aim of this paper was to analyze the changes on surface morphology of acrylic resins during finishing and polishing phases. METHODS: Thirty discs (10 mm in diameter and 5 mm in length were made with acrylic resin and randomly divided into ten groups. The control group did not receive any treatment while the other groups received gradual finishing and polishing. The last group received the entire finishing and polishing procedures. Surface morphology was qualitatively analyzed through scanning electron microscopy and quantitatively analyzed through a laser profilometer test. RESULTS: The acrylic resin surfaces without treatment showed bubbles which were not observed in the subsequent phases. Wearing out with multilaminated burs, finishing with wood sandpaper and finishing with water sandpaper resulted in surfaces with decreasing irregularities. The surfaces that were polished with pumice and with low abrasive liquids showed high superficial smoothness. CONCLUSION: Highly smooth acrylic resin surfaces can be obtained after mechanical finishing and polishing performed with multilaminated burs, wood sandpaper, water sandpaper, pumice and low abrasive liquids.

  6. BALL KINEMATICS IN FINE POLISHING BETWEEN MISALIGNED DISKS IN CONIC OPENINGS

    Directory of Open Access Journals (Sweden)

    K. G. Shchetnikovich

    2009-01-01

    Full Text Available The paper considers ball kinematics in polishing between misaligned disks rotating with equal angular velocity; one of these disks has conic openings. Analytical dependences have been obtained for calculation of an angular velocity and ball sliding speed in the conic opening. It has been revealed that at a constant contact of a ball with elastic coating of a flat disk and absence of vibrations in the technological system an instantaneous axis of ball rotation does not change its position in the moving ball. It has been ascertained that when a ball is in contact with a flat disk having elastic coating with grooves changes in the position of ball rotation instantaneous axis have a regular character and do not depend on vibrations in the technological system.

  7. [Polish forensic entomology--the past, present and future perspectives].

    Science.gov (United States)

    Skowronek, Rafał; Chowaniec, Czesław

    2010-01-01

    Forensic medicine increasingly more often benefits from the achievements of other biological sciences, which may be used in post mortem investigation. One of them is forensic entomology--the science based on the knowledge about biology of insects preying on cadavers. The objective of this article is to present the history of Polish forensic entomology, its present state and possibilities and directions of further development.

  8. Design of an ultraprecision computerized numerical control chemical mechanical polishing machine and its implementation

    Science.gov (United States)

    Zhang, Chupeng; Zhao, Huiying; Zhu, Xueliang; Zhao, Shijie; Jiang, Chunye

    2018-01-01

    The chemical mechanical polishing (CMP) is a key process during the machining route of plane optics. To improve the polishing efficiency and accuracy, a CMP model and machine tool were developed. Based on the Preston equation and the axial run-out error measurement results of the m circles on the tin plate, a CMP model that could simulate the material removal at any point on the workpiece was presented. An analysis of the model indicated that lower axial run-out error led to lower material removal but better polishing efficiency and accuracy. Based on this conclusion, the CMP machine was designed, and the ultraprecision gas hydrostatic guideway and rotary table as well as the Siemens 840Dsl numerical control system were incorporated in the CMP machine. To verify the design principles of machine, a series of detection and machining experiments were conducted. The LK-G5000 laser sensor was employed for detecting the straightness error of the gas hydrostatic guideway and the axial run-out error of the gas hydrostatic rotary table. A 300-mm-diameter optic was chosen for the surface profile machining experiments performed to determine the CMP efficiency and accuracy.

  9. Lay beliefs on Polish oncology in the evaluation of healthy individuals.

    Science.gov (United States)

    Synowiec-Piłat, Małgorzata

    2017-12-23

    Poland is among the countries which reported the highest rates of mortality from cancer. The health behaviours of people are influenced, among other things, by their beliefs about cancer, but their evaluation of oncological institutions and specialists seems also to be of great importance. Objectives. 1. How the respondents evaluate Polish oncology: a) the conditions of treatment in oncology hospitals, b) access to oncological medical services, c) the competence of oncologists. 2. What are the socio-cultural factors of the assessment of Polish oncology? 3. What is the influence of the grade level of the assessment of Polish oncology on the degree of fear and the knowledge about cancer? The study was carried out with a sample of 910 adult residents of Wroclaw in south-west Poland. Quota sampling was used. An interview questionnaire was used as the method. Analysis of the data showed a negative image of Polish oncology, according to the study participants: dissatisfaction with both treatment conditions and with access to medical services. Assessment of Polish oncology depends primarily on education, age and economic situation, as well as 'family history of cancer', and attitude towards doctors. The lower the rating of Polish oncology, the lower the medical knowledge, and the higher the level of fear of cancer. Negative assessment of Polish oncology perpetuates the fear of cancer in society. There is a need for constant improvement of the quality of medical oncology services, for building public trust in physicians, to fight inequalities in health, and to take into account the lay perspectives in developing strategies to combat cancer.

  10. The Profile of a Polish Mutual Fund Manager

    Directory of Open Access Journals (Sweden)

    Dariusz Filip

    2018-05-01

    Full Text Available Aim/purpose - The purpose of this paper is to identify the characteristics that are typical of mutual fund managers. Design/methodology/approach - The study concentrates on a set of socio-demographic data, such as: age, gender, education, experience, and professional qualifications of 336 portfolio managers. The applied research strategy relies on an analysis concerning a set of statistical metrics describing the population under examination. Findings - The average Polish fund manager is a 37-year-old man, a holder of a stock-broker or investment adviser license. He obtained the authorization from the Polish Financial Supervision Authority (KNF a few years after graduating from the Warsaw School of Economics (SGH. He has 11 years' experience in financial markets and 7 years' experience in the mutual fund industry. Research implications/limitations - The identification of managerial characteristics for the purpose of creating a profile of a Polish mutual fund manager will provide an important basis for further surveys and analyses aimed to evaluate the effectiveness of mutual funds. Originality/value/contribution - There are no investigations within the discussed area in Polish studies. Therefore, the identification of the characteristics that are typical of mutual fund managers will make a contribution to the finance literature.(original abstract

  11. Condensate polishing guidelines for PWR and BWR plants

    International Nuclear Information System (INIS)

    Robbins, P.; Crinigan, P.; Graham, B.; Kohlmann, R.; Crosby, C.; Seager, J.; Bosold, R.; Gillen, J.; Kristensen, J.; McKeen, A.; Jones, V.; Sawochka, S.; Siegwarth, D.; Keeling, D.; Polidoroff, T.; Morgan, D.; Rickertsen, D.; Dyson, A.; Mills, W.; Coleman, L.

    1993-03-01

    Under EPRI sponsorship, an industry committee, similar in form and operation to other guideline committees, was created to develop Condensate Polishing Guidelines for both PWR and BWR systems. The committee reviewed the available utility and water treatment industry experience on system design and performance and incorporated operational and state-of-the-art information into document. These guidelines help utilities to optimize present condensate polisher designs as well as be a resource for retrofits or new construction. These guidelines present information that has not previously been presented in any consensus industry document. The committee generated guidelines that cover both deep bed and powdered resin systems as an integral part of the chemistry of PWR and BWR plants. The guidelines are separated into sections that deal with the basis for condensate polishing, system design, resin design and application, data management and performance and management responsibilities

  12. Mechanistic, kinetic, and processing aspects of tungsten chemical mechanical polishing

    Science.gov (United States)

    Stein, David

    This dissertation presents an investigation into tungsten chemical mechanical polishing (CMP). CMP is the industrially predominant unit operation that removes excess tungsten after non-selective chemical vapor deposition (CVD) during sub-micron integrated circuit (IC) manufacture. This work explores the CMP process from process engineering and fundamental mechanistic perspectives. The process engineering study optimized an existing CMP process to address issues of polish pad and wafer carrier life. Polish rates, post-CMP metrology of patterned wafers, electrical test data, and synergy with a thermal endpoint technique were used to determine the optimal process. The oxidation rate of tungsten during CMP is significantly lower than the removal rate under identical conditions. Tungsten polished without inhibition during cathodic potentiostatic control. Hertzian indenter model calculations preclude colloids of the size used in tungsten CMP slurries from indenting the tungsten surface. AFM surface topography maps and TEM images of post-CMP tungsten do not show evidence of plow marks or intergranular fracture. Polish rate is dependent on potassium iodate concentration; process temperature is not. The colloid species significantly affects the polish rate and process temperature. Process temperature is not a predictor of polish rate. A process energy balance indicates that the process temperature is predominantly due to shaft work, and that any heat of reaction evolved during the CMP process is negligible. Friction and adhesion between alumina and tungsten were studied using modified AFM techniques. Friction was constant with potassium iodate concentration, but varied with applied pressure. This corroborates the results from the energy balance. Adhesion between the alumina and the tungsten was proportional to the potassium iodate concentration. A heuristic mechanism, which captures the relationship between polish rate, pressure, velocity, and slurry chemistry, is presented

  13. Evaluation of the effect of polishing on flexural strength of feldspathic porcelain and its comparison with autoglazing and over glazing

    Directory of Open Access Journals (Sweden)

    Jalali H.

    2005-06-01

    Full Text Available Statement of Problem: Ceramic restorations are popular because they can provide the most natural replacement for teeth. However, the brittleness of ceramics is a primary disadvantage. There are various methods for strengthening ceramics such as metal framework, ceramic cores, and surface strengthening mechanisms through glazing, work hardening and ion exchange. Purpose: The purpose of this study was to evaluate the effect of polish on flexural strength of feldspathic porcelain and to compare it with overglaze and autoglaze. Materials and Methods: In this experimental study, one brand of feldspathic porcelain (colorlogic, Ceramco was used and forty bars (25×6×3 mm were prepared according to ISO 6872 and ADA No. 69. The specimens were randomly divided into four groups: overglazed, auto glazed, fine polish and coarse polish (clinic polish. Flexural strength of each specimen was determined by three point bending test (Universal Testing Machine, Zwick 1494, Germany. Collected data was analyzed by ANOVA and post-hoc test with P<0.05 as the limit of significance. Results: A significant difference was observed among the studied groups (P<0.0001. According to post-hoc test, flexural strength in overglaze and fine polish group were significantly stronger than clinic polish and autoglaze group (P<0.001. Although the mean value for overglazed group was higher than fine polish group, this was not statistically significant (P=0.9. Also no statistical difference was seen between autoglazed and coarse polish group (P=0.2. Conclusion: Based on the findings of this study, flexural strength achieved by fine polish (used in this study can compete with overglazing the feldespathic porcelains. It also can be concluded that a final finishing procedure that involves fine polishing may be preferred to simple staining followed by self-glazing.

  14. Wear characteristics of polished and glazed lithium disilicate ceramics opposed to three ceramic materials.

    Science.gov (United States)

    Saiki, Osamu; Koizumi, Hiroyasu; Akazawa, Nobutaka; Kodaira, Akihisa; Okamura, Kentaro; Matsumura, Hideo

    2016-01-01

    This study compared the wear characteristics of a heat-pressed lithium disilicate ceramic material opposed to feldspathic porcelain, a lithium disilicate glass ceramic, and zirconia materials. Ceramic plate specimens were prepared from feldspathic porcelain (EX-3 nA1B), lithium disilicate glass ceramics (e.max CAD MO1/C14), and zirconia (Katana KT 10) and then ground or polished. Rounded rod specimens were fabricated from heat-pressed lithium disilicate glass ceramic (e.max press LT A3) and then glazed or polished. A sliding wear testing apparatus was used for wear testing. Wear of glazed rods was greater than that of polished rods when they were abraded with ground zirconia, ground porcelain, polished porcelain, or polished lithium disilicate ceramics. For both glazed and polished rods, wear was greater when the rods were abraded with ground plates. The findings indicate that application of a polished surface rather than a glazed surface is recommended for single restorations made of heat-pressed lithium disilicate material. In addition, care must be taken when polishing opposing materials, especially those used in occlusal contact areas. (J Oral Sci 58, 117-123, 2016).

  15. An in vivo evaluation of surface polishing of TAN intermedullary nails for ease of removal

    Directory of Open Access Journals (Sweden)

    JS Hayes

    2009-09-01

    Full Text Available Fractures of the tibia and femoral diaphysis are commonly repaired by intra-medullary (IM nailing. Currently IM nails are available in either electropolished stainless steel (SS or in Titanium-Aluminium-Niobium (TAN. After healing, removal of the nails still is common but removal of TAN IM nails often has complications whereas SS IM nails of the same design are less often associated with problems. We believe the differences in removal are due to the ability of TAN to promote strong bone on-growth. We have previously shown in vivo that polishing cortical screws reduces removal torque and the percentage of bone-implant contact. Therefore, we postulate that bony on-growth onto IM nails can be reduced by means of surface polishing, for ease of removal. Here we aim to compare the pull-out forces for removal of standard TAN (TAN-S compared to experimental paste polished TAN (TAN-PP IM nails from a bilateral non-fracture sheep tibia model after 12 months implantation. Histological analysis was also performed to assess tissue on-growth to the nails. We show that polishing significantly reduces (p=0.05 the extraction force required for TAN IM nail removal. This effect in part is attributable to the distinct tissue-material reaction produced. For TAN-S nails direct bone contact was observed while for TAN-PP nails a fibrous tissue interface was noted. Since TAN is preferred over SS for IM nailing due to superior biocompatibility and mechanical properties, we believe these findings could be used to recommend changes to current surface technologies of intramedullary nails to reduce complications seen with nail removal especially in rapidly growing bone in children.

  16. The Use by Large Polish Organizations of Information about CSR Activities in e-Recruitment

    Directory of Open Access Journals (Sweden)

    Kinga Wołodźko

    2017-06-01

    Full Text Available One of the advantages of e-recruitment is broadening the scope of information used to improve the organization’s image; information about the organization’s Corporate Social Responsibilities (CSR is a tool usually recommended for this. The article proves that e-recruitment tools and CSR information are less widely used by the largest Polish companies than the public believes, and that such information could improve employer brand. Two types of data are used: the results of an e-survey concerning the perception of company attractiveness as an employer, based on its CSR activities (a sample of 1054 young Polish professionals and students, and direct observation of 300 websites of the biggest Polish enterprises. Less than 30% of the biggest companies publish information about their CSR activities on their websites, while for about 2/3 of potential candidates, such information would attract them to submit their application to this company (ceteris paribus. No gender difference were found.

  17. Effects of air-polishing powders on color stability of composite resins.

    Science.gov (United States)

    Güler, Ahmet Umut; Duran, Ibrahim; Yücel, Ali Çağin; Ozkan, Pelin

    2011-10-01

    The purpose of this study was to investigate the effect of different air-polishing powders on the color stability of different types of composite resin restorative materials. Thirty cylindrical specimens (15×2 mm) were prepared for each of 7 composite resin restorative materials. All specimens were polished with a series of aluminum oxide polishing discs (Sof-Lex). The prepared specimens of each composite resin were randomly divided into 3 groups of 10 specimens each, for control (Group-C) and two air-powder applications (Group-CP: Cavitron Prophy-Jet; Group-PS: Sirona ProSmile prophylaxis powder). A standard air-polishing unit (ProSmile Handly) was used. All specimens were air-powdered for 10 s at 4-bar pressure. The distance of the spray nosel from the specimens was approximately 10 mm and angulation of the nosel was 90°. Specimens were stored in 100 mL of coffee (Nescafe Classic) for 24 h at 37°C. Color measurement of all specimens was recorded before and after exposure to staining agent with a colorimeter (Minolta CR-300). Color differences (∆E*) between the 2 color measurements (baseline and after 24 h storage) were calculated. The data were analyzed with a 2-way ANOVA test, and mean values were compared by the Tukey HSD test (p.05) and these groups demonstrated the highest ∆E* values. For Filtek Silorane and IntenS, the highest ∆E* values were observed in Group-PS. The lowest ∆E* values for all composite resin groups were observed in Group-C. When comparing the 7 composite resin restorative materials, Aelite Aesthetic Enemal demonstrated significantly less ∆E* values than the other composite resins tested. The highest ∆E* values were observed in Quixfil. Except for Quixfil, all control groups of composite resins that were polished Sof-Lex exhibited clinically acceptable ∆E values (<3.7). Air-polishing applications increased the color change for all composite resin restorative materials tested. Composite restorations may require re-polishing

  18. Effects of air-polishing powders on color stability of composite resins

    Directory of Open Access Journals (Sweden)

    Ahmet Umut Güler

    2011-10-01

    Full Text Available OBJECTIVES: The purpose of this study was to investigate the effect of different air-polishing powders on the color stability of different types of composite resin restorative materials. MATERIAL AND METHODS: Thirty cylindrical specimens (15×2 mm were prepared for each of 7 composite resin restorative materials. All specimens were polished with a series of aluminum oxide polishing discs (Sof-Lex. The prepared specimens of each composite resin were randomly divided into 3 groups of 10 specimens each, for control (Group-C and two air-powder applications (Group-CP: Cavitron Prophy-Jet; Group-PS: Sirona ProSmile prophylaxis powder. A standard air-polishing unit (ProSmile Handly was used. All specimens were air-powdered for 10 s at 4-bar pressure. The distance of the spray nosel from the specimens was approximately 10 mm and angulation of the nosel was 90°. Specimens were stored in 100 mL of coffee (Nescafe Classic for 24 h at 37°C. Color measurement of all specimens was recorded before and after exposure to staining agent with a colorimeter (Minolta CR-300. Color differences (∆E* between the 2 color measurements (baseline and after 24 h storage were calculated. The data were analyzed with a 2-way ANOVA test, and mean values were compared by the Tukey HSD test (p.05 and these groups demonstrated the highest ∆E* values. For Filtek Silorane and IntenS, the highest ∆E* values were observed in Group-PS. The lowest ∆E* values for all composite resin groups were observed in Group-C. When comparing the 7 composite resin restorative materials, Aelite Aesthetic Enemal demonstrated significantly less ∆E* values than the other composite resins tested. The highest ∆E* values were observed in Quixfil. CONCLUSION: Except for Quixfil, all control groups of composite resins that were polished Sof-Lex exhibited clinically acceptable ∆E values (<3.7. Air-polishing applications increased the color change for all composite resin restorative materials

  19. Material removal mechanisms in electrochemical-mechanical polishing of tantalum

    International Nuclear Information System (INIS)

    Gao, F.; Liang, H.

    2009-01-01

    Material removal mechanisms in tantalum chemical-mechanical polishing (CMP) and electrochemical-mechanical polishing (ECMP) were investigated using the single frequency electrochemical impedance spectroscopy (EIS). Through measuring the impedance of the tantalum surface, the single frequency EIS scan made it possible to observe the CMP and ECMP processes in situ. The impedance results presented competing mechanisms of removal and formation of a surface oxide layer of tantalum. Analysis indicated that the thickness of the oxide layer formed during polishing was related to the mechanical power correlated to the friction force and the rotating speed. Furthermore, the rate of growth and removal of the oxide film was a function of the mechanical power. This understanding is beneficial for optimization of CMP and ECMP processes.

  20. [Polish medical ethics in the period 1945-1980].

    Science.gov (United States)

    Wichrowski, Marek

    2003-01-01

    The purpose of this article is o present that main currents of Polish medical ethics in the years 1945-1980, which the author treats as the 'prehistory' of Poland's contemporary bioethics. The author begins by ascertaining the post-war disappearance of two Polish traditions--that of the Warsaw school of philosopher-physicians (known in the West as the Polish School of Philosophy of Medicine) and the Lwów-Warsaw School of Philosophy. The political system that come into being after 1945 was not conducive to the development of medical ethics, but several clear orientations may be discerned in the utterances of physicians, lawyers, moral technologlans and academic philosophers. The analysis begins with Catholic ethics in its archaic 1950s-vintage form. The author then presents the thinking of ethicians Independent of both the Church and Marxism (the milieu of the journal 'Etyka') as well as the deontological writings of physicians.

  1. Polish adaptation of scoliosis research society-22 questionnaire.

    Science.gov (United States)

    Glowacki, Maciej; Misterska, Ewa; Laurentowska, Maria; Mankowski, Przemyslaw

    2009-05-01

    Polish adaptation of the original version of Scoliosis Research Society (SRS) instrument. The transcultural adaptation of SRS-22 and evaluation of its internal consistency. High psychometric value of the SRS-22 Questionnaire has made it an effective evaluation instrument in clinically assessing the functional status of patients with adolescent idiopathic scoliosis. First, 2 translators translated the original version into Polish. Afterwards, the translators identified differences between the translations and produced a consensus version. In the third stage, 2 native English speakers produced back translations. Finally, a team of 2 orthopedic surgeons, translators, a statistician and a psychologist reviewed all the translations to produce a prefinal version. The questionnaire was administered to 60 girls at the age of 16.6, SD 2.0 with adolescent idiopathic scoliosis treated with the Cotrel-Dubousset method in Pediatric Orthopaedics and Traumatology Clinic in Poznań. The internal consistency in the Polish version equaled 0.89 for the overall result and 0.81 for function, 0.81 for pain, 0.80 for mental health, 0.77 for self-image, and 0.69 for treatment satisfaction domains, respectively. The Polish version of SRS-22 is characterized by high internal consistency for all domains and for the overall score, which makes it an evaluation tool after surgical treatment compatible with the original SRS-22.

  2. About communication in social media – an analysis of the Polish Presidency Facebook Profile from a perspective of social communication theory

    Directory of Open Access Journals (Sweden)

    Martyna Tomiczek

    2012-06-01

    Full Text Available The half year of the Polish Presidency on the one hand was a great challenge but from the other hand a tremendous chance to promote the country and society. Activities were taken up in many different areas – using new technologies was an important part of the project, including new media which by the creation of the Polish Presidency Facebook Profile turned out to be an integral part of Presidency. Using the possibility of providing a two-way communication proved that the potential of Facebook was understood. Running the fan page also showed a new face of diplomacy putting it in the area of public diplomacy.

  3. Edge control in CNC polishing, paper 2: simulation and validation of tool influence functions on edges.

    Science.gov (United States)

    Li, Hongyu; Walker, David; Yu, Guoyu; Sayle, Andrew; Messelink, Wilhelmus; Evans, Rob; Beaucamp, Anthony

    2013-01-14

    Edge mis-figure is regarded as one of the most difficult technical issues for manufacturing the segments of extremely large telescopes, which can dominate key aspects of performance. A novel edge-control technique has been developed, based on 'Precessions' polishing technique and for which accurate and stable edge tool influence functions (TIFs) are crucial. In the first paper in this series [D. Walker Opt. Express 20, 19787-19798 (2012)], multiple parameters were experimentally optimized using an extended set of experiments. The first purpose of this new work is to 'short circuit' this procedure through modeling. This also gives the prospect of optimizing local (as distinct from global) polishing for edge mis-figure, now under separate development. This paper presents a model that can predict edge TIFs based on surface-speed profiles and pressure distributions over the polishing spot at the edge of the part, the latter calculated by finite element analysis and verified by direct force measurement. This paper also presents a hybrid-measurement method for edge TIFs to verify the simulation results. Experimental and simulation results show good agreement.

  4. SAFETY PLATFORM OF POLISH TRANSPORT

    Directory of Open Access Journals (Sweden)

    Katarzyna CHRUZIK

    2016-03-01

    Full Text Available Analyzing the level of Polish transport safety culture can be seen that it is now dependent on the culture of safety management within the organization and the requirements and recommendations of law in this field for different modes of transport (air, rail, road, water. Of the four basic types of transport requirements are widely developed in the aviation, rail, and water – the sea. In order to harmonize the requirements for transport safety so it appears advisable to develop a platform for exchange of safety information for different modes of transport, and the development of good practices multimodal offering the possibility of improving Polish transport safety. Described in the publication of the proposal in addition to the alignment platform experience and knowledge in the field of transport safety in all its kinds, it can also be a tool for perfecting new operators of public transport.

  5. Effect finishing and polishing procedures on the surface roughness of IPS Empress 2 ceramic.

    Science.gov (United States)

    Boaventura, Juliana Maria Capelozza; Nishida, Rodrigo; Elossais, André Afif; Lima, Darlon Martins; Reis, José Mauricio Santos Nunes; Campos, Edson Alves; de Andrade, Marcelo Ferrarezi

    2013-01-01

    To evaluate the surface roughness of IPS Empress 2 ceramic when treated with different finishing/polishing protocols. Sixteen specimens of IPS Empress 2 ceramic were made from wax patterns obtained using a stainless steel split mold. The specimens were glazed (Stage 0-S0, control) and divided into two groups. The specimens in Group 1 (G1) were finished/polished with a KG Sorensen diamond point (S1), followed by KG Sorensen siliconized points (S2) and final polishing with diamond polish paste (S3). In Group 2 (G2), the specimens were finished/polished using a Shofu diamond point (S1), as well as Shofu siliconized points (S2) and final polishing was performed using Porcelize paste (S3). After glazing (S0) and following each polishing procedure (S1, S2 or S3), the surface roughness was measured using TALYSURF Series 2. The average surface roughness results were analyzed using ANOVA followed by Tukey post-hoc tests (α = 0.01) RESULTS: All of the polishing procedures yielded higher surface roughness values when compared to the control group (S0). S3 yielded lower surface roughness values when compared to S1 and S2. The proposed treatments negatively affected the surface roughness of the glazed IPS Empress 2 ceramic.

  6. The effects of different polishing techniques on the staining resistance of CAD/CAM resin-ceramics

    Science.gov (United States)

    Demirci, Tevfik; Demirci, Gamze; Sagsoz, Nurdan Polat; Yildiz, Mehmet

    2016-01-01

    PURPOSE The purposes of this study were to evaluate the staining resistance of CAD/CAM resin-ceramics polished with different techniques and to determine the effectiveness of the polishing techniques on resin-ceramics, comparing it with that of a glazed glass-ceramic. MATERIALS AND METHODS Four different CAD/CAM ceramics (feldspathic ceramic: C-CEREC Blocs, (SIRONA) and three resin-ceramics: L-Lava Ultimate, (3M ESPE), E-Enamic, (VITA) and CS-CeraSmart, (GC)) and one light cure composite resin: ME-Clearfil Majesty Esthetic (Kuraray) were used. Only C samples were glazed (gl). Other restorations were divided into four groups according to the polishing technique: nonpolished control group (c), a group polished with light cure liquid polish (Biscover LV BISCO) (bb), a group polished with ceramic polishing kit (Diapol, EVE) (cd), and a group polished with composite polishing kit (Clearfil Twist Dia, Kuraray) (kc). Glazed C samples and the polished samples were further divided into four subgroups and immersed into different solutions: distilled water, tea, coffee, and fermented black carrot juice. Eight samples (8 × 8 × 1 mm) were prepared for each subgroup. According to CIELab system, four color measurements were made: before immersion, immersion after 1 day, after 1 week, and after 1 month. Data were analyzed with repeated measures of ANOVA (α=.05). RESULTS The highest staining resistance was found in gl samples. There was no difference among gl, kc and cd (P>.05). Staining resistance of gl was significantly higher than that of bb (PCeramic and composite polishing kits can be used for resin ceramics as a counterpart of glazing procedure used for full ceramic materials. Liquid polish has limited indications for resin ceramics. PMID:28018558

  7. High voltage performance of a dc photoemission electron gun with centrifugal barrel-polished electrodes

    Science.gov (United States)

    Hernandez-Garcia, C.; Bullard, D.; Hannon, F.; Wang, Y.; Poelker, M.

    2017-09-01

    The design and fabrication of electrodes for direct current (dc) high voltage photoemission electron guns can significantly influence their performance, most notably in terms of maximum achievable bias voltage. Proper electrostatic design of the triple-point junction shield electrode minimizes the risk of electrical breakdown (arcing) along the insulator-cable plug interface, while the electrode shape is designed to maintain work, we describe a centrifugal barrel-polishing technique commonly used for polishing the interior surface of superconducting radio frequency cavities but implemented here for the first time to polish electrodes for dc high voltage photoguns. The technique reduced polishing time from weeks to hours while providing surface roughness comparable to that obtained with diamond-paste polishing and with unprecedented consistency between different electrode samples. We present electrode design considerations and high voltage conditioning results to 360 kV (˜11 MV/m), comparing barrel-polished electrode performance to that of diamond-paste polished electrodes. Tests were performed using a dc high voltage photogun with an inverted-geometry ceramic insulator design.

  8. Polishing and toothbrushing alters the surface roughness and gloss of composite resins.

    Science.gov (United States)

    Kamonkhantikul, Krid; Arksornnukit, Mansuang; Takahashi, Hidekazu; Kanehira, Masafumi; Finger, Werner J

    2014-01-01

    This study aimed to investigate the surface roughness and gloss of composite resins after using two polishing systems and toothbrushing. Six composite resins (Durafill VS, Filtek Z250, Filtek Z350 XT, Kalore, Venus Diamond, and Venus Pearl) were evaluated after polishing with two polishing systems (Sof-Lex, Venus Supra) and after toothbrushing up to 40,000 cycles. Surface roughness (Ra) and gloss were determined for each composite resin group (n=6) after silicon carbide paper grinding, polishing, and toothbrushing. Two-way ANOVA indicated significant differences in both Ra and gloss between measuring stages for the composite resins tested, except Venus Pearl, which showed significant differences only in gloss. After polishing, the Filtek Z350 XT, Kalore, and Venus Diamond showed significant increases in Ra, while all composite resin groups except the Filtek Z350 XT and Durafill VS with Sof-Lex showed increases in gloss. After toothbrushing, all composite resin demonstrated increases in Ra and decreases in gloss.

  9. Polish students at the Académie Julian until 1919

    Directory of Open Access Journals (Sweden)

    Zgórniak, Marek

    2012-08-01

    Full Text Available The subject of the article is the presence of Polish students in the most important private artistic school in Paris in the second half of the 19thcentury. The extant records regarding the atelier for male students made it possible to compile a list of about 165 Polish painters and sculptors studying there in the period from 1880 to 1919. The text presents the criteria used when preparing the list and the diagrams show the fluctuations in registration and the number of Polish artists in particular ateliers in successive years. The observations contained in the article have a summary nature and are illustrated only with selected examples.

  10. Neologisms in bilingual digital dictionaries (on the example of Bulgarian-Polish dictionary

    Directory of Open Access Journals (Sweden)

    Ludmila Dimitrova

    2015-11-01

    Full Text Available Neologisms in bilingual digital dictionaries (on the example of Bulgarian-Polish dictionary The paper discusses the presentation of neologisms in the recent version of the Bulgarian-Polish digital dictionary. We also continue the discussion of important problems related to the classifiers of the verbs as headwords of the digital dictionary entries. We analyze some examples from ongoing experimental version of the Bulgarian-Polish digital dictionary.

  11. [A study of different polishing techniques for amalgams and glass-cermet cement by scanning electron microscope (SEM)].

    Science.gov (United States)

    Kakaboura, A; Vougiouklakis, G; Argiri, G

    1989-01-01

    Finishing and polishing an amalgam restoration, is considered as an important and necessary step of the restorative procedure. Various polishing techniques have been recommended to success a smooth amalgam surface. The aim of this study was to investigate the influence of three different polishing treatments on the marginal integrity and surface smoothness of restorations made of three commercially available amalgams and a glass-cermet cement. The materials used were the amalgams, Amalcap (Vivadent), Dispersalloy (Johnson and Johnson), Duralloy (Degussa) and the glass-cermet Katac-Silver (ESPE). The occlusal surfaces of the restorations were polished by the methods: I) round bur, No4-rubber cup-zinc oxide paste in a small brush, II) round bur No 4-bur-brown, green and super green (Shofu) polishing cups and points successively and III) amalgam polishing bur of 12-blades-smooth amalgam polishing bur. Photographs from unpolished and polished surfaces of the restorations, were taken with scanning electron microscope, to evaluate the polishing techniques. An improvement of marginal integrity and surface smoothness of all amalgam restorations was observed after the specimens had been polished with the three techniques. Method II, included Shofu polishers, proved the best results in comparison to the methods I and III. Polishing of glass-cermet cement was impossible with the examined techniques.

  12. Adaptation of the ORTHO-15 test to Polish women and men.

    Science.gov (United States)

    Brytek-Matera, Anna; Krupa, Magdalena; Poggiogalle, Eleonora; Donini, Lorenzo Maria

    2014-03-01

    There is a lack of Polish tools to measure behaviour related to orthorexia nervosa. The purpose of the present study was to validate the Polish version of the ORTHO-15 test. 341 women and 59 men (N = 400) were recruited, whose age ranged from 18 to 35 years. Mean age was 23.09 years (SD = 3.14) in women and 24.02 years (SD = 3.87) in men. The ORTHO-15 test and the EAT-26 test were used in the present study. Factor analysis (exploratory and confirmatory analysis) was used in the present study. Exploratory factor analysis performed on the initial 15 items from a random split half of the study group suggested a nine-item two-factor structure. Confirmatory factor analysis performed on the second randomly selected half of the study group supported this two-factor structure of the ORTHO-15 test. The Polish version of the ORTHO-15 test demonstrated an internal consistency (Cronbach's alpha) equal to 0.644. The Polish version of the ORTHO-15 test is a reliable and valuable instrument to assess obsessive attitudes related to healthy and proper nutrition in Polish female and male population.

  13. Gloss and surface roughness produced by polishing kits on resin composites.

    Science.gov (United States)

    Sadidzadeh, Ramtin; Cakir, Deniz; Ramp, Lance C; Burgess, John O

    2010-08-01

    To compare in vitro the surface roughness (Ra) and gloss (G) produced by three conventional and one experimental polishing kits on four resin composites. 24 discs were prepared (d = 12 mm, t = 4 mm) for each resin composite: Filtek Supreme Plus Body/A2 (FSB), Yellow Translucent (FST), Heliomolar/A2 (HM), and EsthetX/A2 (EX) following the manufacturers' instructions. They were finished with 320 grit silicon carbide paper for 80 seconds each. Polishing systems: Sof-Lex, Enhance-Pogo, Astropol and Experimental Discs/EXL-695, were applied following manufacturers' instructions. Each specimen was ultrasonically cleaned with distilled water and dried. Gloss and Ra were measured with a small area glossmeter (Novo-curve) and non-contact profilometer (Proscan 2000) following ISO 4288, respectively. The results were evaluated by two-way ANOVA followed by separate one-way ANOVA and Tukey/Kramer test (P = 0.05). There was a significant interaction of surface roughness and gloss between the composites and polishing systems (P gloss was obtained for FSB composite polished with the Experimental kit. The experimental polishing system produced smoothest surfaces (P gloss (P < 0.05).

  14. [Adhesion of oral microorganisms on dental porcelain polished and glazed].

    Science.gov (United States)

    Wang, Yi-ning; Wen, Guo-jiang; Shi, Bin; Pan, Xin-hua

    2003-09-01

    This study compared the roughness of porcelain polished or glazed surfaces and the adhesion of oral streptococcus mutans to them in vitro. 30 porcelain samples were made. Porcelain samples in group A were polished with diamond paste. Porcelain samples were glazed in group B and were polished with Al2O3 (240#) bur in group C. Their roughness values were measured by profilometer. Standardized cell suspensions were incubated with test samples for one hour at 37 degrees C, then retained cells were counted by image analysis (percentage area of a microscopic field covered by cells). Roughness values of group A, B, C were respectively (0.1987 +/- 0.057) microm, (0.1990 +/- 0.091) microm, (0.4260 +/- 0.174) microm. There was no significantly difference between group A and group B. The roughness samples in group C were significantly rougher than that in the other groups. The amount of retained cells in group A, group B, group C was respectively (15.92 +/- 4.37)%, (16.39 +/- 6.31)% and (41.48 +/- 12.1)%. There was no significant difference between the cell adhesion on porcelain surface glazed and polished, but more bacteria adhered on the porcelain surface in group C. Porcelain surface polished treatment was clinically acceptable compared with its glazed. They all exhibited the least amount of bacteria adhesion. The more porcelain surface was rough, the more bacteria adhered on it.

  15. Time-dependent protection of ground and polished Cu using graphene film

    International Nuclear Information System (INIS)

    Dong, Yuhua; Liu, Qingqing; Zhou, Qiong

    2015-01-01

    Highlights: • Graphene was deposited on polished and ground Cu sheets by CVD. • Graphene films provide better protection to polished Cu for short time. • Multilayer graphene films provide better protection for short time. - Abstract: Graphene was deposited on Cu sheets with different morphologies by chemical vapor deposition. Scanning electron microscopy (SEM) analysis indicated that the morphology of the Cu sheet affected the graphene film properties. Electrochemical impedance spectroscopy measurements showed that the graphene film did not effectively protect Cu against corrosion because of prolonged exposure to ionic environments (3.5 wt.% NaCl solution). For short durations, graphene films provided better protection to polished Cu than ground Cu. Prolonged electrolyte immersion of graphene-coated Cu samples showed that the graphene film from the polished Cu surface was detached more easily than that from ground Cu

  16. Effect of polishing time and pressure on quality characteristics of rice grain

    International Nuclear Information System (INIS)

    Karim, M.A.

    2002-01-01

    Since totally unpolished rice has poor cooking quality, a certain amount of polishing for the removal of the outermost bran layers is essential. To determine the best combination of polishing time and pressure with respect to obtaining optimum quantity/quality, the brown rice (head grains) of a rice strain 4048 was milled for 10, 20, 30 and 40 seconds against 1,2,3,4 and 5 lbs pressure in a Burrows McGill Polisher No. 3. the quality characteristics studied were: total milling recovery, head rice recovery, whiteness of milled rice, protein content of grain, grain length and bursting upon cooking. Both, total milled rice and head rice reduced while the whiteness of milled rice improved significantly with an increase in polishing time and or pressure. The protein content decreased gradually with an increase in time and pressure of milling but the effect was non significant. The cooked grain length increased with an increase in milling degree up to a stage, beyond which it declined. The increase in bursting of grains on cooking. A combination of 20 seconds polishing time with 2 lbs pressure or 20 seconds polishing time with 3 lbs pressure was found to be the best combination of obtaining the optimum quality as well as the quality of milled rice.(author)

  17. How to Investigate Polish Clusters’ Attractiveness for Inward FDI? Addressing Ambiguity Problem

    Directory of Open Access Journals (Sweden)

    Götz Marta

    2014-09-01

    Full Text Available The aim of the paper is to assess whether, and in what fashion, managers of Polish cluster organizations perceive the attractiveness of foreign direct investment in Polish clusters This research is exploratory and qualitative in nature. The complex nature of Polish clusters, which can benefit from and be competitively challenged by, FDI are identified and a conceptual framework for assessing that nature is proposed; specifically, research using the grounded theory method (GTM.

  18. A new strategy for the restructuring of Polish energy sector

    International Nuclear Information System (INIS)

    Kozlowski, R.H.; Tallat, J.

    2006-01-01

    In accordance with strategic planning in the military, the leader (in this case the Minister of Economy) is responsible for setting goals, finding the right people to accomplish these goals (those working in the energy sector), analysing the current situation (state of the energy sector) and evaluating available resources (conventional and renewable energy resources). In terms of economic planning (this term is proper for an economy that sets numerous laws and quotas), the goal is to get the Polish economy out of economic slump, which is the result of seventeen years of improper government practices, into a state of prosperity corresponding to no less than the European average. The only way of accomplishing this goal of high economic growth and catching up with highly-developed countries is to develop local inexpensive energy resources. This study focuses on the potential to develop abundant Polish geothermal resources as well as natural gas based co-generation. (author)

  19. Robotic Automation in Computer Controlled Polishing

    Science.gov (United States)

    Walker, D. D.; Yu, G.; Bibby, M.; Dunn, C.; Li, H.; Wu, Y.; Zheng, X.; Zhang, P.

    2016-02-01

    We first present a Case Study - the manufacture of 1.4 m prototype mirror-segments for the European Extremely Large Telescope, undertaken by the National Facility for Ultra Precision Surfaces, at the OpTIC facility operated by Glyndwr University. Scale-up to serial-manufacture demands delivery of a 1.4 m off-axis aspheric hexagonal segment with surface precision robots and computer numerically controlled ('CNC') polishing machines for optical fabrication. The objective was not to assess which is superior. Rather, it was to understand for the first time their complementary properties, leading us to operate them together as a unit, integrated in hardware and software. Three key areas are reported. First is the novel use of robots to automate currently-manual operations on CNC polishing machines, to improve work-throughput, mitigate risk of damage to parts, and reduce dependence on highly-skilled staff. Second is the use of robots to pre-process surfaces prior to CNC polishing, to reduce total process time. The third draws the threads together, describing our vision of the automated manufacturing cell, where the operator interacts at cell rather than machine level. This promises to deliver a step-change in end-to-end manufacturing times and costs, compared with either platform used on its own or, indeed, the state-of-the-art used elsewhere.

  20. Multi-objective optimization of circular magnetic abrasive polishing of SUS304 and Cu materials

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, NhatTan; Yin, ShaoHui; Chen, FengJun; Yin, HanFeng [Hunan University, Changsha (China); Pham, VanThoan [Hanoi University, Hanoi (Viet Nam); Tran, TrongNhan [Industrial University of Ho Chi Minh City, HCM City (Viet Nam)

    2016-06-15

    In this paper, a Multi-objective particle swarm optimization algorithm (MOPSOA) is applied to optimize surface roughness of workpiece after circular magnetic abrasive polishing. The most important parameters of polishing model, namely current, gap between pole and workpiece, spindle speed and polishing time, were considered in this approach. The objective functions of the MOPSOA depend on the quality of surface roughness of polishing materials with both simultaneous surfaces (Ra1, Ra2), which are determined by means of experimental approach with the aid of circular magnetic field. Finally, the effectiveness of the approach is compared between the optimal results with the experimental data. The results show that the new proposed polishing optimization method is more feasible.

  1. A REVIEW ON SEWAGE TREATMENT AND POLISHING USING MOVING BED BIOREACTOR (MBBR

    Directory of Open Access Journals (Sweden)

    JAMAL ALI KAWAN

    2016-08-01

    Full Text Available Effluent treatment and polishing using moving bed bioreactors (MBBRs are advanced technique in biological treatment operations become increasing widely and popular use all over the world to treat various types of effluents with very different operating status. It is a combination of two separate processes suspended and attached growth systems for the treatment in order to minimize the concentrations of the contaminated parameters at the required level for reuse or final destination. The MBBR has been proved to be effective in great removing biochemical oxygen demand (BOD and chemical oxygen demand (COD with nutrients (N and P from the effluent stream simultaneously. It provides additional capacity of wastewater treatment technology with high treatment efficiency; low capital, operational, maintenance and replacement cost; single reliable and robust operation procedure. This process can be used for new sewage treatment works or for modifying (upgrading existing wastewater treatment plants as it is efficient, compact and easy to operate. The efficiency of MBBR depends on the filling percent of biofilm carriers to be provided inside the tank, surface area of the biocarrier, diffused aeration supply and the organic loading. The aim of this paper is reviewing the sewage treatment and polishing using moving bed bioreactor MBB technology as an alternative and successful method. It presents the advantages of the MBBR compared to conventional waste water treatment. The review also includes many relevant researches carried out at the laboratory andpilot scales plants that could improve these systems by enhancing performance and reducing costs.

  2. Etymology in the Polish Academy of Sciences Great Dictionary of ...

    African Journals Online (AJOL)

    The article offers an insight into etymological information provided in the Polish Academy of Sciences Great Dictionary of Polish (Pol. Wielki słownik języka polskiego PAN, WSJP PAN). The dictionary and the rules of producing the entries are briefly presented. These rules influence the way of working on etymology within ...

  3. Antecedents of Accelerated Internationalisation of Polish and Czech Small and Medium-Sized Enterprises

    Directory of Open Access Journals (Sweden)

    Izabela Kowalik

    2017-09-01

    Full Text Available Objective: The study goal was the investigation of relationships between accelerated internationalisation descriptors and antecedent firm- and entrepreneur-related factors in Polish and Czech SMEs. Research Design & Methods: In order to compare Polish and Czech companies, the data collected in two independent studies were used. The study of 233 Polish companies was conducted with the use of CATI method in 2014; 108 Czech companies were surveyed in 2013/2014 with the use of CAWI method. In both samples half of the surveyed SME-exporters were conforming to the accelerated internationalisation criteria. Findings: In both samples the “global vision” elements and company internationalisation scale and speed were interrelated. In the Polish companies this relationship was moderated by company size. There was the lack of strong positive relationships between innovativeness and internationalisation speed and scale in both samples. Implications & Recommendations:The managerial mindset has a decisive role for accelerated internationalisation in both Czech and Polish SMEs. The innovativeness of offering is not indispensable for fast foreign expansion. Larger companies may expand abroad faster if they have internationally oriented managers. Contribution & Value Added:This work addresses a research gap concerning idiosyncrasies of internationalisation antecedents in Polish and Czech enterprises. As the results show, there is no common internationalisation pattern for Polish and Czech SMEs.

  4. Effect of grinding and polishing on roughness and strength of zirconia.

    Science.gov (United States)

    Khayat, Waad; Chebib, Najla; Finkelman, Matthew; Khayat, Samer; Ali, Ala

    2018-04-01

    The clinical applications of high-translucency monolithic zirconia restorations have increased. Chairside and laboratory adjustments of these restorations are inevitable, which may lead to increased roughness and reduced strength. The influence of grinding and polishing on high-translucency zirconia has not been investigated. The purpose of this in vitro study was to compare the roughness averages (Ra) of ground and polished zirconia and investigate whether roughness influenced strength after aging. High-translucency zirconia disks were milled, sintered, and glazed according to the manufacturer's recommendations. Specimens were randomized to 4 equal groups. Group G received only grinding; groups GPB and GPK received grinding and polishing with different polishing systems; and group C was the (unground) control group. All specimens were subjected to hydrothermal aging in an autoclave at 134°C at 200 kPa for 3 hours. Roughness average was measured using a 3-dimensional (3D) optical interferometer at baseline (Ra1), after grinding and polishing (Ra2), and after aging (Ra3). A biaxial flexural strength test was performed at a rate of 0.5 mm/min. Statistical analyses were performed using commercial software (α=.05). Group G showed a significantly higher mean value of Ra3 (1.96 ±0.32 μm) than polished and glazed groups (P.05). Compared with baseline, the roughness of groups G and GPB increased significantly after surface treatments and after aging, whereas aging did not significantly influence the roughness of groups GPK or C. Group G showed the lowest mean value of biaxial flexural strength (879.01 ±157.99 MPa), and the highest value was achieved by group C (962.40 ±113.84 MPa); no statistically significant differences were found among groups (P>.05). Additionally, no significant correlation was detected between the Ra and flexural strength of zirconia. Grinding increased the roughness of zirconia restorations, whereas proper polishing resulted in smoothness

  5. Surface changes of metal alloys and high-strength ceramics after ultrasonic scaling and intraoral polishing.

    Science.gov (United States)

    Yoon, Hyung-In; Noh, Hyo-Mi; Park, Eun-Jin

    2017-06-01

    This study was to evaluate the effect of repeated ultrasonic scaling and surface polishing with intraoral polishing kits on the surface roughness of three different restorative materials. A total of 15 identical discs were fabricated with three different materials. The ultrasonic scaling was conducted for 20 seconds on the test surfaces. Subsequently, a multi-step polishing with recommended intraoral polishing kit was performed for 30 seconds. The 3D profiler and scanning electron microscopy were used to investigate surface integrity before scaling (pristine), after scaling, and after surface polishing for each material. Non-parametric Friedman and Wilcoxon signed rank sum tests were employed to statistically evaluate surface roughness changes of the pristine, scaled, and polished specimens. The level of significance was set at 0.05. Surface roughness values before scaling (pristine), after scaling, and polishing of the metal alloys were 3.02±0.34 µm, 2.44±0.72 µm, and 3.49±0.72 µm, respectively. Surface roughness of lithium disilicate increased from 2.35±1.05 µm (pristine) to 28.54±9.64 µm (scaling), and further increased after polishing (56.66±9.12 µm, P scaling (from 1.65±0.42 µm to 101.37±18.75 µm), while its surface roughness decreased after polishing (29.57±18.86 µm, P scaling significantly changed the surface integrities of lithium disilicate and zirconia. Surface polishing with multi-step intraoral kit after repeated scaling was only effective for the zirconia, while it was not for lithium disilicate.

  6. Polish Qualitative Sociology. Insight into the future of postdisciplinary research

    OpenAIRE

    Konecki, Krzysztof

    2014-01-01

    The paper desctibes the definitions of following concepts: multidisiplinarity, interdisciplinarity, transdysciplinarity, postdisciplinarity. MOreover it discuss the meanings of a concept of discipline. It describes the place of the Polish qualitative sociology in the context of postdisciplinary research. The main question of paper is: Does the POlish Qualitative Sociology has entered the postdisciplinary phase of research? DGS, UL Krzysztof Konecki

  7. Surface roughness of microparticulated and nanoparticulated composites after finishing and polishing procedures

    Directory of Open Access Journals (Sweden)

    Rosemary Arai Sadami Shinkai

    Full Text Available Objective: To evaluated the surface roughness of one microparticulate resin composite Durafill (Heraeus Kulzer Weihrheim, Germany andfour nanoparticulate resins 4 Seasons (Ivoclar Vivadent, Schaan, Liechtenstein Esthet x (Dentsply, Milford, DE, USA, Point 4 and Supreme (3M-ESPE, Dental Products,St. Paul, MN, USA. Methods: After finishing with a diamond bur point (F, and polishing with silicone points of gray, green and pink color Politipit (Ivoclar Vivadent,Schaan, Liechtenstein, four stages of completion were performed, simulating one of finishing and three of polishing a resin restoration. Ten samples of each composite resin were measured for surface roughness with surface profilometer (Mitutoyo Corporation, Tokyo, Japan after each of finishing and polishing sequence.Results: The results showed that nanoparticulate and microparticulate resins presented a significant difference in the surface roughness values, in all finishing and polishing steps. Conclusion: Of the the nanoparticulate resins 4 Seasons (Ivoclar Vivadent, Schaan, Liechtenstein, Point 4 (Kerr CO, Orange, CA, USA, and also microparticulate Durafill (Heraeus Kulzer Weihrheim, Germany presented significantly lower surface roughness values after completing all the finishing and polishing stages.

  8. Materials of 47. Scientific Assembly of Polish Chemical Society and Association of Engineers and Technicians of Chemical Industry. Volume 3

    International Nuclear Information System (INIS)

    2004-01-01

    Scientific assemblies of Polish Chemical Society are the most important chemical meeting organised annually in Poland. Basic as well as application studies in all chemical branches have been extensively presented. The next subjects was proposed as scientific sessions and symposia topics: organic chemistry, inorganic chemistry, physical chemistry, analytical chemistry, technology and chemical engineering, polymer chemistry, solid state chemistry, catalysis, biological chemistry, chemistry and technology of coal, environmental protection, didactics of chemistry, history of chemistry, young scientist forum

  9. Materials of yearly scientific assembly of Polish Chemical Society, Lublin 1995

    International Nuclear Information System (INIS)

    1995-01-01

    Scientific conference accompanied the yearly assembly of Polish Chemical Society has bee held in 1995 in Lublin. The general review on scientific progress of the most important field of chemistry in Poland have been presented. The conference has been divided into 16 plenary sessions and 3 microsymposia. The sessions topics as follows: S-1 - physical chemistry; S-2 - physicochemistry of the surface; S-3 - catalysis; S-4 - chemical technology; S-5 - inorganic chemistry; S-6 - coordination chemistry; S-7 -crystallochemistry; S-8 - electrochemistry; S-9 - organic chemistry; S-10 -chemistry of polymers; S-11 - chemistry in medicine; S-12 - chemistry and technology of solid state materials; S-13 - young scientists forum; S-14 -didactics in chemistry; S-15 - theoretical chemistry; S-16 - environmental protection. Also the 3 microsymposia have been organized. It was: M-1 -chemistry of heteroorganic compounds; M-2 chromatography; M-3 - plasma chemistry

  10. Effect of coarse grinding, overglazing, and 2 polishing systems on the flexural strength, surface roughness, and phase transformation of yttrium-stabilized tetragonal zirconia.

    Science.gov (United States)

    Mohammadi-Bassir, Mahshid; Babasafari, Mansoure; Rezvani, Mohammad Bagher; Jamshidian, Mahdieh

    2017-11-01

    Limited information is available for the best polishing systems and methods to obtain minimally abrasive monolithic zirconia surfaces after contouring and occlusal adjustment. The purpose of this in vitro study was to evaluate the effect of grinding and polishing procedures on the flexural strength, quality and quantity of surface roughness, topography, and phase transformation of a zirconia-based ceramic system. Fifty bar-shaped yttrium-stabilized zirconium oxide specimens (20×4×2 mm) were cut from presintered zirconia blanks. The specimens were wet-polished and divided into 5 groups (n=10): standard polishing without any surface treatment (group SP); grinding with a diamond rotary instrument (group Gr); grinding with a diamond rotary instrument (DRI) and over-glazing (group Gl); grinding with a DRI and polishing with an intraoral zirconia polishing kit in a 2-step procedure (group BP); and grinding with a DRI and polishing with an intraoral polishing kit (group MP). The Ra and Rz surface roughness values (μm) were measured with a profilometer. One specimen of each group was subjected to x-ray diffraction (XRD) to estimate the monoclinic phase and evaluated using scanning electron microscopy (SEM) for surface topography. The 3-point flexural strength of the bars was measured in a universal testing machine at a crosshead speed of 0.5 mm/min. The mean flexural strength (MPa) and surface roughness values were calculated, and the results were analyzed using 1-way ANOVA and Tukey honest significant difference tests (α=.05). Statistically significant differences were noted among the experimental groups for Ra, Rz (Pgrinding that were smoothened by glazing and polishing. Roughness increased significantly after grinding, but polishing and glazing similarly diminished it. Glazing after grinding significantly decreased the flexural strength values, but polishing did not. Copyright © 2017 Editorial Council for the Journal of Prosthetic Dentistry. Published by Elsevier

  11. Effect of chemical disinfectant on the transverse strength of heat-polymerized acrylic resins subjected to mechanical and chemical polishing: an in vitro study.

    Science.gov (United States)

    Sharan, Smitha; Kavitha, H R; Konde, Harish; Kalahasti, Deepthi

    2012-05-01

    To evaluate the effect of chemical disinfectant on the transverse strength of heat-polymerized acrylic resins subjected to mechanical and chemical polishing. A total of 256 rectangular specimens (65 * 10 * 3 mm) 128 per resin (Lucitone-199 and Acralyn-H) were fabricated. One side of each specimen was not polished and the other was either mechanically (n = 96) or chemically (n = 96) polished and immersed for 10, 30 and 60 minutes in 2% alkaline glutaraldehyde. Mechanically polished (n = 32) and chemically polished (n = 32) control specimens were immersed only in distilled water. The transverse strength (N/mm(2)) was tested for failure in a universal testing machine, at a crosshead speed of 5 mm/min. Data were statistically analyzed using 2-way ANOVA and Student t-test. chemical polishing resulted in significantly lower transverse strength values than mechanical polishing. Lucitone- 199 resin demonstrated the highest overall transverse strength for the materials tested. Heat-polymerized acrylic resins either mechanically or chemically polished, did not demonstrate significant changes in transverse strength during immersion in the disinfecting solution tested, regardless of time of immersion. Lucitone-199 resin demonstrated the highest overall transverse strength for the materials tested and significantly stronger than Acralyn-H with either type of polishing following immersion in 2% alkaline glutaraldehyde. There is a concern that immersion in chemical solutions often used for cleansing and disinfection of prostheses may undermine the strength and structure of denture base resins. In this study it was observed that, the transverse strength of samples of Lucitone-199 was higher than that of the samples of Acralyn-H. The chances of fracture of the denture made of Lucitone-199 are less than that of dentures made of Acralyn-H. The chemically polished dentures may be more prone to fracture than mechanically polished dentures.

  12. Effect of one-step polishing system on the color stability of nanocomposites.

    Science.gov (United States)

    Alawjali, S S; Lui, J L

    2013-08-01

    This study was to compare the effect of three different one-step polishing systems on the color stability of three different types of nanocomposites after immersion in coffee for one day and seven days and determine which nanocomposite material has the best color stability following polishing with each of the one-step polishing system. The nanocomposites tested were Tetric EvoCeram, Grandio and Herculite Précis. A total of 120 discs (40/nanocomposite, 8mm×2mm) were fabricated. Ten specimens for each nanocomposite cured under Mylar strips served as the control. The other specimens were polished with OptraPol, OneGloss and Occlubrush immersed in coffee (Nescafé) up to seven days. Color measurements were made with a spectrophotometer at baseline and after one and seven days. Two way repeated measure ANOVA, two way ANOVA and Bonferroni tests were used for statistical analyses (P<0.05). The immersion time was a significant factor in the discoloration of the nanocomposites. The effect of three one-step polishing systems on the color stability was also significant. The color change values of the materials cured against Mylar strips were the greatest. The lowest mean color change values were from the Occlubrush polished groups. The effect of the three different types of nanocomposite on the color change was significant. The highest color change values were with Tetric EvoCeram groups. The lowest color change values were with Herculite Précis groups. The color change of nanocomposite resins is affected by the type of composite, polishing procedure and the period of immersion in the staining agent. Copyright © 2012 Elsevier Ltd. All rights reserved.

  13. Recovery of rare earths from used polishes by chemical vapor transport process

    International Nuclear Information System (INIS)

    Ozaki, T.; Machida, K.; Adachi, G.

    1998-01-01

    Full text: Rare earth oxide polishes are widely used in the glass industry because of its mechanical and chemical polishing action. The Japanese glass industry use 2000 tons per year of the polishes, and a large portion of them are thrown away after their polishing lifetime. A dry recovery processes for rare earths from the used polishes have been investigated by using a chemical vapor transport method via the formation of vapor complexes RAl n Cl 3+3n (R = rare earths). A flow type reactor with various temperature gradients was employed for the process. The used polishes were mixed with active carbon, and chlorinated with N 2 + Cl 2 mixture at 1273 K. Aluminium oxide were also chlorinated at lower temperature and the resulting AlCl 3 were introduced to the reactor. The rare earth chlorides and AlCl 3 were converted to the vapor complexes. These were driven along the temperature gradient, decomposed according to the reverse reaction, and regenerated RCl 3 . About 90 % of the used polish were chlorinated after 2 hours. Rare earth chlorides, AlCl 3 , and FeCl 3 were fully transported after 82 hours. The rare earth chlorides were mainly condensed over the temperature range 1263-903 K. On the other hand, AlCl 3 and FeCl 3 were deposited at the temperature range below 413 K. CaCl 2 and SrCl 2 were hardly transported and remained in the residue. When the temperature gradient with the smaller slope was used, mutual separation efficiencies among the rare earths was improved. The highest CeCl 3 purity of 80% was obtained in the process

  14. Assisted reproductive medicine in Poland --Fertility and Sterility Special Interest Group of the Polish Gynaecological Society (SPiN PTG) 2012 report.

    Science.gov (United States)

    Janicka, Anna; Spaczyńiski, Robert Z; Kurzawa, Rafał

    2015-12-01

    The aim of this report is to present data concerning results and complications related to infertility treatment using assisted reproductive technology (ART) and insemination (IUI) in Poland in 2012. The report was prepared by the Fertility and Sterility Special Interest Group of the Polish Gynaecological Society (SPiN PTG), based on individual data provided by fertility clinics. Reporting was voluntary data were not subject to external verification. The report presents the availability and the structure of infertility treatment services, the number of procedures performed, their effectiveness and the most common complications. In 2014, 34 Polish fertility clinics provided information to the report, presenting data from 2012. The total number of reported treatment cycles using ART was 17,116 (incl. 10,714 fresh IVF/ICSI) and 14,727 IUI. The clinical pregnancy rate per cycle was on average 33.7% for fresh IVF/ICSI and 13.3% for IUI. The prevalence of multiple births was 15.7% and 6.2%, in case of IVF/ICSI and IUI methods respectively The most frequent complication in the course of treatment using ART was ovarian hyperstimulation syndrome (OHSS)--severe OHSS constituted 0.68% of all stimulated cycles. The SPiN PTG report shows the average effectiveness and safety of ART and was the only proof of responsibility and due diligence of fertility centres in Poland. However, due to the lack of a central register of fertility clinics, facultative participation in the report as well as incomplete information on pregnancy and delivery rate, the collected data do not reflect the full spectrum of Polish reproductive medicine.

  15. Evaluation of one-step micro polishers for residual resin removal after debonding on fluorosed teeth

    Directory of Open Access Journals (Sweden)

    Padmalatha Challa

    2014-01-01

    Full Text Available Aim and objectives: To evaluate the effectiveness of one step micro polishers for residual resin removal on fluorosed teeth using scanning electron microscope (SEM. Methods and Material: 55 teeth with mild to moderate fluorosis were selected with five teeth as control. Metal brackets were bonded onto 50 teeth which were divided into 5 groups. The finishing and polishing methods which were tested include tungsten carbide burs (TCB, multistep finishing system (Sof-Lex, one step polishers (PoGo and combination of TCB with multistep and one step polishing systems. After resin removal, all the samples were examined under SEM for assessment of the enamel surface. Results: The enamel surface was closest to untouched enamel in samples finished with the PoGo one step polishers followed by Sof-Lex multistep finishing system. However, they took the longest time to finish. TCB required the shortest time for residual resin removal. Conclusions: All polishing systems produce a certain degree of damage to the enamel surface with the smoothest surface being produced by one step polishers on fluorosed teeth.

  16. Degradation rates of alachlor, atrazine and bentazone in the profiles of Polish Luvisols

    Science.gov (United States)

    Paszko, Tadeusz; Muszyński, Paweł

    2017-07-01

    The degradation rates of three herbicides (alachlor, atrazine, and bentazone) were examined according to OECD Guideline 307 in three profiles of grey-brown podzolic soil (Luvisol) in a laboratory experiment. The aim of the experiment was to determine herbicide degradation parameters and their relationships with soil properties. Degradation processes were effectively described by a first-order model. However, in some cases, the best results were produced by bi-phasic kinetics (hockey-stick and bi-exponential model). The degradation rates of the tested herbicides at 25°C and 40% maximum water holding capacity, established based on half-life values in the Ap horizon, increased in the following order: atrazine (32.6-42.8 days) herbicide degradation rates and the organic matter content of soils. The depth-dependent degradation factors obtained for topsoil and two subsoil horizons (1: 0.42: 0.11 - based on average values, and 1: 0.31: 0.12 - based on median values) reflect the degradation abilities of Polish Luvisols. The values noted are soil-specific; therefore, they can also be applied to other pesticides in Polish Luvisols.

  17. 24 February 2012 - Polish Vice-Rectors AGH University of Science and Technology Cracow visiting the ATLAS underground experimental area with Former Collaboration Spokesperson P. Jenni; Vice Rector J. Lis signs a collaboration agreement with A. Unnervik; Adviser T. Kurtyka and A. Siemko accompany the delegation throughout.

    CERN Multimedia

    Jean-Claude Gadmer

    2012-01-01

    24 February 2012 - Polish Vice-Rectors AGH University of Science and Technology Cracow visiting the ATLAS underground experimental area with Former Collaboration Spokesperson P. Jenni; Vice Rector J. Lis signs a collaboration agreement with A. Unnervik; Adviser T. Kurtyka and A. Siemko accompany the delegation throughout.

  18. The selection of the American-Polish joint venture projects for the Krakow program and results of the efforts to date

    Energy Technology Data Exchange (ETDEWEB)

    Gyorke, D.F.; Butcher, T.A.

    1995-12-31

    To implement the Krakow Clean Fossil Fuels and Energy Efficiency Program, eight U.S. firms were selected by the U.S. Department of Energy to market their technologies to reduce pollution from low emission sources in Krakow. The eight U.S. firms were selected by a competitive solicitation that required the proposing firms to themselves provide funding to match or exceed the funding provided by the Program. These U.S. firms and their Polish partner companies have begun sales and cooperative work efforts in Krakow, and some have already made initial equipment installations with measurable performance improvements. Following their efforts as part of the Program, these U.S.-Polish joint ventures will market their technologies and achieve the associated environmental benefits elsewhere in Poland and Eastern and Central Europe. As part of the Krakow Program a spreadsheet model was developed to compare technological options for supplying heat to the city by calculation and comparing the heating costs and associated emissions reduction for each option. Comparison of options is made on the basis of the user cost-per-metric ton of equivalent emissions reduction. For all options considered in the Krakow Program, this cost parameter has ranged from -$1469 (best) to $2650 (worst). The costs for technologies associated with the eight projects in the Krakow Program are at the lower end of this range placing these technologies among the most cost effective solutions to the pollution problems from the low emission sources.

  19. Preparation of cerium oxide for lens polishing powder

    International Nuclear Information System (INIS)

    Injarean, Uthaiwan; Rodthongkom, Chouvana; Pichestapong, Pipat; Changkrurng, Kalaya

    2003-10-01

    Cerium is an element of rare earth group which is called lanthanide series. It is found in the ores like monazite and xenotime which are the tailings of tin mines in the south of Thailand. Cerium is used mostly as lens polishing powder besides the applications in other industries. In this study, cerium extracted from monazite ore breakdown by alkaline process was used for the preparation of lens polishing powder. Cerium hydroxide cake from the process was dissolved by hydrochloric acid and precipitated with oxalic acid. The oxalate precipitate then was calcined to oxide powder and its particle size was measured. Precipitation conditions being studied are concentration of feed cerium chloride solution, concentration of oxalic acid used for the precipitation, concentration of sulfuric acid used as precipitation control reagent and the precipitation temperature. It was found that the appropriate precipitation conditions yielded the fine oxide powder with particle size about 12μm. The oxide powder can be ground to the size of 1-3 μm which is suitable for making lens polishing powder

  20. Nuclear science and technology in Polish People's Republic

    International Nuclear Information System (INIS)

    Bijak, J.; Valis, L.; Vincel, G.; Goffman, P.; Deptula, C.; Krepsztul, H.; Michalik, E.; Siekierski, S.; Soltan, A.; Pomczak, M.; Chwaszczewski, S.; Szterk, L.; Szulc, P.

    1979-01-01

    History of development of nuclear science and technology in Poland is stated. List is given of main directions of activity of scientific establishments in the field of nuclear science and technology, as well as of directions of international co-operation. Directions are stated of fundamental researches in the field of atomic nuclear physics and elementary particles physics, and lists given of scientific research institutes engaged in these investigations. The results are presented of main works in the field of nuclear reactor physics, as well as list is given of installations being used in these investigations. Program is stated of development of nuclear energetics in Poland. The results are given of investigations in the field of processing of different types of uranium ores with low content of uranium, as well as directions are stated of works in the field of nuclear fuel technology. The results of works are stated on transuranium elements production; fission products separation; production of radionuclides and labelled compounds, in particular, for application in nuclear medicine. Description is given of directions of activity in the field of production of nuclear instrumentation and of application of isotopes and radiation in the people's economy. Main methods are given of application of isotopes and radiations in industry for control and for production of materials with new properties or for influence on the course of technological processes [ru

  1. Long Distance Solidarity: Polish Public Opinion and the Boer War 1899–1902

    Directory of Open Access Journals (Sweden)

    Szlanta Piotr

    2017-06-01

    Full Text Available The bloody conflict which was taking place in South Africa in the years 1899-1902 was followed with a great interest by Polish public opinion. Its greatest part strongly sympathized with the Boer republics. Their burgers were idealized and presented by the Polish press as brave fighters for independence, who dared to stand up against the world empire to defend their rights while Great Britain was attributed full responsibility for the outbreak of the war. For many Poles the Boers personified the general idea of freedom fighters and symbolized all suppressed nations. Their sad fate seemed to be quite similar to the Polish one and this similarity was the main source of sympathy toward defenders of the Transvaal and Free Orange State. Voices of few Polish intellectuals, who called for a more objective and not so emotional view on the war, could not change the pro-Boers stance of the greatest part of Polish public opinion.

  2. Efficacy of polishing kits on the surface roughness and color stability ...

    African Journals Online (AJOL)

    Objective: Different polishing kits may have different effects on the composite resin surfaces. The aim of this study was to evaluate the surface roughness and color stability of four different composites which was applied different polishing technique. Materials and Methods: Thirty specimens were made for each composite ...

  3. Polish and European SST Assets: the Solaris-Panoptes Global Network of Robotic Telescopes and the Borowiec Satellite Laser Ranging System

    Science.gov (United States)

    Konacki, M.; Lejba, P.; Sybilski, P.; Pawłaszek, R.; Kozłowski, S.; Suchodolski, T.; Litwicki, M.; Kolb, U.; Burwitz, V.; Baader, J.; Groot, P.; Bloemen, S.; Ratajczak, M.; Helminiak, K.; Borek, R.; Chodosiewicz, P.

    2016-09-01

    We present the assets of the Nicolaus Copernicus Astronomical Center, Space Research Center (both of the Polish Academy of Sciences), two Polish companies Sybilla Technologies, Cillium Engineering and a non-profit research foundation Baltic Institute of Technology. These assets are enhanced by telescopes belonging to The Open University (UK), the Max Planck Institute for Extraterrestrial Physics and in the future the Radboud University. They consist of the Solaris-Panoptes global network of optical robotic telescopes and the satellite laser ranging station in Borowiec, Poland. These assets will contribute to the Polish and European Space Surveillance and Tracking (SST) program. The Solaris component is composed of four autonomous observatories in the Southern Hemisphere. Solaris nodes are located at the South African Astronomical Observatory (Solaris-1 and Solaris-2), Siding Spring Observatory, Australia (Solaris-3) and Complejo Astronomico El Leoncito, Argentina (Solaris-4). They are equipped with 0.5-m telescopes on ASA DDM-160 direct drive mounts, Andor iKon-L cameras and housed in 3.5-m Baader Planetarium (BP) clamshell domes. The Panoptes component is a network of telescopes operated by software from Sybilla Technologies. It currently consists of 4 telescopes at three locations, all on GM4000 mounts. One 0.36-m (Panoptes-COAST, STL- 1001E camera, 3.5 BP clamshell dome) and one 0.43-m (Panoptes-PIRATE, FLI 16803 camera, 4.5-m BP clamshell dome, with planned exchange to 0.63-m) telescope are located at the Teide Observatory (Tenerfie, Canary Islands), one 0.6-m (Panoptes-COG, SBIG STX 16803 camera, 4.5-m BP clamshell dome) telescope in Garching, Germany and one 0.5-m (Panoptes-MAM, FLI 16803 camera, 4.5-m BP slit dome) in Mammendorf, Germany. Panoptes-COAST and Panoptes-PIRATE are owned by The Open University (UK). Panoptes-COG is owned by the Max Planck Institute

  4. A cross-national comparison of the transition out of elite sport of Swiss, Danish and Polish athletes

    DEFF Research Database (Denmark)

    Küttel, Andreas; Boyle, Eleanor; Christensen, Mette Krogh

    2018-01-01

    The main purpose of this study was to compare the transition out of elite sport of former Swiss, Danish, and Polish athletes in terms of (a) preconditions of retirement, (b) the transitional and adaptation period, and (c) consequences of the transition. The sample consisted of 231 Swiss, 86 Danish...... athletes relocated mainly in jobs connected to the sport and thus rated their previous sport network as more important for finding an occupation compared to Swiss and Danish athletes. Based on our results and in line with findings of previous cross-national studies, we emphasize that it is important...... from the three countries. Athletes differed significantly in their educational level, their athletic identity, and their working experience while active in the sport. Swiss athletes experienced the least distress during the transition, while Polish athletes reported the highest difficulties. Polish...

  5. Summary of Synthetic Lap Polishing Experiments at LLNL, FY95

    International Nuclear Information System (INIS)

    Nichols, M A

    2001-01-01

    The purpose of this research was to support the optics finishing development work for the NIF, the National Ignition Facility. One of the major expenses for the construction of NIF is the cost of finishing of the large aperture optics. One way to significantly reduce the cost of the project is to develop processes to reduce the amount of time necessary to polish the more than 3,000 amplifier slabs. These slabs are rectangular with an aspect ratio of more than twenty to one and are made of a very temperature sensitive glass, Nd doped phosphate laser glass. As a result of this effort, we could potentially reduce the time necessary to polish each surface of an amplifier from 20-30 hours of run time to under an hour to achieve the same removal and still maintain a flatness of between one to three waves concave figure. We also feel confident that we can polish rectangular thermally sensitive glass flat by use of temperature control of the polishing platen, pad curvature, slurry concentration with temperature control, pad rotation, and pressure; although further, larger scale experiments are necessary to gain sufficient confidence that such a procedure could be successfully fielded

  6. New surface modification method of bio-titanium alloy by EB polishing

    International Nuclear Information System (INIS)

    Okada, Akira; Uno, Yoshiyuki; Iio, Atsuo; Fujiwara, Kunihiko; Doi, Kenji

    2008-01-01

    A new surface modification for bio-titanium alloy products by electron beam (EB) polishing is proposed. In this EB polishing method, high energy density EB can be irradiated without concentrating the beam. Therefore, large-area EB with a maximum diameter of 60 mm can be used for instantaneously melting or evaporating metal surface. Experimental results made it clear that surface characteristics, such as repellency, corrosion resistance and coefficient of friction could be improved simultaneously with the surface smoothing in a few minutes under a proper condition. Therefore, EB polishing method has a possibility of high efficient surface smoothing and surface modification process for bio-titanium alloy. (author)

  7. Family Enterprises in Polish Consumers' Mindset in the Light of International Tendencies

    Directory of Open Access Journals (Sweden)

    Joanna Bednarz

    2017-07-01

    Full Text Available Aim/purpose - The main aim of the paper is to fill in the gap in the existing literature as well as to propose a set of specific family enterprises' (FE attributes concerning certain socioeconomic conditions in Poland. The objectives of the article are interrelated with two theses. H1 assumes that in current literature there is a little attention paid to the demand side of the market, particularly to the individual consumers (including young buyers and their attitudes toward FEs. H2 indicates that the perception of Polish FEs changes considerably reflecting the international trends. Design/methodology/approach - The authors studied a consolidated profound review of recent international and Polish publications on FEs. The expert interviews and in-depth individual interviews were conducted. Both empirical studies brought a preliminary insight into overall consumer perception of the FEs in Poland. Findings - For several years Polish buyers have been dynamically changing their mindset, breaking the stereotype of FEs' owners. Nowadays, tradition and quality are two attributes which are associated closely with Polish FEs. Customers indicate that FEs are trustworthy, responsible, solid and dependable. They also highlight the ethnocentric attitude toward these companies - Polishness. All these attributes are evidently appreciated. FEs are correlated with traditional industries and products, especially with groceries, cosmetics, clothes, shoes, jewelry, furniture, windows and doors. Research implications/limitations - The identity of FEs is not always communicated properly. Consumers often cannot ascertain a provenance of their offer as many FEs do not emphasize their family identity. Additionally, on the Polish market, consumers are occasionally misled considering the family ownership of a business. Originality/value/contribution - The studies indicate a set of attributes typical of Polish FEs underpinning their strong identity which should be

  8. Study on combined polishing process of aspherical aluminum mirrors

    Science.gov (United States)

    Deng, Jinqiu; Peng, Xiaoqiang; Hu, Hao; Ge, Kunpeng

    2017-10-01

    The aluminum mirrors are widely used as important optical components in some vital fields such as astronomical instruments or military installations due to the unique advantages of aluminum alloy. In order to simplify the structure of optical system and improve the performance at the same time, it's a tendency that the optics will be designed to aspherical or other freeform shapes. However, the traditional techniques are falling to have adequate abilities to deal with the increasing demands of aluminum optics. For example, the tool marks leaved on the surface from single point diamond turning (SPDT) has obvious adverse effects to optical system. The deterministic and sub-aperture polishing process has showed the potential to fabricate complex shapes over the few years. But it's still recognized as a problem to polish bare aluminum directly because of its soft surface and active chemical characteristics. Therefore, a combination of magnetorheological finishing (MRF) and small tool polishing (STP) is applied to obtain high performance aluminum optics in this paper. A paraboloid aluminum mirror was polished with this proposed method, and the results showed that the surface texture of the sample is restrained from rms 0.409λ (λ=632.8nm) to rms 0.025λ, and the surface roughness is improved from average Ra 6 7nm to Ra 3 4nm.

  9. Heavy Metal Contamination of Popular Nail Polishes in Iran

    Directory of Open Access Journals (Sweden)

    Golnaz Karimi

    2015-06-01

    Full Text Available Background: Toxic and hazardous heavy metals like arsenic, lead, mercury, zinc, chromium and iron are found in a variety of personal care products, e.g. lipstick, whitening toothpaste, eyeliner and nail color. The nails absorb the pigments of nail polishes and vaporized or soluble metals can easily pass it. The goal of this survey was to assess whether the different colors of nail polishes comply with maximum concentrations of heavy metals in the EPA’s guidelines. Methods: 150 samples of different popular brands of nail polishes in 13 colors (yellow, beige, silver, pink, white, violet, brown, golden, green, black, colorless, red and blue were randomly purchased from beauty shops in Tehran City, Iran, in 2014. Microwave digestion EPA method 3051 was used by a microwave oven to determine the amount of 5 heavy metals; Nickel, Chromium, Lead, Arsenic and Cadmium. One-way ANOVA, Two-way ANOVA, hierarchical cluster, and principal component analyses were applied by Statistica 7.0 software. Results: The concentrations of chrome, lead, nickel and arsenic showed significant differences between the colors (p<0.05. In all studied samples, the level of cadmium was beyond the safe maximum permissible limit (MPS, but no significance difference in the cadmium content was identified. Conclusion: Due to the high concentrations of toxic metals in many brands of nail polishes, meticulous quality control is recommended for these beauty products.

  10. Holocaust Education in Polish Public Schools: Between Remembrance and Civic Education

    Science.gov (United States)

    Milerski, Boguslaw

    2010-01-01

    This article analyzes the historical and political context of Holocaust education, and its implementation in Polish schools. Perceptions of the Holocaust continue to change, influenced by Poland's social and political situation. The Polish historical context is quite specific; it includes the long history of Poles and Jews as neighbors, with local…

  11. Polish Standard of the Technical Safety of Transmission Gas Pipelines

    International Nuclear Information System (INIS)

    Tkacz, A.J.

    2006-01-01

    The document is presenting the idea of the CNGI Norm called The Polish Standard of the Technical Safety of Transmission Gas Pipelines and the way of using it by companies associated in the Chamber of the Natural Gas Industry in the business activity. It will be applied to improve the quality and reliability of gas transmission after full opening of Polish natural gas market. (author)

  12. Materials of All-Polish Symposium Nuclear Techniques in Industry, Medicine, Agriculture and Environment Protection

    International Nuclear Information System (INIS)

    1998-01-01

    The All-Polish Symposium Nuclear Techniques in Industry, Medicine, Agriculture and Environment Protection is cyclic (in 3 year period) conference being a broad review of state of art and development of all nuclear branches cooperated with industry and other branches of national economy and public life in Poland. The conference has been divided in one plenary session and 8 problem sessions as follow: Radiation technologies of flue gas purification; radiation technologies in food and cosmetic industry; application of nuclear techniques in environmental studies and earth science; radiometric methods in material engineering; isotope tracers in biological studies and medical diagnostics; radiometric industrial measuring systems; radiation detectors and device; nuclear methods in cultural objects examination. The poster section as well as small exhibition have been also organised

  13. Reusing Ceramic Tile Polishing Waste In Paving Block Manufacturing

    OpenAIRE

    Giordano Penteado; Carmenlucia Santos; de Carvalho; Eduardo Viviani; Cecche Lintz; Rosa Cristina

    2016-01-01

    Ceramic companies worldwide produce large amounts of polishing tile waste, which are piled up in the open air or disposed of in landfills. These wastes have such characteristics that make them potential substitutes for cement and sand in the manufacturing of concrete products. This paper investigates the use of ceramic tile polishing waste as a partial substitute for cement and sand in the manufacturer of concrete paving blocks. A concrete mix design was defined and then the sand was replaced...

  14. Development of polishing methods for Chemical Vapor Deposited Silicon Carbide mirrors for synchrotron radiation

    International Nuclear Information System (INIS)

    Fuchs, B.A.; Brown, N.J.

    1987-01-01

    Material properties of Chemical Vapor Deposited Silicon Carbide (CVD SiC) make it ideal for use in mirrors for synchrotron radiation experiments. We developed methods to grind and polish flat samples of CVD SiC down to measured surface roughness values as low as 1.1 Angstroms rms. We describe the processing details, including observations we made during trial runs with alternative processing recipes. We conclude that pitch polishing using progressively finer diamond abrasive, augmented with specific water based lubricants and additives, produces superior results. Using methods based on these results, a cylindrical and a toroidal mirror, each about 100 x 300mm, were respectively finished by Continental Optical and Frank Cooke, Incorporated. WYCO Interferometry shows these mirrors have surface roughness less than 5.7 Angstroms rms. These mirrors have been installed on the LLNL/UC X-ray Calibration and Standards Facility at the Stanford Synthrotron Radiation Laboratory

  15. Research on Grinding and Polishing Force Control of Compliant Flange

    Directory of Open Access Journals (Sweden)

    Li Chuang

    2015-01-01

    Full Text Available The automation of the grinding and polishing process is important to improve the production efficiency of the part surfaces. In this paper, a new compliant flange mounted on the end of the industrial robots for the robotic grinding and polishing force control is developed. With regard to the non-linear and time-varying problem of the contact force, the mathematical model of the new force control system was presented and the fuzzy PID control strategy was used to drive the proposed system. Especially, the air spring and electric proportional valve is studied to establish the model. The simulation results show that the selected control strategy has quick response and good robustness, which satisfies the real-time requirements of the grinding and polishing force control in processing.

  16. Satisfaction of Polish Bank Employees with Incentive Systems: An Empirical Approach

    Directory of Open Access Journals (Sweden)

    Kaźmierczyk J.

    2017-10-01

    Full Text Available This article considers employee satisfaction with incentive systems. Strict requirements for the efficiency of human resource management (HRM and internal public relations make it a major management problem. The importance of this study lies in the fact that incentives affect all stages of HRM. This work reports the results of an empirical survey of Polish bank employees, which was aimed to establish to what degree incentive systems met employees’ expectations, and to analyse the impact of such incentives on employee satisfaction. The authors advance the thesis that male bank employees are more satisfied with existing incentive systems than their female counterparts. The discussion is supported by empirical research based on a sample of 1, 920 Polish bank employees. The article is divided into five sections. The introductory section is followed by Section Two, which reviews employee motivation and analyses the above thesis. Section Three describes data sources and research methods, and Section Four presents findings and conclusions.

  17. Cleansing orthodontic brackets with air-powder polishing: effects on frictional force and degree of debris.

    Science.gov (United States)

    Leite, Brisa Dos Santos; Fagundes, Nathalia Carolina Fernandes; Aragón, Mônica Lídia Castro; Dias, Carmen Gilda Barroso Tavares; Normando, David

    2016-01-01

    Debris buildup on the bracket-wire interface can influence friction. Cleansing brackets with air-powder polishing can affect this process. The aim of this study was to evaluate the frictional force and amount of debris remaining on orthodontic brackets subjected to prophylaxis with air-powder polishing. Frictional force and debris buildup on the surface of 28 premolar brackets were evaluated after orthodontic treatment. In one hemiarch, each bracket was subjected to air-powder polishing (n = 14) for five seconds, while the contralateral hemiarch (n = 14) served as control. Mechanical friction tests were performed and images of the polished bracket surfaces and control surfaces were examined. Wilcoxon test was applied for comparative analysis between hemiarches at p Brackets that had been cleaned with air-powder polishing showed lower friction (median = 1.27 N) when compared to the control surfaces (median = 4.52 N) (p orthodontic brackets with air-powder polishing significantly reduces debris buildup on the bracket surface while decreasing friction levels observed during sliding mechanics.

  18. FOOD SAFETY SYSTEMS’ FUNCTIONING IN POLISH NETWORKS OF GROCERY STORES

    Directory of Open Access Journals (Sweden)

    Paweł NOWICKI

    2013-04-01

    Full Text Available This article shows the way how the food safety systems are functioning in Polish networks of grocery stores. The study was conducted in the fourth quarter of 2012 in the south‐eastern Poland. There were chosen three organizations that meet certain conditions: medium size Polish grocery network without participation of foreign capital and up to 30 retail locations within the group. Studies based on a case study model. The research found that regular and unannounced inspections carried out to each store's, impact on increasing safety of food offered and the verification of GHP requirements on the headquarters level has a significant impact on the safety of food offered as well as on the knowledge and behavior of employees. In addition it was found that the verification and analysis of food safety management system is an effective tool for improving food safety. It was also shown that in most cases there is no formal crisis management system for the food protection in the surveyed companies and employees are only informed of what to do in case of an emergency.

  19. Polish credit institutions within the European Union: a cross-country survey

    Directory of Open Access Journals (Sweden)

    Mariusz Dybał

    2011-06-01

    Full Text Available The paper presents an analysis of the Polish financial system, with regard to both the number of financial institutions and their assets over the last two decades. Data on the structure of household assets are also presented. According to the study, banks are the most important institutions in the Polish financial system. Analyzed in order to compare Polish credit institutions with all other members of the European Union were total assets of credit institutions, assets of the 25 largest banks in the European Union, as well as in Central and East Europe, share of the five largest credit institutions in total assets (CR5, asset share of credit institutions with majority foreign equity ownership, number of credit institutions, number of local units (branches, number of residents per credit institution local unit, number of employees of credit institutions, assets of credit institutions per employee and GDP per capita in PPS. The data demonstrate that over the past decade Polish credit institutions have largely strengthened their position within the European Union.

  20. The Factor Structure of the Polish-Language Version of the Romantic Beliefs Scale

    Directory of Open Access Journals (Sweden)

    Katarzyna Adamczyk

    2014-07-01

    Full Text Available The aim of the present study was to investigate the factor structure and psychometric properties of the Polish adaptation of Romantic Beliefs Scale (RBS; Sprecher & Metts, 1989. In a sample of 414 Polish university students aged 19-25 (227 females and 187 males, the factor structure of the original English version was confirmed for the four subscales: Love Finds a Way, One and Only, Idealization, and Love at First Sight. The present study provides evidence that the 15-item version of the Polish adaptation of the (RBS possesses a factor structure and psychometric properties comparable to the English-language version of RBS. It was shown to be a reliable self-report measure for romantic beliefs within a sample of the Polish population. The development of a new Polish measure of romantic beliefs has provided further validation for the RBS, and provided evidence in support of the ideology of romanticism in various populations, and indicated the importance of differentiating between the different types of romantic beliefs.

  1. [Comparison of surface roughness of nanofilled and microhybrid composite resins after curing and polishing].

    Science.gov (United States)

    Jiang, Hong; Lv, Da; Liu, Kailei; Zhang, Weisheng; Yao, Yao; Liao, Chuhong

    2014-05-01

    To compare the surface roughness of nanofilled dental composite resin and microhybrid composite resins after curing and polishing. A nanofilled composite (Z350) and 4 microhybrid composites (P60, Z250, Spectrum, and AP-X) were fabricated from the lateral to the medial layers to prepare 8 mm×8 mm×5 mm cubical specimens. The 4 lateral surfaces of each specimens were polished with abrasive disks (Super-Snap). Profilometer was used to test the mean surface roughness (Ra) after polishing. P60 had the lowest Ra (0.125∓0.030 µm) followed by Z250 and Spectrum. The Ra of Z350 (0.205∓0.052 µm) was greater than that of the other 3 resins, and AP-X had the roughest surfaces. Under scanning electron microscope, the polished faces of P60 resin were characterized by minor, evenly distributed particles with fewer scratches; the polished faces of Z350 presented with scratches where defects of the filling material could be seen. The nanofilled composite Z350 has smooth surface after polishing by abrasive disks, but its smoothness remains inferior to that of other micro-hybrid composite resins.

  2. Laser polishing for topography management of accelerator cavity surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Liang [College of William and Mary, Williamsburg, VA (United States); Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Klopf, J. Mike [College of William and Mary, Williamsburg, VA (United States); Reece, Charles E. [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Kelley, Michael J. [College of William and Mary, Williamsburg, VA (United States); Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States)

    2015-07-20

    Improved energy efficiency and reduced cost are greatly desired for advanced particle accelerators. Progress toward both can be made by atomically-smoothing the interior surface of the niobium superconducting radiofrequency accelerator cavities at the machine's heart. Laser polishing offers a green alternative to the present aggressive chemical processes. We found parameters suitable for polishing niobium in all surface states expected for cavity production. As a result, careful measurement of the resulting surface chemistry revealed a modest thinning of the surface oxide layer, but no contamination.

  3. Original article Validation of the Polish version of the Collective Self-Esteem Scale

    Directory of Open Access Journals (Sweden)

    Róża Bazińska

    2015-07-01

    Full Text Available Background The aim of this article is to present research on the validity and reliability of the Collective Self-Esteem Scale (CSES for the Polish population. The CSES is a measure of individual differences in collective self-esteem, understood as the global evaluation of one’s own social (collective identity. Participants and procedure Participants from two samples (n = 466 and n = 1,009 completed a paper-pencil set of questionnaires which contained the CSES and the Rosenberg Self-Esteem Scale (RSES, and subsets of participants completed scales related to a sense of belonging, well-being and psychological distress (anxiety and depression. Results Like the original version, the Polish version of the CSES comprises 16 items which form the four dimensions of collective self-esteem: Public collective self-esteem, Private collective self-esteem, Membership esteem and Importance of Identity. The results confirm the four-factor structure of the Polish version of the CSES, support the whole Polish version of the CSES as well as its subscales, which represent satisfactory reliability and stability, and provide initial evidence of construct validity. Conclusions As the results of the study indicate, the Polish version of the CSES is a valid and reliable self-report measure for assessing the global self-esteem derived from membership of a group and has proved to be useful in the Polish context.

  4. Production of Copper as a Complex Mining and Metallurgical Processing System in Polish Copper Mines of the Legnica-Glogów Copper Belt

    Science.gov (United States)

    Malewski, Jerzy

    2017-12-01

    Geological and technological conditions of Cu production in the Polish copper mines of the Legnica-Glogów Copper Belt are presented. Cu production is recognized as a technological fractal consisting of subsystems for mineral exploration, ore extraction and processing, and metallurgical treatment. Qualitative and quantitative models of these operations have been proposed, including estimation of their costs of process production. Numerical calculations of such a system have been performed, which allow optimize the system parameters according to economic criteria under variable Cu mineralization in the ore deposit. The main objective of the study is to develop forecasting tool for analysis of production efficiency in domestic copper mines based on available sources of information. Such analyses are primarily of social value, allowing for assessment of the efficiency of management of local mineral resources in the light of current technological and market constraints. At the same time, this is a concept of the system analysis method to manage deposit exploitation on operational and strategic level.

  5. Development of on the machine process monitoring and control strategy in Robot Assisted Polishing

    DEFF Research Database (Denmark)

    Pilny, Lukas; Bissacco, Giuliano

    2015-01-01

    Robot Assisted Polishing (RAP) can be used to polish rotational symmetric and free form components achieving surface roughness down to Sa 10 nm. With the aim to enable unmanned robust and cost efficient application of RAP, this paper presents the development of a monitoring and control strategy....... The multisensory approach was experimentally validated in polishing with bonded abrasives demonstrating its suitability for process control in RAP....

  6. The prevalence of burnout syndrome in Polish anaesthesiologists.

    Science.gov (United States)

    Misiołek, Aleksandra; Gorczyca, Piotr; Misiołek, Hanna; Gierlotka, Zbigniew

    2014-01-01

    Burnout syndrome is a psychological response to chronic work-related stress characterized by low enthusiasm towards the job, high psychological exhaustion, indolence and guilt. Being a medical doctor, both in Poland and in other countries, is one of the most stressful occupations and anaesthesiology is considered one of the most stressful specializations, which justify carrying out of the study on Polish anaesthesiologists. The aim of the study was to determine the prevalence of burnout syndrome in Polish anaesthesiologists Non-randomized cross-sectional study was carried out and data were gathered through a self-administrated questionnaire. The sample consisted of 373 Polish anaesthesiologists, 57.6% were women and 42.4% were men. A 66% response rate was achieved. The Burnout Syndrome was measured by the Spanish Burnout Inventory. The prevalence burnout risk was almost 70%. The percentage of participants who indicated very high levels of burnout was 18%; 5.9% of whom fell into profile 2 considered to be clinical. The instrument applied was reliable with Cronbach's alphas exceeding 0.70. The sample is characterized by high burnout risk with 5.9% of clinical cases. Participation in prevention programs is recommended.

  7. Validation of the Polish version of the Multidimensional Body-Self Relations Questionnaire among women.

    Science.gov (United States)

    Brytek-Matera, Anna; Rogoza, Radosław

    2015-03-01

    In Poland, appropriate means to assess body image are relatively limited. The aim of the study was to evaluate the psychometric properties of the Polish version of the Multidimensional Body-Self Relations Questionnaire (MBSRQ). To do so, a sample of 341 females ranging in age from 18 to 35 years (M = 23.09; SD = 3.14) participated in the present study. Owing to the fact that the confirmatory factor analysis of the original nine-factor model was not well fitted to the data (RMSEA = 0.06; CFI = 0.75) the exploratory approach was employed. Based on parallel analysis and minimum average partial an eight-factor structure of the Polish version of the MBSRQ was distinguished. Exploratory factor analysis revealed a factorial structure similar to the original version. The proposed model was tested using an exploratory structural equation modelling approach which resulted in good fit (RMSEA = 0.04; CFI = 0.91). In the present study, the internal reliability assessed by McDonald's ω coefficient amounts from 0.66 to 0.91. In conclusion, the Polish version of the MBSRQ is a useful measure for the attitudinal component of body image assessment.

  8. Study of Pneumatic Servo Loading System in Double-Sided Polishing

    International Nuclear Information System (INIS)

    Qian, N; Ruan, J; Li, W

    2006-01-01

    The precise double-sided polishing process is one of the main methods to get the ultra-smooth surface of workpiece. In double-sided polishing machine, a loading system is required to be able to precisely control the load superimposed on the workpiece, while the polishing is being carried out. A pneumatic servo loading system is proposed for this purpose. In the pneumatic servo system, the servo valve, which acts both the electrical to mechanical converter and the power amplifier, has a substantial influence on the performance of the loading system. Therefore a specially designed pneumatic digital servo valve is applied in the control system. In this paper, the construction of the pneumatic servo loading system in double-sided polishing machine and control strategy associated with the digital servo valve are first addressed. The mathematical model of the system established and the hardware of the pneumatic servo system is designed. Finally, the experiments are carried out by measuring the practical load on the workpiece and the quality of the surface finish. It is demonstrated that the error rate of load is less than 5% and a super-smooth surface of silicon wafer with roughness Ra 0.401 nm can be obtained

  9. Discriminant analysis in Polish manufacturing sector performance assessment

    Directory of Open Access Journals (Sweden)

    Józef Dziechciarz

    2004-01-01

    Full Text Available This is a presentation of the preliminary results of a larger project on the determination of the attractiveness of manufacturing branches. Results of the performance assessment of Polish manufacturing branches in 2000 (section D „Manufacturing” – based on NACE – Nomenclatures des Activites de Communite Europeene are shown. In the research, the classical (Fisher’s linear discriminant analysis technique was used for the analysis of the profit generation ability by the firms belonging to a certain production branch. For estimation, the data describing group level was used – for cross-validation, the classes data.

  10. Circular motion and Polish Doughnuts in NUT spacetime

    Science.gov (United States)

    Jefremov, Paul I.

    The astrophysical relevance of the NUT spacetime(s) is a matter of debate due to pathological properties exhibited by this solution. However, if it is realised in nature, then we should look for the characteristic imprints of it on possible observations. One of the major sources of data on black hole astrophysics is the accretion process. Using a simple but fully analytical ``Polish Doughnuts'' model of accretion disk one gets both qualitative and quantitative differences from the Kerr spacetime produced by the presence of the gravitomagnetic charge. The present paper is based on our work Jefremov & Perlick (2016).

  11. Tribochemical interaction between nanoparticles and surfaces of selective layer during chemical mechanical polishing

    International Nuclear Information System (INIS)

    Ilie, Filip

    2013-01-01

    Nanoparticles have been widely used in polish slurries such as those in the chemical mechanical polishing (CMP) process. For understanding the mechanisms of CMP, an atomic force microscope (AFM) is used to characterize polished surfaces of selective layers, after a set of polishing experiments. To optimize the CMP polishing process, one needs to get information on the interaction between the nano-abrasive slurry nanoparticles and the surface of selective layer being polished. The slurry used in CMP process of the solid surfaces is slurry with large nanoparticle size colloidal silica sol nano-abrasives. Silica sol nano-abrasives with large nanoparticle are prepared and characterized by transmission electron microscopy, particles colloidal size, and Zeta potential in this paper. The movement of nanoparticles in liquid and the interaction between nanoparticles and solid surfaces coating with selective layer are very important to obtain an atomic alloy smooth surface in the CMP process. We investigate the nanoparticle adhesion and removal processes during CMP and post-CMP cleaning. The mechanical interaction between nanoparticles and the wafer surface was studied using a microcontact wear model. This model considers the nanoparticle effects between the polishing interfaces during load balancing. Experimental results on polishing and cleaning are compared with numerical analysis. This paper suggests that during post-CMP cleaning, a combined effort in chemical and mechanical interaction (tribochemical interactions) would be effective in removal of small nanoparticles during cleaning. For large nanoparticles, more mechanical forces would be more effective. CMP results show that the removal rate has been improved to 367 nm/min and root mean square (RMS) of roughness has been reduced from 4.4 to 0.80 nm. Also, the results show that the silica sol nano-abrasives about 100 nm are of higher stability (Zeta potential is −65 mV) and narrow distribution of nanoparticle

  12. Barriers and Limitations of Mentoring in Polish Organizations

    Directory of Open Access Journals (Sweden)

    Joanna Mesjasz

    2013-09-01

    Full Text Available In Polish organizations one can recognize a lot of barriers in the mentoring implementation. According to the author, at the root of these barriers are hierarchies of values: social, national, organizational, and hierarchies of values represented by individual employees. The purpose of this article is to trace the sources of constraints in the mentoring implementation process. The analysis is carried out on several levels: from the broadest social perspective, through the national to the perspective of the organization. In the article, there are also presented actions that should be taken by Polish organizations to overcome barriers and constraints in the mentoring implementation process.

  13. The effect of different polishing systems on surface roughness and gloss of various resin composites.

    Science.gov (United States)

    Da Costa, Juliana; Ferracane, Jack; Paravina, Rade D; Mazur, Rui Fernando; Roeder, Leslie

    2007-01-01

    The purpose of this in vitro study was to evaluate the surface finish and gloss of five direct resin composites polished with six polishing systems. One hundred and fifty disk-shaped composite specimens (D=10.0 mm, 2-mm-thick, N=30 per material) were made. One side of each specimen was finished with a 16-fluted carbide finishing bur and then polished. Five specimens of each resin composite were randomly assigned to one of the six polishing systems. The surface roughness and gloss were measured with a surface profilometer and a glossmeter. The results were analyzed by two-way analysis of variance and Tukey's t-test (pgloss values between the composites and the polishing systems (p gloss value was recorded for Supreme + Pogo; the lowest was recorded for Z100 + Jiffy. Pogo showed the highest gloss values for all composites. The nanofill (Supreme) and minifill (Esthet-X) composites presented a surface roughness comparable to a microfill (Durafill), independent of the polishing system used, and a gloss comparable to a microfill, when polished with a one-step system (Pogo). As compared with the multiple-step systems, the smoothest surfaces and the highest gloss values were achieved using the one-step system (Pogo) for all the evaluated composites.

  14. Surface roughness of novel resin composites polished with one-step systems.

    Science.gov (United States)

    Ergücü, Z; Türkün, L S

    2007-01-01

    This study: 1) analyzed the surface roughness of five novel resin composites that contain nanoparticles after polishing with three different one-step systems and 2) evaluated the effectiveness of these polishers and their possible surface damage using scanning electron microscope (SEM) analysis. The resin composites evaluated in this study include CeramX, Filtek Supreme XT, Grandio, Premise and Tetric EvoCeram. A total of 100 discs (20/resin composites, 10 x 2 mm) were fabricated. Five specimens/resin composites cured under Mylar strips served as the control. The samples were polished for 30 seconds with PoGo, OptraPol and One Gloss discs at 15,000 rpm using a slow speed handpiece. The surfaces were tested for roughness (Ra) with a surface roughness tester and examined with SEM. One-way ANOVA was used for statistical analysis (p = 0.05). For all the composites tested, differences between the polishing systems were found to be significant (p One Gloss applications. For Grandio, Mylar and PoGo created equally smooth surfaces, while OptraPol and One Gloss produced equally rougher surfaces. Tetric EvoCeram exhibited the roughest surface with OptraPol, while no significant differences were found between Premise and CeramX. According to SEM images, OptraPol and One Gloss scratched and plucked the particles away from the surface, while PoGo created a uniform finish, although the roughness values were not the same for each composite. Effectiveness of the polishers seems to be material dependent.

  15. Book-tax conformity in Polish private companies

    Directory of Open Access Journals (Sweden)

    Anna Białek-Jaworska

    2016-12-01

    Full Text Available The purpose of this paper is to identify whether financial income differs significantly from the tax base in Poland and what determines these differences between accounting and taxable results in Polish private entities that are not listed on the stock exchange. In this paper, besides examining the level of book-tax conformity in Poland, we investigate the determinants of book-tax differences in the one-book and twobook accounting systems, with deferred income tax. We use the single factor ANOVA variance analysis, robust fixed effects estimator and the fixed effects linear model with an AR(1 disturbance estimator for panel data of 26,657 private limited liability and non-public joint-stock companies for the period of 2003–2014 (177,667 firm-year observations. The originality of this paper results from the deep quantita-tive analysis of the determinants of book-tax conformity on a novel dataset of Polish private firms. We confirm a strong significant influence of tax law on the shape of the one-book accounting system in Poland. Larger enterprises show fewer book-tax differences. Book-tax conformity is lower in private companies that incur financial losses and in private companies conducting the one-book accounting system. Family-owned companies have higher mean and median book-tax conformity than businessgroups members, due to higher demand for information from their accounts to support stewardship func-tions and to monitor the activities of the management board in the business groups.

  16. Microstructure of pulsed-laser deposited PZT on polished and annealed MGO substrates

    NARCIS (Netherlands)

    King, S.L.; Coccia, L.G.; Gardeniers, Johannes G.E.; Boyd, I.W.

    1996-01-01

    Thin films of Lead-Zirconate-Titanate (PZT) have been grown by pulsed-laser-deposition (PLD) onto polished MgO substrates both with and without pre-annealing. The surface morphology of polished MgO substrates, which are widely used for deposition, is examined by AFM. Commercially available,

  17. Development of a multisensory arm for process monitoring in Robot Assisted Polishing

    DEFF Research Database (Denmark)

    Pilny, Lukas; Dalla Costa, Giuseppe; Bissacco, Giuliano

    2015-01-01

    A multisensory polishing arm with integrated three component force sensor, a miniature acoustic emission (AE) sensor and an accelerometer was developed for process monitoring in Robot Assisted Polishing (RAP) process. The arm design was optimized for integration of a force and an AE sensor. The f...

  18. Polish normalization of the Body Esteem Scale

    Directory of Open Access Journals (Sweden)

    Małgorzata Lipowska

    2014-02-01

    Full Text Available Background Physical attractiveness plays an important part in one’s social functioning. The interest in one’s own appearance have been documented as widespread among the female population, but over the recent years it is more and more often emphasized that concentrating on body appearance concerns men as well. Franzoi and Shields (1984 created the Body Esteem Scale which allows to qualify the subject’s attitude towards his or her own body. The aim of the study was to create a Polish version of the Body Esteem Scale along with the norms for age and sex clusters. Participants and procedure The normalization sample consisted of 4298 participants: 1865 women aged 16 to 80 (M = 29.92; SD = 12.85 and 2433 men aged 16 to 78 (M = 28.74; SD = 11.50. Education levels among the participants were also controlled for. In order to create a Polish version of the Body Esteem Scale, translation was adopted as the adaptation strategy. Like the original one, the Polish scale comprises 35 items grouped into three gender specific subscales. The subscales for women include Sexual Attractiveness, Weight Concern, and Physical Condition, whereas the body esteem of is examined with regards to Physical Attractiveness, Upper Body Strength, and Physical Condition. Results Reliability of subscales was high both for females (Cronbach’s alpha from 0.80 to 0.89 and males (Cronbach’s alpha from 0.85 to 0.88. The given coefficients of reliability cover the original division into subscales adopted by the authors of BES. Conclusions We confirmed high reliability of the Polish version of the Body Esteem Scale, thus we recommend it as a diagnostic tool. Created norms allowed to refer results obtained in the course of research carried out on people with various disorders (e.g. eating disorders or body dysmorphic disorder with population data for corresponding age brackets.

  19. [Józef Heller-one of organizers of Polish biochemistry in 1942-1973].

    Science.gov (United States)

    Zarebska, Zofia

    2011-01-01

    The article commemorates the activity of Józef Heller starting in 1921 with Jakub Parnas's group in Lvov which investigated the phosphorolysis of glycogen. The unknown events of His biography were disclosed, like military service in the Piłsudski's Legions at the rebirth of the Polish State and, subsequently, during the Nazi occupation of Poland--participation in the clandestine teaching of medical students. In the post-war times Józef Heller undertook teaching of medical students in Wrocław and next in Warsaw. In 1954 He begun to organize the Institute of Biochemistry and Biophysics of the Polish Academy of Sciences--it now continues its activity. Professor Heller initiated the publication in Poland of three major biochemical journals, including Postepy Biochemii (1954). Thanks to His leadership the first Polish Medical Dictionary was published (1981). The article summarizes the pursuit of Józef Heller in various branches of academic life, which were and still are appreciated by subsequent generations of Polish biochemists.

  20. Surface roughness of zirconia for full-contour crowns after clinically simulated grinding and polishing.

    Science.gov (United States)

    Hmaidouch, Rim; Müller, Wolf-Dieter; Lauer, Hans-Christoph; Weigl, Paul

    2014-12-01

    The aim of this study was to evaluate the effect of controlled intraoral grinding and polishing on the roughness of full-contour zirconia compared to classical veneered zirconia. Thirty bar-shaped zirconia specimens were fabricated and divided into two groups (n=15). Fifteen specimens (group 1) were glazed and 15 specimens (group 2) were veneered with feldspathic ceramic and then glazed. Prior to grinding, maximum roughness depth (Rmax) values were measured using a profilometer, 5 times per specimen. Simulated clinical grinding and polishing were performed on the specimens under water coolant for 15 s and 2 N pressure. For grinding, NTI diamonds burs with grain sizes of 20 µm, 10 µm, and 7.5 µm were used sequentially. The ground surfaces were polished using NTI kits with coarse, medium and fine polishers. After each step, Rmax values were determined. Differences between groups were examined using one-way analysis of variance (ANOVA). The roughness of group 1 was significantly lower than that of group 2. The roughness increased significantly after coarse grinding in both groups. The results after glazing were similar to those obtained after fine grinding for non-veneered zirconia. However, fine-ground veneered zirconia had significantly higher roughness than venerred, glazed zirconia. No significant difference was found between fine-polished and glazed zirconia, but after the fine polishing of veneered zirconia, the roughness was significantly higher than after glazing. It can be concluded that for full-contour zirconia, fewer defects and lower roughness values resulted after grinding and polishing compared to veneered zirconia. After polishing zirconia, lower roughness values were achieved compared to glazing; more interesting was that the grinding of glazed zirconia using the NTI three-step system could deliver smooth surfaces comparable to untreated glazed zirconia surfaces.

  1. Effects of finishing/polishing techniques on microleakage of resin-modified glass ilonomer cement restorations.

    Science.gov (United States)

    Yap, Adrian U J; Yap, W Y; Yeo, Egwin J C; Tan, Jane W S; Ong, Debbie S B

    2003-01-01

    This study investigated the effect of finishing/polishing techniques on the microleakage of resin-modified glass ionomer restorations. Class V preparations were made on the buccal and lingual/palatal surfaces of freshly extracted teeth. The cavities on each tooth were restored with Fuji II LC (FT [GC]) and Photac-Fil Quick (PF [3M-ESPE]) according to manufacturers' instructions. Immediately after light-polymerization, gross finishing was done with eight-fluted tungsten carbide burs. The teeth were then randomly divided into four groups and finishing/polishing was done with one of the following systems: (a) Robot Carbides (RC); (b) Super-Snap system (SS); (c) OneGloss (OG) and (d) CompoSite Polishers (CS). The sample size for each material-finishing/polishing system combination was eight. After finishing/polishing, the teeth were stored in distilled water at 37 degrees C for one week. The root apices were then sealed with acrylic and two coats of varnish was applied 1 mm beyond the restoration margins. The teeth were subsequently subjected to dye penetration testing (0.5% basic fuchsin), sectioned and scored. Data was analyzed using Kruskal-Wallis and Mann-Whitney U tests at a significance level of 0.05. Results of statistical analysis were as follows: Enamel margins: PF-OGpolishing technique, leakage at dentin margins was significantly greater than at enamel margins for FT. For PF, no significant difference in leakage scores was observed between dentin and enamel with the exception of finishing/polishing with OG. FT restorations had significantly less enamel and dentin leakage than PF restorations when treated with OG. The effect of finishing/polishing techniques on microleakage was both tissue and material dependent.

  2. Mycena Juniperina (Agaricales, Basidiomycota, New for the Polish and Central European Mycobiota

    Directory of Open Access Journals (Sweden)

    Halama Marek

    2014-07-01

    Full Text Available Mycena juniperina Aronsen was collected in March 2013 in the Origano-Brachypodietum association from trunks of living Juniperus communis in the Pieniny Mts (S Poland. The species is described and illustrated based on Polish specimens, its ecology and general distribution are outlined, and it is compared with similar species: M. meliigena (Berk. & Cooke Sacc., M. pseudocorticola Kühner, and others.

  3. Great Britain and German-Polish Relations, 1929–1931

    Directory of Open Access Journals (Sweden)

    Zotova Ekaterina

    2014-12-01

    Full Text Available The article deals with the study of British-German relations during the period of exacerbation of territorial disputes between Germany and Poland in 1929-1931. Germany was making plans for revising the eastern borders. It sought to enlist the support of the new Labour government. Britain didn’t only approve of Germany's intention to carry out revision of the Treaty of Versailles (reparation issue, the evacuation of the Rhineland and the change in the German-Polish border, but also supported the strengthening of the political and economic situation in Germany as a whole. Assistance to Germany was advantageous for Britain. Firstly, the British support helped to improve the capacity of the purchasing power of the German market as one of the traditional markets of the English sale. Secondly, the strengthening of the position of the German cabinet meant failure of the French policy in Europe at this stage. The defeat of France in a dispute on the issue of early evacuation of the Rhineland would seriously devalue the foreign policy initiatives of Paris. Thirdly, the British government hoped that Germany will go into orbit of British political influence. The identity of the positions of the German and the British cabinets were considered as the components of British policy success not only in relations with France and Italy, but also with Czechoslovakia and Poland. Since the formation of the MacDonald’s cabinet, anti-Polish moods increased in the British course for the settlement of German-Polish relations. However, the threat of an attack on Poland by Germany was regarded by the government of Britain as unacceptable way of resolving the German-Polish conflict. Britain tried to follow the traditional foreign policy concept of “balance of power”, but the inefficiency of British policy “mediation” in European affairs was clearly shown in times of growing financial and economic crisis. The issue of the German-Polish border remained unsolved.

  4. Comparison and assessment of the participation of Polish swimmers at the Olympic and Paralympic Games in London

    Directory of Open Access Journals (Sweden)

    Wojciech Seidel

    2014-08-01

    Full Text Available Purpose : to assess and analyze the results of the Polish national team swimmers (Olympic and Paralympic at the XIV Summer Games 2012 in London (UK . Material : Score Polish swimmers start in London was carried out on the basis of medals won, participation in the finals. London also comparing the results with respect to the personal life record. The studies used the method of improving the outcome of the relative percentages - RPG% (relative performance gain %. It is based on the equation of RPG% = start time - end time / start time x 100. Material was to analyze and develop the results obtained by the Polish swimmers at the XIV Olympic and Paralympic Games in 2012 in London. Results : the basic criterion for evaluating the training and participation of Polish swimmers at the games in London was the number of medals won. By this criterion, the Poles showed a rather weak result. Healthy athletes do not receive medals. Thus, they confirmed their poor showing four years ago. Athletes with disabilities unable to get on the podium three times. In relation to the previous games (they won 10 medals the result was rather weak. Conclusions : in terms of participation in the Olympic finals and improve individual life records, the results were slightly better swimmers with disabilities.

  5. E-TOURISM SERVICES IN POLISH TOURISTS' OPINIONS

    Directory of Open Access Journals (Sweden)

    Joanna Papińska-Kacperek

    2013-07-01

    Full Text Available E-tourism is most often related only with web pages of travel agencies or aggregators offering many services, where it is possible to make transactions electronically. Whereas many applications and devices are applied in tourism, like the audio guides and mobile guides (sometimes with augmented reality functions, electronic cards in museums, 2D codes systems, web pages for individual tourists, geographic information systems (e.g. Google Street View, social network sites recommendation, and profiles of cities on social networks sites like Facebook. Polish tourists' opinions about mentioned innovative tools will be presented as the report from the survey conducted among students and graduates from three Polish universities. The objectives of the study are: investigating the popularity of e-tourist services in Poland and verifying whether municipal authorities promote e-tourism initiatives.

  6. Chemical polishing of epitoxial silicon wafer

    International Nuclear Information System (INIS)

    Osada, Shohei

    1978-01-01

    SSD telescopes are used for the determination of the kind and energy of charged particles produced by nuclear reactions, and are the equipments combining ΔE counters and E counters. The ΔE counter is a thin SSD which is required to be thin and homogeneous enough to get the high resolution of measurement. The SSDs for ΔE counters have so far been obtained by polishing silicon plates mechanically and chemically or by applying electrolytic polishing method on epitaxial silicon wafers, but it was very hard to obtain them. The creative etching equipment and technique developed this time make it possible to obtain thin SSDs for ΔE counters. The outline of the etching equipment and its technique are described in the report. The etching technique applied for the silicon films for ΔE counters with thickness of about 10 μm was able to be experimentally established in this study. (Kobatake, H.)

  7. A concept for the modernization of underground mining master maps based on the enrichment of data definitions and spatial database technology

    Science.gov (United States)

    Krawczyk, Artur

    2018-01-01

    In this article, topics regarding the technical and legal aspects of creating digital underground mining maps are described. Currently used technologies and solutions for creating, storing and making digital maps accessible are described in the context of the Polish mining industry. Also, some problems with the use of these technologies are identified and described. One of the identified problems is the need to expand the range of mining map data provided by survey departments to other mining departments, such as ventilation maintenance or geological maintenance. Three solutions are proposed and analyzed, and one is chosen for further analysis. The analysis concerns data storage and making survey data accessible not only from paper documentation, but also directly from computer systems. Based on enrichment data, new processing procedures are proposed for a new way of presenting information that allows the preparation of new cartographic representations (symbols) of data with regard to users' needs.

  8. Method of Choosing the Information Technology System Supporting Management of the Military Aircraft Operation

    Directory of Open Access Journals (Sweden)

    Barszcz Piotr

    2014-12-01

    Full Text Available The paper presents a method of choosing the information technology system, the task of which is to support the management process of the military aircraft operation. The proposed method is based on surveys conducted among direct users of IT systems used in aviation of the Polish Armed Forces. The analysis of results of the surveys was conducted using statistical methods. The paper was completed with practical conclusions related to further usefulness of the individual information technology systems. In the future, they can be extremely useful in the process of selecting the best solutions and integration of the information technology systems

  9. 16th French-German-Polish Conference on Optimization

    CERN Document Server

    Korytowski, Adam; Maurer, Helmut; Szymkat, Maciej

    2016-01-01

    This book contains extended, in-depth presentations of the plenary talks from the 16th French-German-Polish Conference on Optimization, held in Kraków, Poland in 2013. Each chapter in this book exhibits a comprehensive look at new theoretical and/or application-oriented results in mathematical modeling, optimization, and optimal control. Students and researchers involved in image processing, partial differential inclusions, shape optimization, or optimal control theory and its applications to medical and rehabilitation technology, will find this book valuable. The first chapter by Martin Burger provides an overview of recent developments related to Bregman distances, which is an important tool in inverse problems and image processing. The chapter by Piotr Kalita studies the operator version of a first order in time partial differential inclusion and its time discretization. In the chapter by Günter Leugering, Jan Sokołowski and Antoni Żochowski, nonsmooth shape optimization problems for variational inequa...

  10. Strategy of the Polish policy in the final phase of the Second world war

    Directory of Open Access Journals (Sweden)

    L. P. Shvab

    2014-09-01

    Full Text Available The problems of strategy formation of the Polish government, which was in emigration, during the Second World War in the period of the eastern front approaching to the Polish borders, are found out in the article. The author confirms that the success of the Red Army made London government to rise a question about the Polish eastern border and legitimacy of London government on the liberated territories. Both questions did not have the solution in the way of traditional Polish policy. Joseph Stalin expected concession in the issue of the eastern border instead of loyalty to the London government. But Polish government did not accept accomplished facts. The ambassadors Stanislav Kot, later Tadeush Romer negotiated and insisted on returning of the western Ukrainian and Byelorussian lands. They proposed military cooperation with the Polish Home Army, which supposed to be strong enough for diversionary acts. After the battle of Stalingrad victory and that resonance, which it had made in the world, Stalin refused from such cooperation, he thought that Poland was too weak partner. Stalin continued the escalation of the relations, he consciously stopped all diplomatic relations, flatly refused to continue discussion about borders and changed the way of discussion about the legitimacy of the authentic authority in Poland.

  11. WHAT MOTIVATES POLISH COMMUNITY PHARMACISTS TO PURSUIT OF POSTGRADUATE EDUCATION?.

    Science.gov (United States)

    Jasinska-Stroschein, Magdalena; Kurczewska, Urszula; Orszulak-Michalak, Daria

    2017-03-01

    Due to increasing importance of the advisory role for physicians and patients played by the pharmacist over the last decade, it seems appropriate to evaluate if and why pharmacists are interested in postgraduate medical education. The purpose of the study was to develop and validate an instrument to assess such motives, with special interest to Polish community pharmacists. A self-administered questionnaire was completed by a sample of participants of community pharmacist specialization programs and it was analyzed in relation to participants of other postgraduate courses. They were asked to rank their motives on a Likert-like scale and the underlying dimensions for study motives were identified using exploratory and confirmatory techniques. The reasons for taking specialization for community pharmacists were similar as compared to participants of other postgraduate studies. However, the autotelic factor was not so strong and the crucial reason was that such postgraduate training was required to be promoted in work. Basing on Polish results, we propose the division of motives into three groups - autotelic, instrumental and coincidental. The validated self-administered questionnaire based on this division displayed acceptable construct validity and internal consistency, and therefore can be proposed as an example tool to assess the particular motives and expectations of potential postgraduate students and employees in the pharmaceutical job market. The promotion of postgraduate education among pharmacists can improve the quality of pharmaceutical service.

  12. Relationship Between Competitive Strategies and the Success Perception of Polish Born Globals

    Directory of Open Access Journals (Sweden)

    Baranowska-Prokop Ewa

    2014-09-01

    Full Text Available The key objective of this paper is to describe and evaluate the competitive strategies applied by Polish born global enterprises. To reveal these strategies, two competitive models developed by M.E. Porter are applied to an original data set obtained from 256 small and medium Polish enterprises through a survey employing the CATI technique. The outcomes of these strategies, as perceived by the companies applying them, are also evaluated against two hypotheses. We conclude that Polish firms apply both basic strategies of competition, i.e. cost leadership strategies and differentiation strategies and that a substantial majority of companies perceive themselves to have succeeded on the market.

  13. Polish physicians' cooperation with the pharmaceutical industry and its potential impact on public health.

    Directory of Open Access Journals (Sweden)

    Marta Makowska

    Full Text Available This article aims to describe how Polish physicians cooperate with the pharmaceutical industry and show how this relationship may pose a threat to public health.It considers the results of an online survey of 379 physicians. The survey was hosted by surveymonkey.com with links from a Polish physicians' website (Medycyna Praktyczna between 29 October 2013 and 31 December 2013. The sample was purposive, respondents having to be physicians working in Poland.The majority of respondents (96.8% said that they had talked with pharmaceutical sales representatives (PSRs in their practice, with 85% saying that they had had regular contact with them. Despite the existing legal ban in Poland, 35% of respondents admitted that they had usually met with PSRs in their office during working hours. As many as 81.8% of surveyed doctors said that they had taken part in an educational meeting organized by the pharmaceutical industry at least once during the 12 months preceding the study. A majority of the respondents (72.3% said they trusted the information provided by PSRs. Over one third of respondents (36.4% claimed that Polish doctors accepted gifts of a type that they should not accept according to Polish law.The study showed that Polish physicians cooperate in different ways with pharmaceutical companies and have frequent contact with them. This can influence their knowledge and doctors whose knowledge of drugs is based mainly on information from pharmaceutical industry materials may prescribe medicines in a biased way, possibly exposing their patients to sub-optimal treatments and burdening both their patients and the state budget with unnecessary costs. Lack of trust in doctors and pharmaceutical companies have other implications too: there may be a decline of faith in the efficacy of therapy and patients may be encouraged to engage in self-diagnosis and self-treatment. For these reasons it is necessary to increase transparency and strengthen the ethical

  14. Polish physicians' cooperation with the pharmaceutical industry and its potential impact on public health.

    Science.gov (United States)

    Makowska, Marta

    2017-01-01

    This article aims to describe how Polish physicians cooperate with the pharmaceutical industry and show how this relationship may pose a threat to public health. It considers the results of an online survey of 379 physicians. The survey was hosted by surveymonkey.com with links from a Polish physicians' website (Medycyna Praktyczna) between 29 October 2013 and 31 December 2013. The sample was purposive, respondents having to be physicians working in Poland. The majority of respondents (96.8%) said that they had talked with pharmaceutical sales representatives (PSRs) in their practice, with 85% saying that they had had regular contact with them. Despite the existing legal ban in Poland, 35% of respondents admitted that they had usually met with PSRs in their office during working hours. As many as 81.8% of surveyed doctors said that they had taken part in an educational meeting organized by the pharmaceutical industry at least once during the 12 months preceding the study. A majority of the respondents (72.3%) said they trusted the information provided by PSRs. Over one third of respondents (36.4%) claimed that Polish doctors accepted gifts of a type that they should not accept according to Polish law. The study showed that Polish physicians cooperate in different ways with pharmaceutical companies and have frequent contact with them. This can influence their knowledge and doctors whose knowledge of drugs is based mainly on information from pharmaceutical industry materials may prescribe medicines in a biased way, possibly exposing their patients to sub-optimal treatments and burdening both their patients and the state budget with unnecessary costs. Lack of trust in doctors and pharmaceutical companies have other implications too: there may be a decline of faith in the efficacy of therapy and patients may be encouraged to engage in self-diagnosis and self-treatment. For these reasons it is necessary to increase transparency and strengthen the ethical guidelines

  15. Polish physicians’ cooperation with the pharmaceutical industry and its potential impact on public health

    Science.gov (United States)

    2017-01-01

    Objective This article aims to describe how Polish physicians cooperate with the pharmaceutical industry and show how this relationship may pose a threat to public health. Methods It considers the results of an online survey of 379 physicians. The survey was hosted by surveymonkey.com with links from a Polish physicians’ website (Medycyna Praktyczna) between 29 October 2013 and 31 December 2013. The sample was purposive, respondents having to be physicians working in Poland. Results The majority of respondents (96.8%) said that they had talked with pharmaceutical sales representatives (PSRs) in their practice, with 85% saying that they had had regular contact with them. Despite the existing legal ban in Poland, 35% of respondents admitted that they had usually met with PSRs in their office during working hours. As many as 81.8% of surveyed doctors said that they had taken part in an educational meeting organized by the pharmaceutical industry at least once during the 12 months preceding the study. A majority of the respondents (72.3%) said they trusted the information provided by PSRs. Over one third of respondents (36.4%) claimed that Polish doctors accepted gifts of a type that they should not accept according to Polish law. Conclusions The study showed that Polish physicians cooperate in different ways with pharmaceutical companies and have frequent contact with them. This can influence their knowledge and doctors whose knowledge of drugs is based mainly on information from pharmaceutical industry materials may prescribe medicines in a biased way, possibly exposing their patients to sub-optimal treatments and burdening both their patients and the state budget with unnecessary costs. Lack of trust in doctors and pharmaceutical companies have other implications too: there may be a decline of faith in the efficacy of therapy and patients may be encouraged to engage in self-diagnosis and self-treatment. For these reasons it is necessary to increase transparency

  16. Polish students’ opinion about medicinal cannabis

    Directory of Open Access Journals (Sweden)

    Rafał Mazur

    2017-09-01

    Conclusions: Polish students seem to be ready for introduction into medical marijuana and are aware of its therapeutic potential. The majority is in favor of sales in a controlled manner. The task of researchers should be accurate examination of the effectiveness of specific cannabinoids in the treatment of diseases.

  17. Microwave photonics systems based on whispering-gallery-mode resonators.

    Science.gov (United States)

    Coillet, Aurélien; Henriet, Rémi; Phan Huy, Kien; Jacquot, Maxime; Furfaro, Luca; Balakireva, Irina; Larger, Laurent; Chembo, Yanne K

    2013-08-05

    Microwave photonics systems rely fundamentally on the interaction between microwave and optical signals. These systems are extremely promising for various areas of technology and applied science, such as aerospace and communication engineering, sensing, metrology, nonlinear photonics, and quantum optics. In this article, we present the principal techniques used in our lab to build microwave photonics systems based on ultra-high Q whispering gallery mode resonators. First detailed in this article is the protocol for resonator polishing, which is based on a grind-and-polish technique close to the ones used to polish optical components such as lenses or telescope mirrors. Then, a white light interferometric profilometer measures surface roughness, which is a key parameter to characterize the quality of the polishing. In order to launch light in the resonator, a tapered silica fiber with diameter in the micrometer range is used. To reach such small diameters, we adopt the "flame-brushing" technique, using simultaneously computer-controlled motors to pull the fiber apart, and a blowtorch to heat the fiber area to be tapered. The resonator and the tapered fiber are later approached to one another to visualize the resonance signal of the whispering gallery modes using a wavelength-scanning laser. By increasing the optical power in the resonator, nonlinear phenomena are triggered until the formation of a Kerr optical frequency comb is observed with a spectrum made of equidistant spectral lines. These Kerr comb spectra have exceptional characteristics that are suitable for several applications in science and technology. We consider the application related to ultra-stable microwave frequency synthesis and demonstrate the generation of a Kerr comb with GHz intermodal frequency.

  18. Stress 'deafness' in a language with fixed word stress: an ERP study on Polish

    Directory of Open Access Journals (Sweden)

    Ulrike eDomahs

    2012-11-01

    Full Text Available The aim of the present contribution was to examine the factors influencing the prosodic processing in a language with predictable word stress. For Polish, a language with fixed penultimate stress but several well-defined exceptions, difficulties in the processing and representation of prosodic information have been reported (e.g., Peperkamp & Dupoux, 2002. The present study utilized event-related potentials (ERPs to investigate the factors influencing prosodic processing in Polish. These factors are i the predictability of stress and ii the prosodic structure in terms of metrical feet. Polish native speakers were presented with correctly and incorrectly stressed Polish words and instructed to judge the correctness of the perceived stress patterns. For each stress violation an early negativity was found which was interpreted as reflection of an error-detection mechanism, and in addition exceptional stress patterns (= antepenultimate stress and post-lexical (= initial stress evoked a task-related positivity effect (P300 whose amplitude and latency is correlated with the degree of anomaly and deviation from an expectation. Violations involving the default (= penultimate stress in contrast did not produce such an effect. This asymmetrical result is interpreted to reflect that Polish native speakers are less sensitive to the default pattern than to the exceptional or post-lexical patterns. Behavioral results are orthogonal to the electrophysiological results showing that Polish speakers had difficulties to reject any kind of stress violation. Thus, on a meta-linguistic level Polish speakers appeared to be stress-‘deaf’ for any kind of stress manipulation, whereas the neural reactions differentiate between the default and lexicalized patterns.

  19. Characterization of chemical interactions during chemical mechanical polishing (CMP) of copper

    Science.gov (United States)

    Lee, Seung-Mahn

    2003-10-01

    Chemical mechanical polishing (CMP) has received much attention as an unique technique to provide a wafer level planarization in semiconductor manufacturing. However, despite the extensive use of CMP, it still remains one of the least understood areas in semiconductor processing. The lack of the fundamental understanding is a significant barrier to further advancements in CMP technology. One critical aspect of metal CMP is the formation of a thin surface layer on the metal surface. The formation and removal of this layer controls all the aspects of the CMP process, including removal rate, surface finish, etc. In this dissertation, we focus on the characterization of the formation and removal of the thin surface layer on the copper surface. The formation dynamics was investigated using static and dynamic electrochemical techniques, including potentiodynamic scans and chronoamperometry. The results were validated using XPS measurements. The mechanical properties of the surface layer were investigated using nanoindentation measurements. The electrochemical investigation showed that the thickness of the surface layer is controlled by the chemicals such as an oxidizer (hydrogen peroxide), a corrosion inhibitor (benzotriazole), a complexing agent (citric acid), and their concentrations. The dynamic electrochemical measurements indicated that the initial layer formation kinetics is unaffected by the corrosion inhibitors. The passivation due to the corrosion inhibitor becomes important only on large time scales (>200 millisecond). The porosity and the density of the chemically modified surface layer can be affected by additives of other chemicals such as citric acid. An optimum density of the surface layer is required for high polishing rate while at the same time maintaining a high degree of surface finish. Nanoindentation measurements indicated that the mechanical properties of the surface layer are strongly dependent on the chemical additives in the slurry. The CMP

  20. Influence of Duroc breed inclusion into Polish Landrace maternal line on pork meat quality traits

    Directory of Open Access Journals (Sweden)

    DOMINIKA GUZEK

    2016-06-01

    Full Text Available Crossbreeding with Duroc breed allows to improve meat quality, but no data is available regarding specific influence of Duroc breed on characteristics of meat in the case of crossbreeding with various breeds. The aim of the present research was to evaluate the effect of crossbreeding Polish Landrace dames with Duroc sires on quality features of meat in reference to Polish Landrace breed. The objects of the study were Longissimus dorsi lumborum pork muscles obtained from Polish Landrace breed and Polish Landrace x Duroc crossbreed animals. Sarcomere length measurements were conducted using microscopic method and basic chemical composition measurement was analyzed using spectrophotometric scanning. Texture analysis of meat samples, performed after thermal treatment was expressed by Warner-Bratzler shear force and color analysis was obtained using CIE L*a*b* color system. No differences in sarcomere length, shear force as well as components of color values between pork meat originated from Polish Landrace breed and Polish Landrace x Duroc crossbreed were observed. Analysis of basic chemical composition revealed higher fat and lower ash contents in the case of meat of Polish Landrace breed animals. It was concluded that the actual impact of breed on meat characteristics is possibly altered by other factors. It may be suggested that influence of basic chemical composition on color of meat is breed-related.

  1. Influence of Duroc breed inclusion into Polish Landrace maternal line on pork meat quality traits.

    Science.gov (United States)

    Guzek, Dominika; Głąbska, Dominika; Głąbski, Krzysztof; Wierzbicka, Agnieszka

    2016-05-31

    Crossbreeding with Duroc breed allows to improve meat quality, but no data is available regarding specific influence of Duroc breed on characteristics of meat in the case of crossbreeding with various breeds. The aim of the present research was to evaluate the effect of crossbreeding Polish Landrace dames with Duroc sires on quality features of meat in reference to Polish Landrace breed. The objects of the study were Longissimus dorsi lumborum pork muscles obtained from Polish Landrace breed and Polish Landrace x Duroc crossbreed animals. Sarcomere length measurements were conducted using microscopic method and basic chemical composition measurement was analyzed using spectrophotometric scanning. Texture analysis of meat samples, performed after thermal treatment was expressed by Warner-Bratzler shear force and color analysis was obtained using CIE L*a*b* color system. No differences in sarcomere length, shear force as well as components of color values between pork meat originated from Polish Landrace breed and Polish Landrace x Duroc crossbreed were observed. Analysis of basic chemical composition revealed higher fat and lower ash contents in the case of meat of Polish Landrace breed animals. It was concluded that the actual impact of breed on meat characteristics is possibly altered by other factors. It may be suggested that influence of basic chemical composition on color of meat is breed-related.

  2. Conspiracy of Silence. The Loneliness of Victims of Gender-Based Peer Violence in Polish Junior High Schools. Research Paper

    Directory of Open Access Journals (Sweden)

    Iwona Chmura-Rutkowska

    2014-04-01

    Full Text Available This research report is an integral part of a larger research project focused on analyzing peer violence which is driven by gender stereotypes and prejudices (including sexual harassment and which is experienced by female and male students of Polish junior high schools. The present qualitative research results are the effect of eight interviews and group discussions carried out in the first half of 2013. The interviewees and discussion participants were students of four different junior high schools in different towns and villages. The discussions focused on the following issues: girls' and boys' strategies of enduring, resisting or confronting gender-based violence and harassment; their reactions and coping mechanisms as victims and/or witnesses of gender-based violence or harassment; how adolescents perceived the roles of adults (that is teachers, parents, professionals in the their experiences of violence.

  3. [Fifty years of the Polish School of Medicine at the University of Edinburgh (1941-1991)].

    Science.gov (United States)

    Tomaszewski, W

    1994-01-01

    The Polish School of Medicine at the University of Edinburgh started in 1941 on the initiative of the University. It was destined for soldier-students in the Polish Forces in Great Britain. This academic institution, unique in the history of universities, was a joint Scottish-Polish enterprise. An Agreement was concluded between the Polish Government in London and the University of Edinburgh. The School was an independent Polish academic institution and, at the same time, an integral part of the University of Edinburgh. The students matriculated at the University. The University provided all the laboratory and clinical facilities necessary for teaching. Due to a lack of Polish professors for some chairs a few of them were held by Scottish professors. Attached to them were Polish lecturers but the examinations were then held in English. The diploma, originally valid only in Poland, became recognised in Great Britain following an Act of Parliament in 1947. There were 337 students, a number of them women. 227 obtained the degree M.B., Ch.B. The war ended in 1945. The School continued up till 1949. Poland was not free. The Nazi occupation of Poland was replaced by Soviet domination which was to last for over 40 years. Only 22 of the graduates returned home, about 100 settled in G. Britain, another 100 dispersed world wide. The "magnanimous gesture" of the University of Edinburgh was thereafter remembered with gratitude by the members of the Polish School. In 1961, on the occasion of the 20th anniversary of the School, the first reunion of the graduates was organised in Birmingham for those settled in Gr. Britain. The success of the reunion prompted decision on organising annual "English" gatherings of the Polish graduates in Gr. Britain. The first world reunion of the graduates took place in Edinburgh in 1966, attracting a large number of participants on this occasion of the 25th anniversary of the School. That immensely successful anniversary of the Polish School

  4. Experimental investigation of time and repeated cycles in nucleate pool boiling of alumina/water nanofluid on polished and machined surfaces

    Science.gov (United States)

    Rajabzadeh Dareh, F.; Haghshenasfard, M.; Nasr Esfahany, M.; Salimi Jazi, H.

    2018-06-01

    Pool boiling heat transfer of pure water and nanofluids on a copper block has been studied experimentally. Nanofluids with various concentrations of 0.0025, 0.005 and 0.01 vol.% are employed and two simple surfaces (polished and machined copper surface) are used as the heating surfaces. The results indicated that the critical heat flux (CHF) in boiling of fluids on the polished surface is 7% higher than CHF on the machined surface. In the case of machined surface, the heat transfer coefficient (HTC) of 0.01 vol.% nanofluid is about 37% higher than HTC of base fluid, while in the polished surface the average HTC of 0.01% nanofluid is about 19% lower than HTC of the pure water. The results also showed that the boiling time and boiling cycles on the polished surface changes the heat transfer performance. By increasing the boiling time from 5 to 10 min, the roughness enhances about 150%, but by increasing the boiling time to 15 min, the roughness enhancement is only 8%.

  5. Clear and compelling evidence: the Polish tribunal on abortion rights.

    Science.gov (United States)

    Girard, Françoise; Nowicka, Wanda

    2002-05-01

    On 25 July 2001 the Polish Federation for Women and Family Planning organised a Tribunal on Abortion Rights in Warsaw, to publicize the negative consequences of the criminalization of abortion in Poland. A panel of Polish and foreign experts heard the testimonials of seven Polish women's experiences under the 1993 "Anti-Abortion Act". Only two of the seven women were able to tell their stories in person. One died in 2001, at the age of 21, of an unsafe abortion. One is legally blind after having carried her last pregnancy to term. One is in prison for infanticide, which in all likelihood was committed by her boyfriend. National and foreign journalists were in attendance, as well as observers from all walks of life--writers, students, mothers, activists, feminists, husbands. The evidence was clear and compelling. Restrictive abortion laws make abortion unsafe by pushing it underground, endanger women's health, create a climate where even those services that are allowed by law-become unavailable, and contravene standards set by international human rights law. The restrictive abortion law in Poland has not increased the number of births; it has only caused women and their families suffering. The Tribunal brought the issue of abortion into the media prior to an election campaign and galvanised Polish and other Eastern European women's groups to become more active in defence of abortion rights.

  6. Word Boundaries in L2 Speech: Evidence from Polish Learners of English

    Science.gov (United States)

    Schwartz, Geoffrey

    2016-01-01

    Acoustic and perceptual studies investgate B2-level Polish learners' acquisition of second language (L2) English word-boundaries involving word-initial vowels. In production, participants were less likely to produce glottalization of phrase-medial initial vowels in L2 English than in first language (L1) Polish. Perception studies employing word…

  7. Optimized pre-thinning procedures of ion-beam thinning for TEM sample preparation by magnetorheological polishing.

    Science.gov (United States)

    Luo, Hu; Yin, Shaohui; Zhang, Guanhua; Liu, Chunhui; Tang, Qingchun; Guo, Meijian

    2017-10-01

    Ion-beam-thinning is a well-established sample preparation technique for transmission electron microscopy (TEM), but tedious procedures and labor consuming pre-thinning could seriously reduce its efficiency. In this work, we present a simple pre-thinning technique by using magnetorheological (MR) polishing to replace manual lapping and dimpling, and demonstrate the successful preparation of electron-transparent single crystal silicon samples after MR polishing and single-sided ion milling. Dimples pre-thinned to less than 30 microns and with little mechanical surface damage were repeatedly produced under optimized MR polishing conditions. Samples pre-thinned by both MR polishing and traditional technique were ion-beam thinned from the rear side until perforation, and then observed by optical microscopy and TEM. The results show that the specimen pre-thinned by MR technique was free from dimpling related defects, which were still residual in sample pre-thinned by conventional technique. Nice high-resolution TEM images could be acquired after MR polishing and one side ion-thinning. MR polishing promises to be an adaptable and efficient method for pre-thinning in preparation of TEM specimens, especially for brittle ceramics. Copyright © 2017 Elsevier B.V. All rights reserved.

  8. OSSM2008 Polish Moessbauer Community Meeting: Program, Abstracts and List of Participants

    International Nuclear Information System (INIS)

    2008-01-01

    The Polish Moessbauer Community Meeting - OSSM2008 has been organized by Polish scientists using the Moessbauer spectroscopy in their research. Main subjects of the meeting are investigations of modern materials electronic structure by means of Moessbauer effect (ME), using ME in biological test of tissue diseases and ME investigation of physical properties of different steels

  9. Mechanical polishing as an improved surface treatment for platinum screen-printed electrodes

    Directory of Open Access Journals (Sweden)

    Junqiao Lee

    2016-07-01

    Full Text Available The viability of mechanical polishing as a surface pre-treatment method for commercially available platinum screen-printed electrodes (SPEs was investigated and compared to a range of other pre-treatment methods (UV-Ozone treatment, soaking in N,N-dimethylformamide, soaking and anodizing in aqueous NaOH solution, and ultrasonication in tetrahydrofuran. Conventional electrochemical activation of platinum SPEs in 0.5 M H2SO4 solution was ineffective for the removal of contaminants found to be passivating the screen-printed surfaces. However, mechanical polishing showed a significant improvement in hydrogen adsorption and in electrochemically active surface areas (probed by two different redox couples due to the effective removal of surface contaminants. Results are also presented that suggest that SPEs are highly susceptible to degradation by strong acidic or caustic solutions, and could potentially lead to instability in long-term applications due to continual etching of the binding materials. The ability of SPEs to be polished effectively extends the reusability of these traditionally “single-use” devices. Keywords: Screen-printed electrodes, Polishing, Platinum, Activation, Pre-treatment, Cyclic voltammetry

  10. Development of clean chemical mechanical polishing systems; Clean CMP system

    Energy Technology Data Exchange (ETDEWEB)

    Tsujimura, M.; Hosokawa, M. [Ebara Corp., Tokyo (Japan)

    1998-10-20

    Described herein are clean chemical mechanical polishing (CMP) systems developed by Ebara. A CMP system needs advanced peripheral techniques, in addition to those for grinding adopted by the conventional system, in order to fully exhibit its inherent functions. An integrated design concept is essential for the CMP steps, including slurry supplying, polishing, washing, process controlling and waste fluid treatment. The Ebara has adopted a standard concept `Clean CMP, dry-in and dry-out of wafers,` and provided world`s highest grades of techniques for inter-layer insulating film, shallow trench isolation, plug and wiring. The head for the polishing module is specially designed by FEM, to improve homogeneity of wafers from the center to edges. The dresser is also specially designed, to improve pad surface topolody after dressing. A slurry dipsersing method is developed to reduce slurry consumption. Various washing modules, designed to have the same external shape, can be allocated to various functions. 10 figs.

  11. Soft tissue adhesion of polished versus glazed lithium disilicate ceramic for dental applications.

    Science.gov (United States)

    Brunot-Gohin, C; Duval, J-L; Azogui, E-E; Jannetta, R; Pezron, I; Laurent-Maquin, D; Gangloff, S C; Egles, C

    2013-09-01

    Ceramics are widely used materials for prosthesis, especially in dental fields. Despite multiple biomedical applications, little is known about ceramic surface modifications and the resulting cell behavior at its contact. The aim of this study is to evaluate the biological response of polished versus glazed surface treatments on lithium disilicate dental ceramic. We studied a lithium disilicate ceramic (IPS e.max(®) Press, Ivoclar Vivadent) with 3 different surface treatments: raw surface treatment, hand polished surface treatment, and glazed surface treatment (control samples are Thermanox(®), Nunc). In order to evaluate the possible modulation of cell response at the surface of ceramic, we compared polished versus glazed ceramics using an organotypic culture model of chicken epithelium. Our results show that the surface roughness is not modified as demonstrated by equivalent Ra measurements. On the contrary, the contact angle θ in water is very different between polished (84°) and glazed (33°) samples. The culture of epithelial tissues allowed a very precise assessment of histocompatibility of these interfaces and showed that polished samples increased cell adhesion and proliferation as compared to glazed samples. Lithium disilicate polished ceramic provided better adhesion and proliferation than lithium disilicate glazed ceramic. Taken together, our results demonstrate for the first time, how it is possible to use simple surface modifications to finely modulate the adhesion of tissues. Our results will help dental surgeons to choose the most appropriate surface treatment for a specific clinical application, in particular for the ceramic implant collar. Copyright © 2013 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  12. Is hypochondriasis a significant problem among polish adolescents? An attempt of assessment of severe form of health anxiety in polish population

    Directory of Open Access Journals (Sweden)

    Janusz Kocjan

    2017-08-01

    [Conclusions] The study provides evidence about moderate intensification of health anxiety among polish adolescent. Health anxiety level was significantly higher among medical students versus non-medical students group.

  13. A Study of Polishing Feature of Ultrasonic-Assisted Vibration Method in Bamboo Charcoal

    Directory of Open Access Journals (Sweden)

    Hsin-Min Lee

    2017-01-01

    Full Text Available Focusing on the feature of porosity in bamboo charcoal, this study applies the ultrasonic-assisted vibration method to perform surface polishing of the silicon wafer workpiece. The self-developed bamboo charcoal polishing spindle and ultrasonic- assisted vibration mechanism are attached to a single lapping machine. In the machining process, ultrasonic vibration enables the diamond slurry to smoothly pass through the microscopic holes of bamboo charcoal; the end of the bamboo charcoalis able to continue machining on the surface of the workpiece through the grasping force which exists in the microscopic holes. Under the polishing and machining parameters of ultrasonic-assisted vibration, with a diamond slurry concentration of 0.3%, the experimental results show a polishing time of 20 min, a loading of 25 N on the workpiece surface, a spindle speed of 1200 rpm, a vibration frequency of 30 kHz and the original surface roughness value of Ra 0.252 μm equals that of a mirror-like surface at Ra 0.017 μm. These research results prove that by using bamboo charcoal and ultrasonic-assisted vibration for polishing, a very good improvement can be achieved on the workpiece surface.

  14. Globes and Teaching Aids Manufactured by Jan Felkl Company for the Polish Market

    Directory of Open Access Journals (Sweden)

    Malgorzata Taborska

    2016-05-01

    Full Text Available Jan Felkl company from Roztoky (Roztok near Prague manufactured globes in seventeen language versions, since 1861 also in Polish language. The company was active until 1952, but it ceased to manufacture Polish-language globes as early as in 1914. In the aftermath of the First World War, and with the development of printing business, the demand for Czech globes shrank. It is difficult to estimate the overall output of Polish- language globes manufactured by Felkl’s company throughout the 53 years it operated. From catalogues and the surviving globes we know that terrestrial globes in six sizes, folding globes in two sizes, celestial globes (probably in four sizes, as well as telluria, lunaria and planetaria were manufactured for the Polish market. It is difficult to decide how many editions of individual types of globes were issued. Polish names were compiled by Franciszek Waligórski (one globe and Mirosław Suchecki. Only 28 globes have survived to this day, including one celestial globe. Most of them are globes of an 8-inch diameter, approved by the Austrian ministries as teaching aids for schools. Nearly half of the surviving globes date from the years 1894–1914. Only ten items are in museums.

  15. Are Polish Primary School Pupils in Favor of Wearing Uniforms? Snapshot Comment

    Science.gov (United States)

    Asotska, Julia; Butler, Norman L.; Davidson, Barry S.; Griffith, Kimberly Grantham; Brown, Veda E.; Kritsonis, Wiilliam Allan

    2007-01-01

    The purpose of this article is to discuss whether Polish primary school pupils want to wear uniforms, and it is motivated by the Polish government's recently proposed policy: Zero Tolerance for Violence at School. Seventy one pupils, who attend Podstawowka Nr30 school in Cracow, were surveyed, and the authors found that most pupils are not in…

  16. Effect of porcelain polishing addition of waste in properties blocks ceramic; Efeito da adicao do residuo de polimento de porcelanato nas propriedades de blocos ceramicos

    Energy Technology Data Exchange (ETDEWEB)

    Santana, G.L.; Barbosa Neto, M.C.; Campos, L.F.; Macedo, D.A; Dutra, R.P.S., E-mail: geovanalira1@gmail.com [Universidade Federal da Paraiba (UFPB), PB (Brazil)

    2016-07-01

    This work has as objective the study of the technological properties of ceramic blocks with addition of residue porcelain polishing. The test samples are produced with clay base, where the waste is introduced in concentrations of 10% and 20% by mass, to evaluate its influence on the properties of the ceramic block. All these materials were characterized by determining their chemical composition (XRF) and X-ray diffraction Sintering was performed at temperatures of 850 ° C, 950 ° C and 1100 ° C with a heating rate of 2 ° C / me and 60 minutes of landing. After this, there was obtained the technological properties of the samples such as: Loss on fire, the burning linear shrinkage, water absorption, porosity and density, as well as, mechanical strength properties through the flexural strength test. The results show that the addition of waste influenced both the technological properties, the mechanical properties evaluated in this study. (author)

  17. Materials of 46. Scientific Assembly of Polish Chemical Society and Association of Engineers and Technicians of Chemical. Volume 1,2,3

    International Nuclear Information System (INIS)

    2003-01-01

    Scientific assemblies of Polish Chemical Society are the most important chemical meetings organised annually in Poland. Basic as well as application studies in all chemical branches have been extensively presented. The next subjects were proposed as scientific sessions and symposia topics: organic chemistry, inorganic chemistry, physical chemistry, analytical chemistry, technology and chemical engineering, polymer chemistry, solid state chemistry, catalysis, biological chemistry, chemistry and technology of coal, environmental protection, didactics of chemistry, history of chemistry, young scientist forum as well as the reports of results of works sponsored by Committee of Scientific Research

  18. Moment magnitude determination of local seismic events recorded at selected Polish seismic stations

    Science.gov (United States)

    Wiejacz, Paweł; Wiszniowski, Jan

    2006-03-01

    The paper presents the method of local magnitude determination used at Polish seismic stations to report events originating in one of the four regions of induced seismicity in Poland or its immediate vicinity. The method is based on recalculation of the seismic moment into magnitude, whereas the seismic moment is obtained from spectral analysis. The method has been introduced at Polish seismic stations in the late 1990s but as of yet had not been described in full because magnitude discrepancies have been found between the results of the individual stations. The authors have performed statistics of these differences, provide their explanation and calculate station corrections for each station and each event source region. The limitations of the method are also discussed. The method is found to be a good and reliable method of local magnitude determination provided the limitations are observed and station correction applied.

  19. Analysis of the biological and molecular variability of the Polish isolates of Tomato black ring virus (TBRV).

    Science.gov (United States)

    Rymelska, N; Borodynko, N; Pospieszny, H; Hasiów-Jaroszewska, B

    2013-10-01

    Tomato black ring virus (TBRV) is an important pathogen infecting many plant species worldwide. The biological and molecular variability of the Polish isolates of TBRV was analyzed. The analysis was performed based on the symptoms induced by various isolates on test plant species as well as on phylogenetic relationships between isolates. Isolates differed in their host range and symptomatology. In addition, genetic variation among isolates was characterized by restriction fragment length polymorphism analysis and confirmed by sequencing. The phylogenetic analysis revealed that the Polish isolates differ from each other and do not form a monophyletic cluster. Finally, we identified and analyzed sequences of defective RNA forms arising from the TBRV genome.

  20. Combined Ultrasonic Elliptical Vibration and Chemical Mechanical Polishing of Monocrystalline Silicon

    Directory of Open Access Journals (Sweden)

    Liu Defu

    2016-01-01

    Full Text Available An ultrasonic elliptical vibration assisted chemical mechanical polishing(UEV-CMP is employed to achieve high material removal rate and high surface quality in the finishing of hard and brittle materials such as monocrystalline silicon, which combines the functions of conventional CMP and ultrasonic machining. In theultrasonic elliptical vibration aided chemical mechanical polishingexperimental setup developed by ourselves, the workpiece attached at the end of horn can vibrate simultaneously in both horizontal and vertical directions. Polishing experiments are carried out involving monocrystalline silicon to confirm the performance of the proposed UEV-CMP. The experimental results reveal that the ultrasonic elliptical vibration can increase significantly the material removal rate and reduce dramatically the surface roughness of monocrystalline silicon. It is found that the removal rate of monocrystalline silicon polished by UEV-CMP is increased by approximately 110% relative to that of conventional CMP because a passive layer on the monocrystalline silicon surface, formed by the chemical action of the polishing slurry, will be removed not only by the mechanical action of CMP but also by ultrasonic vibration action. It indicates that the high efficiency and high quality CMP of monocrystalline silicon can be performed with the proposed UEV-CMP technique.

  1. Problems in laser repair welding of polished surfaces

    Directory of Open Access Journals (Sweden)

    A. Skumavc

    2014-10-01

    Full Text Available This paper presents problems in laser repair welding of the tools for injection moulding of plastics and light metals. Tools for injection moulding of the car headlamps are highly polished in order to get a desirable quality of the injected part. Different light metals, glasses, elastomers, thermoplastics and thermosetting polymers are injected into the die cavity under high pressures resulting in the surface damages of the tool. Laser welding is the only suitable repair welding technique due to the very limited sputtering during deposition of the filler metal. Overlapping of the welds results in inhomogeneous hardness of the remanufactured surface. Results have shown strong correlation between hardness and surface waviness after final polishing of the repair welded surface.

  2. Natural immunity factors in Polish mixed breed rabbits.

    Science.gov (United States)

    Tokarz-Deptuła, B; Niedźwiedzka-Rystwej, P; Adamiak, M; Hukowska-Szematowicz, B; Trzeciak-Ryczek, A; Deptuła, W

    2015-01-01

    Mixed-breed rabbits in Poland are widely used for diagnostic and scientific research and as utility animals, therefore there is a need to know their immunological status, as well as their haematological status. In this study natural immunity factors were analyzed in Polish mixed-breed rabbits and Polish mixed-breed rabbits with addition of blood of meet-breed, considering the impact of sex and season of the year (spring, summer, autumn, winter) using measurement of non-specific cellular and humoral immunity parameters in peripheral blood. The study has revealed that there is a variety between the two commonly used mixed-breed types of rabbits, especially when sex and season is concerned, which is crucial for using these animals in experiments.

  3. Africa and Its People in the Polish Media

    Directory of Open Access Journals (Sweden)

    Średziński Paweł

    2017-06-01

    Full Text Available The African continent is treated by the Polish media marginally and usually seen through the lens of four domains of stereotypical perceptions that are associated with difficult life conditions, threats and dangers, beautiful and wild nature, as well as original and diverse cultures. Monitoring of the Polish media has become very important in this situation. That is why the results of first media monitoring report were published in 2011 by ‘Africa Another Way’ Foundation. Five years later the monitoring was repeated. It is hard to resist the impression that Africa is still viewed as this poor, underdeveloped and dangerous continent. And the way it is presented translates into the way individuals of African descent are perceived.

  4. The effect of polishing technique on 3-D surface roughness and gloss of dental restorative resin composites.

    Science.gov (United States)

    Ereifej, N S; Oweis, Y G; Eliades, G

    2013-01-01

    The aim of this study was to compare surface roughness and gloss of resin composites polished using different polishing systems. Five resin composites were investigated: Filtek Silorane (FS), IPS Empress Direct (IP), Clearfil Majesty Posterior (CM), Premise (PM), and Estelite Sigma (ES). Twenty-five disk specimens were prepared from each material, divided into five groups, each polished with one of the following methods: Opti1Step (OS), OptiDisc (OD), Kenda CGI (KD), Pogo (PG), or metallurgical polishing (ML). Gloss and roughness parameters (Sa, Sz, Sq, and St) were evaluated by 60°-angle glossimetry and white-light interferometric profilometry. Two-way analysis of variance was used to detect differences in different materials and polishing techniques. Regression and correlation analyses were performed to examine correlations between roughness and gloss. Significant differences in roughness parameters and gloss were found according to the material, type of polishing, and material/polishing technique (pgloss was recorded for PM/ML (88.4 [2.3]) and lowest for FS/KD (30.3 [5.7]). All roughness parameters were significantly correlated with gloss (r= 0.871, 0.846, 0.713, and 0.707 for Sa, Sq, Sz, St, and gloss, respectively). It was concluded that the polishing procedure and the type of composite can have significant impacts on surface roughness and gloss of resin composites.

  5. Terminology extraction from medical texts in Polish.

    Science.gov (United States)

    Marciniak, Małgorzata; Mykowiecka, Agnieszka

    2014-01-01

    Hospital documents contain free text describing the most important facts relating to patients and their illnesses. These documents are written in specific language containing medical terminology related to hospital treatment. Their automatic processing can help in verifying the consistency of hospital documentation and obtaining statistical data. To perform this task we need information on the phrases we are looking for. At the moment, clinical Polish resources are sparse. The existing terminologies, such as Polish Medical Subject Headings (MeSH), do not provide sufficient coverage for clinical tasks. It would be helpful therefore if it were possible to automatically prepare, on the basis of a data sample, an initial set of terms which, after manual verification, could be used for the purpose of information extraction. Using a combination of linguistic and statistical methods for processing over 1200 children hospital discharge records, we obtained a list of single and multiword terms used in hospital discharge documents written in Polish. The phrases are ordered according to their presumed importance in domain texts measured by the frequency of use of a phrase and the variety of its contexts. The evaluation showed that the automatically identified phrases cover about 84% of terms in domain texts. At the top of the ranked list, only 4% out of 400 terms were incorrect while out of the final 200, 20% of expressions were either not domain related or syntactically incorrect. We also observed that 70% of the obtained terms are not included in the Polish MeSH. Automatic terminology extraction can give results which are of a quality high enough to be taken as a starting point for building domain related terminological dictionaries or ontologies. This approach can be useful for preparing terminological resources for very specific subdomains for which no relevant terminologies already exist. The evaluation performed showed that none of the tested ranking procedures were

  6. A concept for the modernization of underground mining master maps based on the enrichment of data definitions and spatial database technology

    Directory of Open Access Journals (Sweden)

    Krawczyk Artur

    2018-01-01

    Full Text Available In this article, topics regarding the technical and legal aspects of creating digital underground mining maps are described. Currently used technologies and solutions for creating, storing and making digital maps accessible are described in the context of the Polish mining industry. Also, some problems with the use of these technologies are identified and described. One of the identified problems is the need to expand the range of mining map data provided by survey departments to other mining departments, such as ventilation maintenance or geological maintenance. Three solutions are proposed and analyzed, and one is chosen for further analysis. The analysis concerns data storage and making survey data accessible not only from paper documentation, but also directly from computer systems. Based on enrichment data, new processing procedures are proposed for a new way of presenting information that allows the preparation of new cartographic representations (symbols of data with regard to users’ needs.

  7. Directory of Polish Officials: A Reference Aid

    Science.gov (United States)

    1989-04-01

    Jan 86 Deputy Director Mondalski, Janusz Aug 83 Polish Steamship Company (Polski Zegluga Morska ) (PZM) Director Andruczyk, Mieczyslaw Jan...2<> Polski Kosciol Chrzescijan Baptystow 12° Polski Linie Oceaniczne ’"- Polski Towarzystwo Ekonomiczne ’’° Polski Zegluga Morska 102 Polski

  8. Migration, citizenship and cultural security: Case of Polish Immigrants in Reykjavik

    Directory of Open Access Journals (Sweden)

    Monika Nowicka

    2014-06-01

    Full Text Available The aim of this article is to analyze cultural security from the micro and meso perspective of immigrants. The paper covers following issues: cultural security in migration context, cultural citizenship, description of Polish diaspora in Reykjavik in the context of cultural activities and Icelandic support for immigrants’ cultural security. The article is based on researches carried out in Reykjavik in 2010 and in 2014 and on a desk research carried out in May 2014.

  9. Effects of delayed finishing/polishing on surface roughness, hardness and gloss of tooth-coloured restorative materials.

    Science.gov (United States)

    Yazici, A Ruya; Tuncer, Duygu; Antonson, Sibel; Onen, Alev; Kilinc, Evren

    2010-01-01

    The aim of this study was to investigate the effect of delayed finishing/polishing on the surface roughness, hardness and gloss of tooth-coloured restorative materials. Four different tooth-coloured restoratives: a flowable resin composite- Tetric Flow, a hybrid resin composite- Venus, a nanohybrid resin composite- Grandio, and a polyacid modified resin composite- Dyract Extra were used. 30 specimens were made for each material and randomly assigned into three groups. The first group was finished/polished immediately and the second group was finished/polished after 24 hours. The remaining 10 specimens served as control. The surface roughness of each sample was recorded using a laser profilometer. Gloss measurements were performed using a small-area glossmeter. Vickers microhardness measurements were performed from three locations on each specimen surface under 100g load and 10s dwell time. Data for surface roughness and hardness were analyzed by Kruskal Wallis test and data for gloss were subjected to one-way ANOVA and Tukey test (P gloss values were recorded under Mylar strip for all materials. While delayed finishing/polishing resulted in a significantly higher gloss compared to immediate finishing/polishing in Venus samples (P .05). The lowest hardness values were found under Mylar strip. Delayed finishing/polishing significantly increased the hardness of all materials. The effect of delayed finishing/polishing on surface roughness, gloss and hardness appears to be material dependent.

  10. Buffered Electrochemical Polishing of Niobium

    Energy Technology Data Exchange (ETDEWEB)

    Ciovati, Gianluigi [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Tian, Hui [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); College of William and Mary, Williamsburg, VA (United States); Corcoran, Sean [Virginia Polytechnic Inst. and State Univ. (Virginia Tech), Blacksburg, VA (United States)

    2011-03-01

    The standard preparation of superconducting radio-frequency (SRF) cavities made of pure niobium include the removal of a 'damaged' surface layer, by buffered chemical polishing (BCP) or electropolishing (EP), after the cavities are formed. The performance of the cavities is characterized by a sharp degradation of the quality factor when the surface magnetic field exceeds about 90 mT, a phenomenon referred to as 'Q-drop.' In cavities made of polycrystalline fine grain (ASTM 5) niobium, the Q-drop can be significantly reduced by a low-temperature (? 120 °C) 'in-situ' baking of the cavity if the chemical treatment was EP rather than BCP. As part of the effort to understand this phenomenon, we investigated the effect of introducing a polarization potential during buffered chemical polishing, creating a process which is between the standard BCP and EP. While preliminary results on the application of this process to Nb cavities have been previously reported, in this contribution we focus on the characterization of this novel electrochemical process by measuring polarization curves, etching rates, surface finish, electrochemical impedance and the effects of temperature and electrolyte composition. In particular, it is shown that the anodic potential of Nb during BCP reduces the etching rate and improves the surface finish.

  11. Slower nicotine metabolism among postmenopausal Polish smokers.

    Science.gov (United States)

    Kosmider, Leon; Delijewski, Marcin; Koszowski, Bartosz; Sobczak, Andrzej; Benowitz, Neal L; Goniewicz, Maciej L

    2018-06-01

    A non-invasive phenotypic indicator of the rate of nicotine metabolism is nicotine metabolite ratio (NMR) defined as a ratio of two major metabolites of nicotine - trans-3'-hydroxycotinine/cotinine. The rate of nicotine metabolism has important clinical implications for the likelihood of successful quitting with nicotine replacement therapy (NRT). We conducted a study to measure NMR among Polish smokers. In a cross-sectional study of 180 daily cigarette smokers (42% men; average age 34.6±13.0), we collected spot urine samples and measured trans-3'-hydroxycotinine (3-HC) and cotinine levels with LC-MS/MS method. We calculated NMR (molar ratio) and analyzed variations in NMR among groups of smokers. In the whole study group, an average NMR was 4.8 (IQR 3.4-7.3). The group of women below 51 years had significantly greater NMR compared to the rest of the population (6.4; IQR 4.1-8.8 vs. 4.3; IQR 2.8-6.4). No differences were found among group ages of male smokers. This is a first study to describe variations in nicotine metabolism among Polish smokers. Our findings indicate that young women metabolize nicotine faster than the rest of population. This finding is consistent with the known effects of estrogen to induce CYP2A6 activity. Young women may require higher doses of NRT or non-nicotine medications for most effective smoking cessation treatment. Copyright © 2017 Institute of Pharmacology, Polish Academy of Sciences. Published by Elsevier B.V. All rights reserved.

  12. Design, analysis, and testing of a flexure-based vibration-assisted polishing device

    Science.gov (United States)

    Gu, Yan; Zhou, Yan; Lin, Jieqiong; Lu, Mingming; Zhang, Chenglong; Chen, Xiuyuan

    2018-05-01

    A vibration-assisted polishing device (VAPD) composed of leaf-spring and right-circular flexure hinges is proposed with the aim of realizing vibration-assisted machining along elliptical trajectories. To design the structure, energy methods and the finite-element method are used to calculate the performance of the proposed VAPD. An improved bacterial foraging optimization algorithm is used to optimize the structural parameters. In addition, the performance of the VAPD is tested experimentally. The experimental results indicate that the maximum strokes of the two directional mechanisms operating along the Z1 and Z2 directions are 29.5 μm and 29.3 μm, respectively, and the maximum motion resolutions are 10.05 nm and 10.01 nm, respectively. The maximum working bandwidth is 1,879 Hz, and the device has a good step response.

  13. Upgrade of pipelines operated in a Polish conditions in accordance with European Standards

    International Nuclear Information System (INIS)

    Witek, M.

    2007-01-01

    This paper presents some aspects of changing polish technical requirements concerning high pressure gas pipelines in accordance with European Norm 1594 '' Gas supply system. Pipelines with maximum operating pressure over 16 bar. Functional requirements ''. An additional class location of the steel pipelines was analyzed and supported by the results on numerous pipeline cases in Poland. Minimum distances between pipelines and buildings are given as a proposal to upgrading polish technical law in the area of the gas grid. Special attention in analysis was given to the polish existing high pressure gas network and calculation examples of existing types of steel used in pipeline construction in the past. (author)

  14. Apparatus and method for deterministic control of surface figure during full aperture polishing

    Science.gov (United States)

    Suratwala, Tayyab Ishaq; Feit, Michael Dennis; Steele, William Augustus

    2013-11-19

    A polishing system configured to polish a lap includes a lap configured to contact a workpiece for polishing the workpiece; and a septum configured to contact the lap. The septum has an aperture formed therein. The radius of the aperture and radius the workpiece are substantially the same. The aperture and the workpiece have centers disposed at substantially the same radial distance from a center of the lap. The aperture is disposed along a first radial direction from the center of the lap, and the workpiece is disposed along a second radial direction from the center of the lap. The first and second radial directions may be opposite directions.

  15. Polish Literature of the Holocaust. The First Instalment: 1939-1968

    Directory of Open Access Journals (Sweden)

    Katarzyna Kuczyńska-Koschany

    2015-01-01

    Full Text Available The text is a critical attempt discussing the compendium Literatura polska wobec Zagłady, (“Polish Literature in the Face of the Holocaust” edited and published by three prominent scholarly experts on the subject: Sławomir Buryła, Dorota Krawczyńska and Jacek Leociak. This is the first of the three volumes of the series Reprezentacje Zagłady w kulturze polskiej (“Representations of the Holocaust in Polish Culture” – an endeavour which is imposing already in its first instalment concerning the years 1939-1968. The time frame of the abovementioned volume is marked by the date of the beginning of World War II (1939, resulting in the Holocaust of the Jews of Europe, and a “dry pogrom”, that is was the anti-Semitic campaign in Poland in 1968 (the campaign itself and its writings shall be examined in the following volume. A comprehensive and very carefully prepared monograph has been divided into two fundamental parts: concerning the literature reacting to the Holocaust conducted by Nazi Germany during the war (1939-1945 and discussing the literary echoes of that genocide in the years 1945-1968. The study and invaluable interpretational effort have been focused on personal document literature (Marta Janczewska, Jacek Leociak, the prose (Sławomir Buryła, Dorota Krawczyńska, the poetry (Piotr Matywiecki and the press (Ewa Koźmińska-Frejlak. A separate chapter has been devoted to a the “global text”, i.e., Archiwum Ringelbluma (“Ringelblum’s Archives”. Highly appreciating the entire volume as well as its individual fragments, recalling fundamental considerations and the ones concerning details, finally, proposing small corrections and pointing to minor shortcomings, the author of the critical review suggests the use of the formula “Polish literature of the Holocaust” (analogous to the formula coined by Grzegorz Niziołek “Polish theatre of the Holocaust” as the one principally necessary to be contrasted with the

  16. Analysis and optimization of surface profile correcting mechanism of the pitch lap in large-aperture annular polishing

    Science.gov (United States)

    Zhang, Huifang; Yang, Minghong; Xu, Xueke; Wu, Lunzhe; Yang, Weiguang; Shao, Jianda

    2017-10-01

    The surface figure control of the conventional annular polishing system is realized ordinarily by the interaction between the conditioner and the lap. The surface profile of the pitch lap corrected by the marble conditioner has been measured and analyzed as a function of kinematics, loading conditions, and polishing time. The surface profile measuring equipment of the large lap based on laser alignment was developed with the accuracy of about 1μm. The conditioning mechanism of the conditioner is simply determined by the kinematics and fully fitting principle, but the unexpected surface profile deviation of the lap emerged frequently due to numerous influencing factors including the geometrical relationship, the pressure distribution at the conditioner/lap interface. Both factors are quantitatively evaluated and described, and have been combined to develop a spatial and temporal model to simulate the surface profile evolution of pitch lap. The simulations are consistent with the experiments. This study is an important step toward deterministic full-aperture annular polishing, providing a beneficial guidance for the surface profile correction of the pitch lap.

  17. A Comparison of Physical Education Students’ Motivation Using Polish and Turkish Versions of the Academic Motivation Scale

    Directory of Open Access Journals (Sweden)

    Ardeńska Agnieszka

    2016-12-01

    Full Text Available Motivation is an important phenomenon in the realm of education, particularly in the university fields connected with physical education and sport, where it is necessary to accommodate and balance intellectual abilities and physical fitness. The present study tested motivation levels among university students in the fields connected with physical education and sport in Poland and Turkey. It was based on the Self-Determination Theory (Deci and Ryan, 1985, namely intrinsic motivation, extrinsic motivation and amotivation which impact human behaviour. The Academic Motivation Scale was used (Vallerand et al., 1992. The aims of the study were twofold, first, to crossculturally validate Polish and Turkish versions of the Academic Motivation Scale and second, to identify and compare the motivation to study depending on nationality and gender. Both Polish and Turkish versions of the questionnaire were validated and converted to a four-factorial structure. The findings indicated that Polish and Turkish students’ motivation especially differed in amotivation and intrinsic motivation to know and experience stimulation. Moreover, Turkish female students proved to be at the lowest estimate of amotivation.

  18. A Comparison of Physical Education Students’ Motivation Using Polish and Turkish Versions of the Academic Motivation Scale

    Science.gov (United States)

    Tomik, Rajmund; Berber, Serkan; Düz, Burak; Çivak, Barış; Çalişkan, Uğur; Ogrodnik, Joanna

    2016-01-01

    Abstract Motivation is an important phenomenon in the realm of education, particularly in the university fields connected with physical education and sport, where it is necessary to accommodate and balance intellectual abilities and physical fitness. The present study tested motivation levels among university students in the fields connected with physical education and sport in Poland and Turkey. It was based on the Self-Determination Theory (Deci and Ryan, 1985), namely intrinsic motivation, extrinsic motivation and amotivation which impact human behaviour. The Academic Motivation Scale was used (Vallerand et al., 1992). The aims of the study were twofold, first, to crossculturally validate Polish and Turkish versions of the Academic Motivation Scale and second, to identify and compare the motivation to study depending on nationality and gender. Both Polish and Turkish versions of the questionnaire were validated and converted to a four-factorial structure. The findings indicated that Polish and Turkish students’ motivation especially differed in amotivation and intrinsic motivation to know and experience stimulation. Moreover, Turkish female students proved to be at the lowest estimate of amotivation. PMID:28031771

  19. A Comparison of Physical Education Students' Motivation Using Polish and Turkish Versions of the Academic Motivation Scale.

    Science.gov (United States)

    Ardeńska, Agnieszka; Tomik, Rajmund; Berber, Serkan; Düz, Burak; Çivak, Barış; Çalişkan, Uğur; Ogrodnik, Joanna

    2016-12-01

    Motivation is an important phenomenon in the realm of education, particularly in the university fields connected with physical education and sport, where it is necessary to accommodate and balance intellectual abilities and physical fitness. The present study tested motivation levels among university students in the fields connected with physical education and sport in Poland and Turkey. It was based on the Self-Determination Theory (Deci and Ryan, 1985), namely intrinsic motivation, extrinsic motivation and amotivation which impact human behaviour. The Academic Motivation Scale was used (Vallerand et al., 1992). The aims of the study were twofold, first, to crossculturally validate Polish and Turkish versions of the Academic Motivation Scale and second, to identify and compare the motivation to study depending on nationality and gender. Both Polish and Turkish versions of the questionnaire were validated and converted to a four-factorial structure. The findings indicated that Polish and Turkish students' motivation especially differed in amotivation and intrinsic motivation to know and experience stimulation. Moreover, Turkish female students proved to be at the lowest estimate of amotivation.

  20. Polish media and public opinion on NPP Mochovce commissioning

    International Nuclear Information System (INIS)

    Latek, Stanislaw

    1999-01-01

    The so called 'Mochovce Problem' was one of the major topics in Polish media in the period from May to July 1998. The nuclear power plant commissioning caused an unexpectedly strong reaction, especially in the newspapers, slightly less so in electronic media. Faced with clearly hostile media reaction to Mochovce NPP, the National Atomic Energy Agency representatives, together with atomic and nuclear experts, undertook to change these attitudes. In numerous interviews, letters to the editors and talks with journalists, they attempted to correct the mistakes, explain the true safety situation in the nuclear power plant, by whom it was constructed, who supervised and tested the systems and so on. The completion of Mochovce NPP construction improved significantly the electricity balance in Slovakia, thus decreasing the pressure for continuing the operation of older Bohunice V1 units beyond their design lifetime. For this reason, as well as in view of striving for improvement in environmental factors beyond Polish southern border, especially after Kyoto/97 decisions on greenhouse gases emissions, the public opinion in Poland should support the Mochovce NPP construction. In 1996 Poland has signed with Slovakia a bilateral inter-governmental agreement on the prompt notification on nuclear accidents and on the cooperation in the nuclear safety and radiological protection matters. On the basis of this agreement the experts from Polish National Atomic Energy Agency are in perpetual contact with Slovakian Nuclear Regulatory Body and in each and every moment can obtain full and comprehensive information on the plant parameters iportant for nuclear safety. The experts explanations, together with the NAEA top management visit to the plant itself, brought some results. The media became less aggressive, and Polish public and authorities - contrary to the Austrians - do not protest loudly against the commissioning of this newest European NPP. Now, in December 1998, the tune of

  1. Attitudes of Polish Consumers Toward Experiential Marketing

    Directory of Open Access Journals (Sweden)

    Monika Skorek

    2017-01-01

    Full Text Available Purpose: The experience economy is a concept that can be defned as a new way of perceiving the market offer of an enterprise, focusing on the customer experience. Enterprises, both in the production and service industries, are becoming similar to one another on a massive scale, which makes it increasingly diffcult to stand out. The response to this can be to offer the consumer an unforgettable experience related to a product or service provided by a company. Methodology: This study analysed the results of qualitative research on the attitudes and opinions of Polish consumers on experience marketing. Results/fndings: The results showed that participants of the study were prepared to transition from the economy based on products or services to an economy based on experiences at a moderate level. They declared a willingness to participate in experiences offered by companies but at the same time focus on the cost and utility of them

  2. Surface texture of resin-modified glass ionomer cements: effects of finishing/polishing time.

    Science.gov (United States)

    Yap, A U J; Ong, S B; Yap, W Y; Tan, W S; Yeo, J C

    2002-01-01

    This study compared the surface texture of resin-modified glass ionomer cements after immediate and delayed finishing with different finishing/polishing systems. Class V preparations were made on the buccal and lingual/palatal surfaces of 64 freshly extracted teeth. The cavities on each tooth were restored with Fuji II LC (GC) and Photac-Fil Quick (3M-ESPE) according to manufacturers' instructions. Immediately after light-polymerization, gross finishing was done with 8-fluted tungsten carbide burs. The teeth were then randomly divided into four groups of 16 teeth. Half of the teeth in each group were finished immediately, while the remaining half were finished after one-week storage in distilled water at 37 degrees C. The following finishing/polishing systems were employed: (a) Robot Carbides; (b) Super-Snap system; (c) OneGloss and (d) CompoSite Polishers. The mean surface roughness (microm; n=8) in vertical (RaV) and horizontal (RaH) axis was measured using a profilometer. Data was subjected to ANOVA/Scheffe's tests and Independent Samples t-test at significance level 0.05. Ra values were generally lower in both vertical and horizontal axis with delayed finishing/polishing. Although significant differences in RaV and RaH values were observed among several systems with immediate finishing/polishing, only one (Fuji II LC: RaH - Super-Snap < Robot Carbides) was observed with delayed finishing.

  3. On the generation of surface depressions in polishing polycrystalline diamond compacts

    International Nuclear Information System (INIS)

    Tang, Fengzai; Chen, Yiqing; Zhang, Liangchi

    2014-01-01

    This paper investigates the surface depressions generated during the polishing of the (1 1 1) surfaces of polycrystalline diamond (PCD) compacts when using the dynamic friction polishing (DFP) method. It was found that surface depressions of six-sided faces along octahedral planes were the typical features created by the DFP. Although the size of the well-developed depressions can vary significantly, the rectilinear edges are always aligned with the directions. Pronounced {1 1 1} planar defects (i.e., twins) were revealed underneath a depression apex. The interception of the defect plane with the polished surface accounts for the generation of the aligned depressions and for the discernible asymmetry of the pyramidal faces with respect to the (1 1 1) plane. It was revealed that the attached debris layer on the PCD surfaces contained sp 2 -bounded amorphous carbon and nano-sized crystals. (paper)

  4. Copenhagen Psychosocial Questionnaire (COPSOQ – Psychometric properties of selected scales in the Polish version

    Directory of Open Access Journals (Sweden)

    Maria Widerszal-Bazyl

    2017-06-01

    Full Text Available Background: The Copenhagen Psychosocial Questionniare (COPSOQ has many advantages as compared to other similar instruments, i.a., it is not related to one single theoretical model and it measures a wide spectrum of psychosocial working conditions that have shown proven impact on health. The aim of the article is to summarize information on psychometric properties of 11 scales in the Polish version: Quantitative Demands, Emotional Demands, Influence at Work, Social Support, Possibilities for Development, Meaning of Work, Role Clarity, Quality of Leadership, Job Insecurity, Job Satisfaction, and General Health. Material and Methods: Analyses were based on the results of 4 research projects, in which the Polish version of the COPCOQ scales has been used: on a representative sample of Polish nurses (N = 4354, job insecurity in 2 groups of civil servants (N = 295, N = 724, mobbing among teachers (N = 1037 and psychological consequences of restructuring (N = 1396. The following psychometric characteristics were analysed: internal reliability, theoretical validity, and factorial validity. Results: Internal reliability of the following 8 scales was found satisfactory: Quantitative Demands, Influence at Work, Social Support, Possibilities for Development, Meaning of Work, Quality of Leadership, Job Satisfaction, and General Health (Cronbach’s α = 0.7–0.91, depending on the scale. The results of exploratory factor analyses indicated factorial separateness of the above mentioned scales. Theoretical validity of 11 scales was confirmed; they were associated with variables that can be regarded as their validity criteria. Conclusions: The 8 COPSOQ scales, in the Polish version, can be recommended for using in the research involving psychosocial job characteristics. Mean scores on these scales and standard deviations given in the article can serve as reference points during an evaluation of received results. Med Pr 2017;68(3:329–348

  5. Abstracts Book of Jubilee Scientific Assembly of Polish Chemical Society and Association of Engineers and Technicians of Chemical Industry

    International Nuclear Information System (INIS)

    2000-01-01

    Scientific Assemblies of Polish Chemical Society and Association of Engineers and Technicians of Chemical Industry are most important chemical discussion forum organised annually in Poland. Basic as well as application studies in all chemical branches have been extensively presented. The next subjects was proposed as sections and symposia topics: organic chemistry, physical chemistry (chemical kinetics, catalysis, thermodynamics), membranes and membrane processes, biological chemistry, biotechnology, metalorganic compounds and complexes, polymer chemistry, crystallochemical study, spectroscopy in nowadays chemistry, supramolecular chemistry, chemistry and technology of coal, high-energetic materials, environment protection, didactics in chemistry, radiation chemistry, photochemistry, electrochemistry, chemistry and technology of carbohydrates, theoretical and computer chemistry, young scientists forum, history of chemistry

  6. Single nucleotide polymorphism discovery in bovine liver using RNA-seq technology.

    Directory of Open Access Journals (Sweden)

    Chandra Shekhar Pareek

    Full Text Available RNA-seq is a useful next-generation sequencing (NGS technology that has been widely used to understand mammalian transcriptome architecture and function. In this study, a breed-specific RNA-seq experiment was utilized to detect putative single nucleotide polymorphisms (SNPs in liver tissue of young bulls of the Polish Red, Polish Holstein-Friesian (HF and Hereford breeds, and to understand the genomic variation in the three cattle breeds that may reflect differences in production traits.The RNA-seq experiment on bovine liver produced 107,114,4072 raw paired-end reads, with an average of approximately 60 million paired-end reads per library. Breed-wise, a total of 345.06, 290.04 and 436.03 million paired-end reads were obtained from the Polish Red, Polish HF, and Hereford breeds, respectively. Burrows-Wheeler Aligner (BWA read alignments showed that 81.35%, 82.81% and 84.21% of the mapped sequencing reads were properly paired to the Polish Red, Polish HF, and Hereford breeds, respectively. This study identified 5,641,401 SNPs and insertion and deletion (indel positions expressed in the bovine liver with an average of 313,411 SNPs and indel per young bull. Following the removal of the indel mutations, a total of 195,3804, 152,7120 and 205,3184 raw SNPs expressed in bovine liver were identified for the Polish Red, Polish HF, and Hereford breeds, respectively. Breed-wise, three highly reliable breed-specific SNP-databases (SNP-dbs with 31,562, 24,945 and 28,194 SNP records were constructed for the Polish Red, Polish HF, and Hereford breeds, respectively. Using a combination of stringent parameters of a minimum depth of ≥10 mapping reads that support the polymorphic nucleotide base and 100% SNP ratio, 4,368, 3,780 and 3,800 SNP records were detected in the Polish Red, Polish HF, and Hereford breeds, respectively. The SNP detections using RNA-seq data were successfully validated by kompetitive allele-specific PCR (KASPTM SNP genotyping assay. The

  7. Single nucleotide polymorphism discovery in bovine liver using RNA-seq technology.

    Science.gov (United States)

    Pareek, Chandra Shekhar; Błaszczyk, Paweł; Dziuba, Piotr; Czarnik, Urszula; Fraser, Leyland; Sobiech, Przemysław; Pierzchała, Mariusz; Feng, Yaping; Kadarmideen, Haja N; Kumar, Dibyendu

    2017-01-01

    RNA-seq is a useful next-generation sequencing (NGS) technology that has been widely used to understand mammalian transcriptome architecture and function. In this study, a breed-specific RNA-seq experiment was utilized to detect putative single nucleotide polymorphisms (SNPs) in liver tissue of young bulls of the Polish Red, Polish Holstein-Friesian (HF) and Hereford breeds, and to understand the genomic variation in the three cattle breeds that may reflect differences in production traits. The RNA-seq experiment on bovine liver produced 107,114,4072 raw paired-end reads, with an average of approximately 60 million paired-end reads per library. Breed-wise, a total of 345.06, 290.04 and 436.03 million paired-end reads were obtained from the Polish Red, Polish HF, and Hereford breeds, respectively. Burrows-Wheeler Aligner (BWA) read alignments showed that 81.35%, 82.81% and 84.21% of the mapped sequencing reads were properly paired to the Polish Red, Polish HF, and Hereford breeds, respectively. This study identified 5,641,401 SNPs and insertion and deletion (indel) positions expressed in the bovine liver with an average of 313,411 SNPs and indel per young bull. Following the removal of the indel mutations, a total of 195,3804, 152,7120 and 205,3184 raw SNPs expressed in bovine liver were identified for the Polish Red, Polish HF, and Hereford breeds, respectively. Breed-wise, three highly reliable breed-specific SNP-databases (SNP-dbs) with 31,562, 24,945 and 28,194 SNP records were constructed for the Polish Red, Polish HF, and Hereford breeds, respectively. Using a combination of stringent parameters of a minimum depth of ≥10 mapping reads that support the polymorphic nucleotide base and 100% SNP ratio, 4,368, 3,780 and 3,800 SNP records were detected in the Polish Red, Polish HF, and Hereford breeds, respectively. The SNP detections using RNA-seq data were successfully validated by kompetitive allele-specific PCR (KASPTM) SNP genotyping assay. The comprehensive

  8. Materials of jubilee scientific assembly of the Polish Chemical Society, Warsaw'94. Homo chemicus

    International Nuclear Information System (INIS)

    1994-01-01

    The Jubilee Scientific Assembly of the Polish Chemical Society has been held in 1994 in Warsaw. The general view on scientific progress in chemistry in Poland has been presented during plenary session. The conference has been divided into 15 sessions and 3 microsymposia covering the most important research fields in chemistry. Sessions topics were: analytical chemistry, chemistry of solid state materials, physical chemistry, coordination chemistry, medical chemistry, chemistry of metalorganic compounds, inorganic chemistry, organic chemistry, chemistry of polymers, young scientists forum, didactics and history of chemistry, catalysis, crystallochemistry, chemical technology, environment protection. Microsymposia's topics were as follows: chemistry of saccharides, electrochemistry, membranes and membrane processes

  9. Farmers' pensions and the Polish economic crisis.

    Science.gov (United States)

    Simanis, J G

    1983-04-01

    The Polish Government, in 1977, inaugurated a new pension program that made old-age and invalidity benefits available for the first time to most farmers in that country. The evolution and eventual failure of that program were closely intertwined with a growing national economic crisis, manifested in widespread popular unrest and culminating in emergence of the Solidarity movement. The farmers' pension program was originally presented as both a social security measure and a vehicle for improving agricultural efficiency. The economic situation was expected to benefit as farms of older owners were passed to younger, presumably more efficient, successors, with the state sometimes acting as intermediary. A further step to bind the social security concept to agricultural efficiency came through relating the pension amount to the quantity of produce the individual farmer sold to the state over a number of years. The failure of these provisions and other unpopular features of the new program was aggravated by inflation and continuing deterioration of the Polish economy.

  10. Fabrication and Characterization of FeNiCr Matrix-TiC Composite for Polishing CVD Diamond Film

    Institute of Scientific and Technical Information of China (English)

    Zhuji Jin; Zewei Yuan; Renke Kang; Boxian Dong

    2009-01-01

    Dynamic friction polishing (DFP) is one of the most promising methods appropriate for polishing CVD diamond film with high efficiency and low cost.By this method CVD diamond film is polished through being simply pressed against a metal disc rotating at a high speed utilizing the thermochemical reaction occurring as a result of dynamic friction between them in the atmosphere.However, the relatively soft materials such as stainless steel, cast iron and nickel alloy widely used for polishing CVD diamond film are easy to wear and adhere to diamond film surface, which may further lead to low efficiency and poor polishing quality.In this paper, FeNiCr matrix-TiC composite used as grinding wheel for polishing CVD diamond film was obtained by combination of mechanical alloying (MA) and spark plasma sintering (SPS).The process of ball milling,composition, density, hardness, high-temperature oxidation resistance and wear resistance of the sintered piece were analyzed.The results show that TiC was introduced in MA-SPS process and had good combination with FeNiCr matrix and even distribution in the matrix.The density of composite can be improved by mechanical alloying.The FeNiCr matrix-TiC composite obtained at 1273 K was found to be superior to at 1173 K sintering in hardness, high-temperature oxidation resistance and wearability.These properties are more favorable than SUS304 for the preparation of high-performance grinding wheel for polishing CVD diamond film.

  11. Effect of grinding and polishing on near-surface phase transformations in zirconia

    International Nuclear Information System (INIS)

    Reed, J.S.; Lejus, A.M.

    1977-01-01

    The transformation of near-surface material on grinding and polishing has been investigated in sintered zirconia of 1 μm grain size and 99 percent density containing 4.5 and 7.0 mole percent Y 2 O 3 . Rough wet and dry grinding transformed about 20 percent cubic phase into 18 percent tetragonal and 2 percent monoclinic in material initially 47 percent cubic and 53 percent tetragonal (4.5 mole percent Y 2 O 3 ) but no change of phase in material that was fully cubic (7.0 mole percent Y 2 O 3 ). Annealing and polishing reduced lattice strain but only polishing reduced the concentration of monoclinic and tetragonal phases. Microhardness studies indicated that lattice strain and the phase transformations increased the penetration hardness to a depth of about 4 μm

  12. Plaque, gingival bleeding and calculus formation after supragingival scaling with and without polishing: a randomised clinical trial.

    Science.gov (United States)

    Zanatta, Fabricio Batistin; Pinto, Tatiana Militz; Kantorski, Karla Zanini; Rösing, Cassiano Kuchenbecker

    2011-01-01

    The aim of this study was to compare the effect of polishing after scaling and root planing on supragingival plaque, calculus formation, and gingival bleeding. The study was designed as a split-mouth randomised clinical trial. Seventy-six patients were submitted to supragingival scaling on the six mandibular anterior teeth with manual curettes until a smooth surface was achieved. Subsequently, quadrants were randomly selected to be polished (test) or not (control) with a rubber cup and pumice. One, two and three weeks following treatment, a blinded examiner evaluated the visible plaque index, gingival bleeding index and the presence of supragingival calculus on the lingual tooth surfaces. The results showed that unpolished surfaces exhibited higher mean percentages of visible plaque in the third week. No statistically significant differences were observed between unpolished and polished sites related to gingival bleeding. Calculus formation was higher on unpolished sites than on polished sites at 2 and 3 weeks. Dental polishing after supragingival scaling contributed to reducing plaque and calculus formation. Polishing exerts an inhibitory effect on plaque and calculus formation.

  13. Materials of 44. Scientific Assembly of Polish Chemical Society and Association of Engineers and Technicians of Chemical Industry

    International Nuclear Information System (INIS)

    2001-01-01

    Scientific assemblies of Polish Chemical Society and Association of Engineers and Technicians of Chemical Industry are the most important chemical meeting organised annually in Poland. Basic as well as application studies in all chemical branches have been extensively presented. The next subjects was proposed as scientific sessions and symposia topics: solid state chemistry; didactics of chemistry; electrochemistry; biologically active compounds; geochemistry; organic chemistry; physical chemistry; environment quality and protection; coordination chemistry; chemical technology; polymers; explosive materials; analytical chemistry; theoretical chemistry

  14. An Exploratory Study of the Factors That May Affect Female Consumers’ Buying Decision of Nail Polishes

    Directory of Open Access Journals (Sweden)

    Chen Sun

    2015-06-01

    Full Text Available The objective of this study was to determine what factors female consumers valued more when they buy nail polish. Ninety-eight female consumers participated in a nail polish consumer study at the Sensory Analysis Center, Kansas State University. A questionnaire containing a check-all-that-apply (CATA question, behavior questions and demographic questions was presented to each consumer. In the CATA question, the factors that may affect consumers’ decision to buy a nail polish were asked, including both sensory and non-sensory factors. The frequency in percent for the factors was calculated. Sensory appeal, price and convenience of usage were the top factors that affected consumers’ buying decisions. Consumers valued sensory appeal and convenience of usage; this suggested that a nail polish company’s product development and advertising departments may want to focus on these two areas, primarily. The information presented in this study could help a nail polish company understand more about consumer segmentation and advertising strategy.

  15. Polish Foreign Trade: The Quality Catch-up and the Influence of Foreign Direct Investments

    DEFF Research Database (Denmark)

    Nielsen, Jørgen Ulff-Møller

    2000-01-01

    This paper investigates how the Polish transition process has expressed itself in export and inward foreign direct investments (FDI), and the relations between export and FDI. Since 1988, the number of Polish products able to compee in export markets has steadily increased. The quality level...

  16. Careers of young Polish chemists

    OpenAIRE

    Kosmulski, Marek

    2014-01-01

    Typical young Polish scientist is an alumnus of doctoral studies at the same university and department where he/she completed his/her Master degree. The career is continued by receiving a habilitation at the same university and department. Then a holder of habilitation is promoted to a tenured position at the same university and department. Detailed analysis of scientific careers of 154 recent Ph.D. recipients and of 16 habilitation candidates in chemistry from University of Warsaw is present...

  17. APPROACHES TO STANDARDIZATION OF STUDENTS INFORMATION AND COMMUNICATION COMPETENCE DEVELOPMENT: THE POLISH EXPERIENCE

    Directory of Open Access Journals (Sweden)

    Mariya P. Leshchenko

    2014-09-01

    Full Text Available In the article foreign, in particular, the Polish experience of diagnostic of students information and communication competencies is characterized. Foreign scientists focuse their modern searches on identifying students skills of using the Internet. Standards defined by Polish researchers are multifunctional and enable the determination of the cognitive, appraisal, creative and social students skills to function in the network. Structure of seven standards (literate and successful information search, critical evaluation of information, creation, transformation and presentation of information content, legal principles of creation and distribution of information content, empathy and imagemaking, security and privacy; participation in the online communities, their components and related parameters are characterized. General results of Polish scientists researches are presented.

  18. APPLICATION OF CHEMICAL PRE-TREATMENT ON THE POLISHED SURFACE OF ALUMINIUM ALLOYS

    Directory of Open Access Journals (Sweden)

    Pavel Kraus

    2016-12-01

    Full Text Available This paper reports the preparation and characterization of thin transparent nanolayers with phase composition ZrF4 and different modification of SiO2 with special focus on affecting the surface roughness of the material and the way of exclusion of the thin nanolayer on the surface of the polished aluminium material. The thin nanolayer was prepared by the sol-gel method. The final treatment based on PTFE was applied on the surface of some samples. This treatment is suitable for increasing wear resistance. The films were characterized with help of SEM microscopy and EDS analysis. The surface roughness was measured with classical surface roughness tester. The results on this theme have already published but not on the polished surface of the aluminium material. The results from the experiment show the problems with application of these nanolayers because a cracks were found on the surface of the material and deformations of the layer after application of the PTFE final layer. The surface layer formation is discussed.

  19. Poland, a workforce in transition: Exploring leadership styles and effectiveness of Polish vs. Western expatriate managers

    OpenAIRE

    Eisenberg, Jacob; Pieczonka, Artur; Eisenring, Martin; Mironski, Jacek

    2015-01-01

    Given the cultural differences between Western Europe and Poland, differences are expected in leadership styles and behaviours between Polish and Western managers. Our study explored Polish employees’ perceptions and attitudes toward expatriate Western versus local managers. The main method was surveying Polish employees working under Western managers in three mid-size companies. We supplemented the survey with in-depth interviews with five West European middle managers working in Poland. We ...

  20. Air-powder polishing on self-ligating brackets after clinical use: effects on debris levels.

    Science.gov (United States)

    Aragón, Mônica L S Castro; Lima, Leandro Santiago; Normando, David

    2016-01-01

    Debris buildup on brackets and arch surfaces is one of the main factors that can influence the intensity of friction between bracket and orthodontic wire. This study sought to evaluate the effect of air-powder polishing cleaning on debris levels of self-ligating ceramic brackets at the end of orthodontic treatment, compared to the behavior of conventional brackets. Debris levels were evaluated in metal conventional orthodontic brackets (n = 42) and ceramic self-ligating brackets (n = 42) on canines and premolars, arranged in pairs. There were brackets with and without air-powder polishing. At the end of orthodontic treatment, a hemiarch served as control and the contralateral hemiarch underwent prophylaxis with air-powder polishing. Debris buildup in bracket slots was assessed through images, and Wilcoxon test was used to analyze the results. The median debris levels were statistically lower in the conventional metal brackets compared to self-ligating ones (p = 0.02), regarding brackets not submitted to air-powder polishing. Polishing significantly reduced debris buildup to zero in both systems, without differences between groups. Ceramic self-ligating brackets have a higher debris buildup in comparison to conventional metal brackets in vivo, but prophylaxis with sodium bicarbonate jet was effective in reducing debris levels in self-ligating and also in conventional brackets.

  1. Energy Policies of IEA Countries: Poland [Polish version

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2011-07-01

    Poland has made commendable efforts to develop a solid energy policy framework over the last years. As energy security is a high policy priority, the country is enhancing gas supply security by building an LNG terminal, expanding underground storage capacity and increasing domestic gas production. Polish plans for developing electricity and gas cross-border links will also contribute to regional security of supply. In addition, the government has announced an ambitious nuclear programme by 2030, envisaging the first unit to enter operation by 2022. Other achievements include energy intensity improvements, an increased share of renewables and a stronger focus on energy research and development (R&D). Despite these positive developments, there is room for improving Poland’s energy strategy. First, a more integrated energy and climate policy is needed to put Poland firmly on a low-carbon path while enhancing energy security. Second, energy policy could put more emphasis on promoting competition to make the energy markets more efficient. Decarbonising Poland’s power sector will be a particularly significant challenge requiring huge investments. Coal accounts for 55% of Polish primary energy supply and 92% of electricity generation, raising significant climate change and environmental challenges. To this end, Poland’s efforts to improve energy efficiency and to diversify the country’s energy mix are praiseworthy and should be pursued. The government’s attention to R&D on clean coal technologies, including carbon capture and storage (CCS) is also encouraging. The government could put more focus on the positive role that gas can play in decarbonising the electricity mix, especially if Poland’s potential resources of unconventional gas are confirmed. To tap these resources, it will be vital to put the necessary legal and regulatory framework in place. This in-depth review analyses the energy challenges facing Poland and provides sectoral critiques and

  2. Knowledge of chronic total occlusion among Polish interventional cardiologists.

    Science.gov (United States)

    Bryniarski, Krzysztof L; Zabojszcz, Michał; Dębski, Grzegorz; Marchewka, Jakub; Legutko, Jacek; Surowiec, Sławomir; Siudak, Zbigniew; Żmudka, Krzysztof; Dudek, Dariusz; Bryniarski, Leszek

    2015-01-01

    Chronic total occlusion (CTO) recanalization is indicated in patients with symptoms and evidence of ischemia, but in most cases those types of lesions are still treated medically. In the last few years CTO angioplasty technique has changed dramatically due to considerable advances in techniques and dedicated equipment. An attempt to assess the state of knowledge of technical aspects of CTO angioplasty of coronary arteries among Polish interventional cardiologists. Questionnaire survey performed during two major Polish invasive cardiology workshops. In the study there participated 113 physicians with an average length of work experience of 13 years, most of them cardiologists certified as independent primary operators. The majority of respondents recognized the need of prevention of thrombotic complications through control of activated coagulation time during the CTO procedures. Prevention of renal complications and X-ray protection are also recognized as a significant part of the procedures. The benefits from the use of over-the-wire microcatheters and balloons, the proper choice of dedicated guidewires, contralateral injections and retrograde technique are underestimated. Despite satisfactory knowledge about indications and qualification for the CTO procedure, the awareness of procedural aspects (particularly the retrograde technique) as well as the dedicated CTO equipment among Polish interventional cardiologists is still insufficient.

  3. Teleradiology in neurosurgery, based on the experience of the Department of Neurosurgery, Polish Academy of Sciences

    International Nuclear Information System (INIS)

    Glowacki, M.; Czernicki, Z.; Jurkiewicz, J.; Walasek, N.; Czernicki, Z.; Jurkiewicz, J.

    2005-01-01

    The aim of the study was to analyze experience with the teleconsulting system applied at the Department of Neurosurgery, Polish Academy of Sciences (PAN) and to establish the best medical and economic conditions for teleradiological networks. The presented system is based on frame-grabbing technology and is operated by MultiView TM 2.0D (eMeD, Tech.) software. Computed tomography (CT) examinations performed in the hospitals in Ciechanow or Ostroleka are transmitted to the teleconsulting center in the Department of Neurosurgery, PAN. Regular telephone lines with a transmission speed of 56 kbps are utilized. One whole CT examination is transmitted in 5 to 7 minutes. All clinical information is reported during telephone conversation optimized by a specific questionnaire which helps improve arrangements for neurosurgical intervention and to document consultations. The usefulness of mobile phones and e mail in teleradiology was also evaluated.The period from December 1996 to April 2002 was studied. During this time, 931 transmission were performed. The most common were control examinations (26%), followed by neurotrauma (19%), spontaneous intracerebral hemorrhage (18%), neurooncology (13%), subarachnoidal hemorrhage (7%), hydrocephalus (5%), cerebral ischemia (3%), and those without any intracranial pathologies (4%). Disturbances were observed in 4% of transmissions. Seventy percent of the consulted patients were treated conservatively in remote hospitals. Thirty percent of the cases were admitted to our department, of whom 86% were operated. Mobile phones were found to be a useful tool in urgent neurosurgical consultations. Sending compressed CT images via e mail provided sufficient quality,but requires a particular technical background. The system allows for: 1) proper qualification for neurosurgical treatment, 2) fast and easy access to consultations with specialists, 3) patient follow-up (repeated consultations),4) avoidance of unnecessary transportation, and 5

  4. Density determination of nail polishes and paint chips using magnetic levitation

    Science.gov (United States)

    Huang, Peggy P.

    Trace evidence is often small, easily overlooked, and difficult to analyze. This study describes a nondestructive method to separate and accurately determine the density of trace evidence samples, specifically nail polish and paint chip using magnetic levitation (MagLev). By determining the levitation height of each sample in the MagLev device, the density of the sample is back extrapolated using a standard density bead linear regression line. The results show that MagLev distinguishes among eight clear nail polishes, including samples from the same manufacturer; separates select colored nail polishes from the same manufacturer; can determine the density range of household paint chips; and shows limited levitation for unknown paint chips. MagLev provides a simple, affordable, and nondestructive means of determining density. The addition of co-solutes to the paramagnetic solution to expand the density range may result in greater discriminatory power and separation and lead to further applications of this technique.

  5. Use of mep HyperCel for polishing of human serum albumin.

    Science.gov (United States)

    McCann, Karl B; Vucica, Yvonne; Wu, John; Bertolini, Joseph

    2014-10-15

    The manufacture of human serum albumin by chromatographic procedures involves gel filtration chromatography as a final polishing step. Despite this step being essential to remove high molecular weight impurity proteins and thus ensure a stable and safe final product, it is relatively inefficient. This paper explores the use of hydrophobic charge induction chromatographic media, MEP HyperCel as an alternative to Sephacryl S200HR gel filtration for the polishing of human serum albumin derived by ion exchange chromatographic purification of Cohn Supernatant I. The use of MEP HyperCel results in a product with a higher purity than achieved with gel filtration and in a less time consuming manner and with potential resource savings. MEP HyperCel appears to have great potential for incorporation into downstream processes in the plasma fractionation industry as an efficient means of achieving polishing of intermediates or capture of proteins of interest. Copyright © 2014 Elsevier B.V. All rights reserved.

  6. Plasma electrolytic polishing of metalized carbon fibers

    Directory of Open Access Journals (Sweden)

    Falko Böttger-Hiller

    2016-02-01

    Full Text Available Efficient lightweight structures require intelligent materials that meet versatile functions. Especially, carbon-fiber-reinforced polymers (CFRPs are gaining relevance. Their increasing use aims at reducing energy consumption in many applications. CFRPs are generally very light in weight, while at the same time being extremely stiff and strong (specific strength: CFRPs: 1.3 Nm kg–1, steel: 0.27 Nm kg–1; specific stiffness: CFRPs: 100 Nm kg–1, steel: 25 Nm kg–1. To increase performance and especially functionality of CFRPs, the integration of microelectronic components into CFRP parts is aspired. The functionalization by sensors, actuators and electronics can enable a high lightweight factor and a new level of failure-safety. The integration of microelectronic components for this purpose requires a working procedure to provide electrical contacts for a reliable connection to energy supply and data interfaces. To overcome this challenge, metalized carbon fibers are used. Metalized fibers are, similar to the usual reinforcing fibers, able to be soldered and therefore easy to incorporate into CFRPs. Unfortunately, metalized fibers have to be pre-treated by flux-agents. Until now, there is no flux which is suitable for mass production without destroying the polymer of the CFRP. The process of plasma electrolytic polishing (PeP could be an option, but is so far not available for copper. Thus, in this study, plasma electrolytic polishing is transferred to copper and its alloys. To achieve this, electrolytic parameters as well as the electrical setup are adapted. It can be observed that the gloss and roughness can be adjusted by means of this procedure. Finally, plasma electrolytic polishing is used to treat thin copper layers on carbon fibers.

  7. Materials of the yearly scientific assembly of the Polish Chemical Society - Torun'93: chemistry of new materials

    International Nuclear Information System (INIS)

    1993-01-01

    Scientific conference accompanied the assembly of Polish Chemical Society has been held in 1993 in Torun. The conference has been divided into 12 sections and 4 symposia covering the most important research fields in chemistry. The general view on scientific progress has been presented during the plenary session. Then proceedings have performed in specialist sessions on: contemporary methods in organic chemistry chemistry, chemistry and physico-chemistry of polymers, coordination chemistry state-of-the-art prospects, absorption and absorbents, new chemical technologies of organic compounds, new chemical technologies of inorganic compounds, environment protection, new methods in analytical chemistry, photochemistry and chemical kinetics, crystallochemistry, history of chemistry and didactics, new substances in health protection, membranes and membrane techniques, electroactive organic compounds, zeolites - material properties

  8. The beginnings of the reception of Ludwik Fleck’s ideas in Polish (1936-1989

    Directory of Open Access Journals (Sweden)

    Paweł Jarnicki

    2016-12-01

    Full Text Available The article discusses the beginnings of the reception of Ludwik Fleck’s theory of thought styles and thought collectives in Polish, from his first polemics with Izydora Dąmbska in 1936 to the first edition of the Polish translation of Entstehung und Entwicklung

  9. Tax harmonization of UCI – opportunities and threats for EU and Polish entities since 2011

    Directory of Open Access Journals (Sweden)

    Rafał Płókarz

    2011-12-01

    Full Text Available The article presents the new tax and legal framework for Polish and international undertakings for collective investments (UCI that came into force in January 2011. Prior to 2011, there were some tax obstacles within the Polish tax system that made competitive advantage in favor of domestic UCIs, and therefore were not in line with European regulations. The tax harmonization voted at the end of 2010 by Polish Parliament lifted those barriers, but at the same time gave birth to new threats and opportunities.

  10. Chromatic stability of acrylic resins of artificial eyes submitted to accelerated aging and polishing.

    Science.gov (United States)

    Goiato, Marcelo Coelho; Santos, Daniela Micheline dos; Souza, Josiene Firmino; Moreno, Amália; Pesqueira, Aldiéris Alves

    2010-12-01

    Esthetics and durability of materials used to fabricate artificial eyes has been an important issue since artificial eyes are essential to restore esthetics and function, protect the remaining tissues and help with patients' psychological therapy. However, these materials are submitted to degrading effects of environmental agents on the physical properties of the acrylic resin. This study assessed the color stability of acrylic resins used to fabricate sclera in three basic shades (N1, N2 and N3) when subjected to accelerated aging, mechanical and chemical polishing. Specimens of each resin were fabricated and submitted to mechanical and chemical polishing. Chromatic analysis was performed before and after accelerated aging through ultraviolet reflection spectrophotometry. All specimens revealed color alteration following polishing and accelerated aging. The resins presented statistically significant chromatic alteration (p<0.01) between the periods of 252 and 1008 h. Both polishing methods presented no significant difference between the values of color derivatives of resins.

  11. [Occupational activity during pregnancy based on the Polish mother and child cohort study].

    Science.gov (United States)

    Polańska, Kinga; Jurewicz, Joanna; Marcinkiewicz, Andrzej; Makowiec-Dabrowska, Teresa; Hanke, Wojciech

    2014-01-01

    In Poland conditions related to or aggravated by the pregnancy, childbirth or the puerperium are one of the common causes of sickness absence. The aim of the study was to analyze the occupational activity pattern during pregnancy and to evaluate the determinants of pregnancy-related temporary work disability confirmed by medical certificate. The presented analysis is based on data collected under the Polish Mother and Child Cohort Study. The study population consisted of 954 women who reported occupational activity during pregnancy. All women participating in the study were interviewed 3 times during pregnancy. Detail information on occupational activity during pregnancy and occupational stress, based on the Subjective Work Characteristics Questionnaire, was collected from all women. The pregnant women had been issued medical certificate of temporary work disability because of conditions related to or aggravated by the pregnancy, childbirth or by the puerperium at 16 week of gestation on average and did not continue their activities until the end of pregnancy. The statistically significant determinants of receiving such medical certificate in the first trimester of pregnancy (transport to commute to work (OR = 2.7; p disability in the first trimester of pregnancy more frequently than office workers (OR = 4.2; p = 0.005; OR = 3.3; p = 0.02; OR = 2.3; p < 0.001 respectively). It is crucial to develop the model of cooperation between occupational medicine physicians and gynecologists and a greater involvement of the former in the prophylactic care of occupationally active pregnant women. It is also important to increase the employers' awareness of potential risks and arduousness at work to assure working conditions safe for the pregnancy outcome and health of both women and their babies.

  12. Materials of 48. Scientific Assembly of Polish Chemical Society and Association of Engineers and Technicians of Chemical

    International Nuclear Information System (INIS)

    2005-01-01

    Scientific assemblies of Polish Chemical Society are the most important chemical meeting organised annually in Poland. Basic as well as application studies in all chemical branches have been extensively presented. The next subjects was proposed as scientific sessions and symposia topics: chemistry of metalorganic and supramolecular compounds; organic and bioorganic chemistry; coordination and bioinorganic chemistry; chemistry of polymers and biopolymers; physical and theoretical chemistry; catalysis; structural chemistry; analytical chemistry and environmental protection chemistry of materials and nanomaterials; technology and chemical engineering; didactics of chemistry; young scientist forum; chemistry for economy

  13. A diagnosis of strangeness. Brzozowski’s Anti-Sienkiewicz campaign as a dispute about Polish identity

    Directory of Open Access Journals (Sweden)

    Krzysztof Fiołek

    2016-03-01

    Full Text Available This essay discusses the Anti-Sienkiewicz campaign briefly. The affair happened in 1903 in the Warsaw weekly magazine “Głos”, but was written up in many Polish newspapers. Stanisław Brzozowski (1878-1911, the beginning publicist, literary critic and novelist spoke against Sienkiewicz’s famous works. Sienkiewicz’s main novels have been criticised for their conservatism and lack of social progressiveness, although they display great narrative power and contain vivid characterisations in a style full of imagery. The lack of national independence created greater reverence towards national history in 19th century Polish literature. Sienkiewicz felt a close bond with the Polish nobility. Brzozowski tried to put his own philosophy of culture and his concept of the “philosophy of labour” into practice. He spoke as am exponent of Polish modernism and socialism.

  14. Corporate Politics on Polish Millennials

    OpenAIRE

    Natalia Roślik

    2017-01-01

    In the very beginning of this particular paper, an author is trying to determine and describe who Millennials actually are. Then, the basis of Millennials definition is analysing corporation’s activity over the past years regarding this age group. The main goal of the thesis is to bring their specific futures out and describe what corporations on Polish job market are doing to encourage them to work in their offices. Especially in Poland within the last years, it is observed that big multinat...

  15. The use of abrasive polishing and laser processing for developing polyurethane surfaces for controlling fibroblast cell behaviour

    Energy Technology Data Exchange (ETDEWEB)

    Irving, Michael; Murphy, Mark F; Lilley, Francis; French, Paul W; Burton, David R [General Engineering Research Institute, Liverpool John Moores University, Liverpool, L3 3AF (United Kingdom); Dixon, Simon [Biomer Technology LTD, 10 Seymour Court, Tudor Road, Manor Park, Runcorn, Cheshire, WA7 1SY (United Kingdom); Sharp, Martin C [General Engineering Research Institute, Liverpool John Moores University, Liverpool, L3 3AF (United Kingdom)

    2017-02-01

    Studies have shown that surfaces having micro and nano-scale features can be used to control cell behaviours including; cell proliferation, migration and adhesion. The aim of this work was to compare the use of laser processing and abrasive polishing to develop micro/nano-patterned polyurethane substrates for controlling fibroblast cell adhesion, migration and proliferation. Laser processing in a directional manner resulted in polyurethane surfaces having a ploughed field effect with micron-scale features. In contrast, abrasive polishing in a directional and random manner resulted in polyurethane surfaces having sub-micron scale features orientated in a linear or random manner. Results show that when compared with flat (non-patterned) polymer, both the laser processed and abrasive polished surface having randomly organised features, promoted significantly greater cell adhesion, while also enhancing cell proliferation after 72 h. In contrast, the abrasive polished surface having linear features did not enhance cell adhesion or proliferation when compared to the flat surface. For cell migration, the cells growing on the laser processed and abrasively polished random surface showed decreased levels of migration when compared to the flat surface. This study shows that both abrasive polishing and laser processing can be used to produce surfaces having features on the nano-scale and micron-scale, respectively. Surfaces produced using both techniques can be used to promote fibroblast cell adhesion and proliferation. Thus both methods offer a viable alternative to using lithographic techniques for developing patterned surfaces. In particular, abrasive polishing is an attractive method due to it being a simple, rapid and inexpensive method that can be used to produce surfaces having features on a comparable scale to more expensive, multi-step methods. - Highlights: • Abrasive polishing can generate nano-scratches on stainless steel to cast polymer films for cell

  16. The use of abrasive polishing and laser processing for developing polyurethane surfaces for controlling fibroblast cell behaviour

    International Nuclear Information System (INIS)

    Irving, Michael; Murphy, Mark F; Lilley, Francis; French, Paul W; Burton, David R; Dixon, Simon; Sharp, Martin C

    2017-01-01

    Studies have shown that surfaces having micro and nano-scale features can be used to control cell behaviours including; cell proliferation, migration and adhesion. The aim of this work was to compare the use of laser processing and abrasive polishing to develop micro/nano-patterned polyurethane substrates for controlling fibroblast cell adhesion, migration and proliferation. Laser processing in a directional manner resulted in polyurethane surfaces having a ploughed field effect with micron-scale features. In contrast, abrasive polishing in a directional and random manner resulted in polyurethane surfaces having sub-micron scale features orientated in a linear or random manner. Results show that when compared with flat (non-patterned) polymer, both the laser processed and abrasive polished surface having randomly organised features, promoted significantly greater cell adhesion, while also enhancing cell proliferation after 72 h. In contrast, the abrasive polished surface having linear features did not enhance cell adhesion or proliferation when compared to the flat surface. For cell migration, the cells growing on the laser processed and abrasively polished random surface showed decreased levels of migration when compared to the flat surface. This study shows that both abrasive polishing and laser processing can be used to produce surfaces having features on the nano-scale and micron-scale, respectively. Surfaces produced using both techniques can be used to promote fibroblast cell adhesion and proliferation. Thus both methods offer a viable alternative to using lithographic techniques for developing patterned surfaces. In particular, abrasive polishing is an attractive method due to it being a simple, rapid and inexpensive method that can be used to produce surfaces having features on a comparable scale to more expensive, multi-step methods. - Highlights: • Abrasive polishing can generate nano-scratches on stainless steel to cast polymer films for cell

  17. Material removal and surface figure during pad polishing of fused silica

    Energy Technology Data Exchange (ETDEWEB)

    Suratwala, T I; Feit, M D; Steele, W A

    2009-05-04

    The material removal and surface figure after ceria pad polishing of fused silica glass have been measured and analyzed as a function of kinematics, loading conditions, and polishing time. Also, the friction at the workpiece/lap interface, the slope of the workpiece relative to the lap plane, and lap viscoelastic properties have been measured and correlated to material removal. The results show that the relative velocity between the workpiece & lap (determined by the kinematics) and the pressure distribution determine the spatial and temporal material removal and hence the final surface figure of the workpiece. In the case where the applied loading and relative velocity distribution over the workpiece are spatially uniform, a significant non-uniform spatial material removal from the workpiece surface is observed. This is due to a non-uniform pressure distribution resulting from: (1) a moment caused by a pivot point and interface friction forces; (2) viscoelastic relaxation of the polyurethane lap; and (3) a physical workpiece/lap interface mismatch. Both the kinematics and these contributions to the pressure distribution are quantitatively described, and then combined to form a spatial and temporal Preston model & code for material removal (called Surface Figure or SurF{copyright}). The surface figure simulations are consistent with the experiment for a wide variety of polishing conditions. This study is an important step towards deterministic full-aperture polishing, which would allow optical glass fabrication to be performed in a more repeatable, less iterative, and hence more economical manner.

  18. Apparatus and method for deterministic control of surface figure during full aperture pad polishing

    Science.gov (United States)

    Suratwala, Tayyab Ishaq; Feit, Michael Douglas; Steele, William Augustus

    2017-10-10

    A polishing system configured to polish a lap includes a lap configured to contact a workpiece for polishing the workpiece; and a septum configured to contact the lap. The septum has an aperture formed therein. The radius of the aperture and radius the workpiece are substantially the same. The aperture and the workpiece have centers disposed at substantially the same radial distance from a center of the lap. The aperture is disposed along a first radial direction from the center of the lap, and the workpiece is disposed along a second radial direction from the center of the lap. The first and second radial directions may be opposite directions.

  19. Fiscal 2000 achievement report on the venture business assisting type regional consortium - Core industry creation type. Research and development of polisher robot system using intelligent force control; 2000 nendo chiiki consortium kenkyu kaihatsu jigyo seika hokokusho. Intelligent ryoku seigyo wo mochiita kenma robot system no kenkyu kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The effort aims to automatize the manufacture of wooden furniture by robotizing the polishing work in the field of wooden furniture manufacturing, making use of the seeds provided by intelligent force control technologies. The intelligent force control technologies ('Delicate control of force for the open architecture type industrial robot' and 'Method for target orbit generation not requiring joystick teaching') of Saga University and the interior laboratory of Fukuoka Prefectural Industrial Research Institute are evolved and applied, and are integrated with the 3-dimensional object modelling technology developed by the mechanical and electronic laboratory, Fukuoka Prefectural Industrial Research Institute, and the CAD (computer aided design) data conversion technology developed by ASA Systems Inc. The result was a polisher robot system experimentally fabricated to satisfy the need of an automated polishing process in the wooden furniture manufacturing industry. The robot was tested, and achieved a surface coarseness level of 5{mu}m or less. As for the manufacturing rate, it attained a rate of approximately 100mm/s which was two times higher than the rate to be expected from a skilled worker. (NEDO)

  20. Knowledge Mobilisation in the Polish Education System

    Science.gov (United States)

    Fazlagic, Jan; Erkol, Arif

    2015-01-01

    Poland has made substantial progress in improving the quality of its education system in recent years. This paper aims to describe the situation of the Polish education system from a knowledge management perspective and, to some extent, through innovation policies in education. The many challenges, this paper argues, can be tackled only through…

  1. An Exploratory Study of the Factors That May Affect Female Consumers’ Buying Decision of Nail Polishes

    OpenAIRE

    Sun, Chen; Adhikari, Koushik; Koppel, Kadri

    2015-01-01

    The objective of this study was to determine what factors female consumers valued more when they buy nail polish. Ninety-eight female consumers participated in a nail polish consumer study at the Sensory Analysis Center, Kansas State University. A questionnaire containing a check-all-that-apply (CATA) question, behavior questions and demographic questions was presented to each consumer. In the CATA question, the factors that may affect consumers’ decision to buy a nail polish were asked, incl...

  2. Fungal Biomass Protein Production from Trichoderma harzianum Using Rice Polishing.

    Science.gov (United States)

    Ahmed, Sibtain; Mustafa, Ghulam; Arshad, Muhammad; Rajoka, Muhammad Ibrahim

    2017-01-01

    Industrially important enzymes and microbial biomass proteins have been produced from fungi for more than 50 years. High levels of crude protein as much as 45% are present in fungal biomass with balanced essential amino acids. The aim of this study was to access the potential of Trichoderma harzianum to produce fungal biomass protein from rice polishings. Maximum biomass yield was obtained at 5% (w/v) rice polishings after 72 h of incubation at 28°C at pH 4. Carbon and nitrogen ratio of 20 : 1 gave significantly higher production of fungal biomass protein. The FBP in the 75 L fermenter contained 49.50% crude protein, 32.00% true protein, 19.45% crude fiber, 9.62% ash, 11.5% cellulose content, and 0.325% RNA content. The profile of amino acids of final FBP exhibited that all essential amino acids were present in great quantities. The FBP produced by this fungus has been shown to be of good nutritional value for supplementation to poultry. The results presented in this study have practical implications in that the fungus T. harzianum could be used successfully to produce fungal biomass protein using rice polishings.

  3. A Comparative Analysis of Polish and Czech International New Ventures

    Directory of Open Access Journals (Sweden)

    Lidia Danik

    2016-06-01

    Full Text Available The goal of this paper is to compare the characteristics of Polish and Czech companies which follow the Born Global internationalization model. More concretely, the analysis aims to discover the differences or similarities in terms of the internationalization paths of Polish and Czech SMEs in the characteristics of their managers in terms of the so-called “international vision” and in their innovativeness level. The introductory part of article provides a description of this internationalization model and the International New Ventures traits (INV and summarizes the recent studies on this topic conducted in Poland and Czech Republic. In the empirical part, the International New Ventures from the two countries are compared. The Polish sample includes 105 companies which were surveyed with use of computer assisted telephone interviews in autumn 2014. For the Czech Republic, the sample consists of 54 small and medium-sized companies, which were surveyed using the computer assisted web interviews from November 2013 till January 2014. The surveyed companies in both countries fulfilled the definition of Born Globals. Descriptive statistics, cross-tabulation analysis and non-parametric tests are applied to accomplish the goals of the paper.

  4. Original article Familial risk of dyslexia in Polish first grade pupils based on the ARHQ-PL Questionnaire

    Directory of Open Access Journals (Sweden)

    Grażyna Krasowicz-Kupis

    2014-10-01

    Full Text Available Background From the perspective of dyslexia, familial risk is the issue most researched worldwide. The familial risk has never been studied in Poland. Results of many studies conducted in Europe, the U.S. and Australia show that children who have a close family member with dyslexia are at greater risk of this disorder than children in the control groups. This conclusion is backed up by the results of research on the genetic aetiology of learning disorders. In the presented study on Polish 1st grade students, the Adult Reading History Questionnaire by Lefly and Pennington (2000 in the Polish adaptation (ARHQ-PL was used. The connections between the familial risk and the level of reading, spelling, phonological abilities and other cognitive functions were analysed. Participants and procedure The study covered 513 children, including their parents, from randomly selected primary schools in the Mazowieckie province. According to the ARHQ-PL assumptions, the children’s parents were divided into groups taking into account the familial risk level. Children were individually assessed for reading, spelling, phonological abilities, naming speed, phonological memory, vocabulary level and selective attention. Inter-group comparisons were performed based on the analysed variables for the groups of children whose parents had critical results in the ARHQ. The comparisons identified high risk (or lack of risk, as per sex, age, education level and SES (socio-economical status. Results The results show that there is a significant connection between risk group membership and the level of reading and of the majority of assessed phonological abilities. More significant relationships were determined for the familial risk of dyslexia observed for mothers. Conclusions The study confirms the diagnostic accuracy of the familial dyslexia risk ratio determined using the ARHQ-PL questionnaire for reading and for the majority of the phonological abilities closely

  5. Effect of finishing and polishing on the color stability of a composite resin immersed in staining solutions

    Directory of Open Access Journals (Sweden)

    Maiara Justo Polli

    2015-01-01

    Full Text Available Objective: To evaluate the influence of finishing/polishing methods and staining solutions using different immersion periods on the color stability of a microhybrid composite resin. Materials and Methods: Ninety specimens were fabricated using a stainless steel mold and polyester strips. The samples were randomly divided into five groups according to the finishing and polishing performed: Control group (no surface treatment was performed, Diamond Pro group, Diamond burs group, Enhance group, and SiC paper group. After finishing and polishing, six samples from each group were immersed in coffee, red wine, or water for 30 days. The color measurements were obtained using digital photography before immersion and after 7, 15, and 30 days of immersion. The red, green, and blue values provided by the Adobe Photoshop software were converted into CIELab values. A three-way analysis of variance and Tukey's test were used for statistical analysis (P ≤ 0.05. Results: The finishing and polishing methods, staining solutions, immersion times, and their interaction had statistically significant effects on the color change (P = 0.00. Coffee and red wine caused intense staining. Among the polishing methods, the highest color change value was observed in the control group (P < 0.05 and the Diamond Pro disks provided the most stain-resistant surfaces (P ≤ 0.05. Conclusion: The finishing and polishing method, staining solution, and immersion time influences the color stability. Finishing and polishing should be applied to obtain a more stain-resistant surface.

  6. Measurement of surface roughness changes of unpolished and polished enamel following erosion.

    Directory of Open Access Journals (Sweden)

    Francesca Mullan

    Full Text Available To determine if Sa roughness data from measuring one central location of unpolished and polished enamel were representative of the overall surfaces before and after erosion.Twenty human enamel sections (4x4 mm were embedded in bis-acryl composite and randomised to either a native or polishing enamel preparation protocol. Enamel samples were subjected to an acid challenge (15 minutes 100 mL orange juice, pH 3.2, titratable acidity 41.3mmol OH/L, 62.5 rpm agitation, repeated for three cycles. Median (IQR surface roughness [Sa] was measured at baseline and after erosion from both a centralised cluster and four peripheral clusters. Within each cluster, five smaller areas (0.04 mm2 provided the Sa roughness data.For both unpolished and polished enamel samples there were no significant differences between measuring one central cluster or four peripheral clusters, before and after erosion. For unpolished enamel the single central cluster had a median (IQR Sa roughness of 1.45 (2.58 μm and the four peripheral clusters had a median (IQR of 1.32 (4.86 μm before erosion; after erosion there were statistically significant reductions to 0.38 (0.35 μm and 0.34 (0.49 μm respectively (p<0.0001. Polished enamel had a median (IQR Sa roughness 0.04 (0.17 μm for the single central cluster and 0.05 (0.15 μm for the four peripheral clusters which statistically significantly increased after erosion to 0.27 (0.08 μm for both (p<0.0001.Measuring one central cluster of unpolished and polished enamel was representative of the overall enamel surface roughness, before and after erosion.

  7. VERSHINA – A POLISH VILLAGE IN SIBERIA. FACTORS INFLUENCING LANGUAGE MAINTENANCE UNDER CHANGING SOCIAL, CULTURAL, ECONOMIC AND POLITICAL CONDITIONS

    Directory of Open Access Journals (Sweden)

    Michał Głuszkowski

    2011-01-01

    Full Text Available The article discusses factors influencing language maintenance under changing social, cultural, economic and political conditions of Polish minority in Siberia. The village of Vershina was founded in 1910 by Polish voluntary settlers from Little Poland.During its first three decades Vershina preserved Polish language,traditions, farming methods and machines and also the Roman Catholic religion. The changes came to a village in taiga in the1930s. Vershina lost its ethnocultural homogeneity because of Russian and Buryat workers in the local kolkhoz. Nowadays the inhabitants of Vershina regained their minority rights: religious, educational and cultural. However, during the years of sovietization and ateization, their culture and customs became much more similar to other Siberian villages. Polish language in Vershina is under strong influence of Russian, which is the language of education,administration, and surrounding villages. Children from Polish-Russian families become monolingual and use Polish very rare, only asa school subject and in contacts with grandparents. The process of abandoning mother tongue in Vershina is growing rapidly. However,there are some factors which may hinder the actual changes:the activity of local Polish organisations and Roman Catholic parish as well as folk group “Jazhumbek”.

  8. A STUDENT MODEL AND LEARNING ALGORITHM FOR THE EXPERT TUTORING SYSTEM OF POLISH GRAMMAR

    Directory of Open Access Journals (Sweden)

    Kostikov Mykola

    2014-11-01

    Full Text Available When creating computer-assisted language learning software, it is necessary to use the potential of information technology in controlling the learning process fully. Modern intelligent tutoring systems help to make this process adaptive and personalized thanks to modeling the domain and students’ knowledge. The aim of the paper is to investigate possibilities for applying these methods in teaching Polish grammar in Ukraine taking into account its specifics. The article is concerned with the approaches of using student models in modern intelligent tutoring systems in order to provide personalized learning. A structure of the student model and a general working algorithm of the expert tutoring system of Polish grammar have been developed. The modeling of knowing and forgetting particular learning elements within the probabilistic (stochastic model has been studied, as well as the prognostication of future probabilities of students’ knowledge, taking into account their individual forgetting rates. The objective function of instruction quality with allowance for frequency of grammar rules within a certain amount of words being learned and their connections to another rules has been formulated. The problem of generating the next learning step taking into account the need for mastering previous, connected rules has been studied, as well as determining the optimal time period between the lessons depending on the current knowledge level.

  9. The attractiveness of family medicine among Polish medical students.

    Science.gov (United States)

    Gowin, Ewelina; Horst-Sikorska, Wanda; Michalak, Michał; Avonts, Dirk; Buczkowski, Krzysztof; Lukas, Witold; Korman, Tomasz; Litwiejko, Alicja; Chlabicz, Sławomir

    2014-06-01

    In many developed countries tuning supply and demand of medical doctors is a continuous challenge to meet the ever changing needs of community and individual patients. The long study period for medical doctors creates the opportunity to observe the current career preferences of medical students and evolution in time. To investigate the career choices of Polish students in different stages of their medical education. Medical students at five Polish medical universities were questioned about their career aspirations in the first, third and sixth year. A total of 2020 students were recruited for the survey. Among first year students 17% preferred family medicine as final career option, compared to 20% in the third year, and 30% in the sixth year (significant trend, P family medicine: 71% women versus 62% women in the group with a preference for a non-family medicine orientation (P = 0.008). Medical students rejecting a career as a family doctor stated that the impossibility to work in a hospital environment was the determining factor. The opportunity for professional development seems to be an important determining factor in the choice of a medical specialty in Poland. The proportion of Polish students choosing family medicine increases during their progress in medical education, with one third of students interested in a career in family medicine by year six.

  10. Identification of The First Limiting Amino Acid In Cooked Polished White Rice Fed To Weanling Holtzman Rats

    Directory of Open Access Journals (Sweden)

    Mellova Masrizal

    2010-10-01

    Full Text Available Forty-eight male weanling rats (91 g were utilized to study the nutritional adequacy of cooked polished white rice. Rats were individually housed, and allowed ad libitum access to one of six treatment diets. Treatment diets were 1 polished white rice plus 10% casein and 0.18% methionine, CAS, 2 polished white rice, WHR, 3 polished white rice plus 0.45% lysine, LYS, 4 polished white rice plus0.40% methionine, MET, 5 polished white rice plus 0.30% threonine, THR, 6 polished white rice plus 0.45% lysine, 0.40% methionine, and 0.40% threonine, COM. Rice was cooked prior diet formulation using a 3 to 1 ratio of water to rice. Vitamins (AIN-76 and AIN minerals were added to all diets to meet NRC (1978 requirements. Rats fed CAS diets were significantly heavier on d 21 (P<0.05 than rats on COM, LYS, MET, THR, or WHR diets, (219.9 vs. 171.6, 153.2, 153.2, 148.3, or 155.4 g respectively. Supplementation of the most deficient essential amino acids, lysine (LYS or methionine (MET did not improve (P>0.05 rat performance over WHR fed rats, Average daily gain (ADG for CAS was 6.1 g/d and ADG for LYS and MET was 3.0 g/d. The addition of threonine (THR significantly (P<0.05 reduced ADG when compared to WHR diets (2.7 vs. 3.0 g/d. When rats were fed to COM diet significant (P<0.05 improvement in ADG was observed compared to WHR fed rats (4.8 vs. 3.0 g/d. The increased gains achieved with COM diet and the poor gains observed with the single amino acid diets (LYS, MET, or THR would suggest that polished white rice is limiting in more than one essential amino acid.

  11. Theoretical Model of Pricing Behavior on the Polish Wholesale Fuel Market

    Directory of Open Access Journals (Sweden)

    Bejger Sylwester

    2016-12-01

    Full Text Available In this paper, we constructed a theoretical model of strategic pricing behavior of the players in a Polish wholesale fuel market. This model is consistent with the characteristics of the industry, the wholesale market, and the players. The model is based on the standard methodology of repeated games with a built-in adjustment to a focal price, which resembles the Import Parity Pricing (IPP mechanism. From the equilibrium of the game, we conclude that the focal price policy implies a parallel pricing strategic behavior on the market.

  12. Characteristic of wet method of phosphorus recovery from polish sewage sludge ash with nitric acid

    Directory of Open Access Journals (Sweden)

    Gorazda Katarzyna

    2016-01-01

    Full Text Available Sewage Sludge Ash (SSA is a concentrated source of phosphorus and can be successfully recycled via a number of different routes. This paper presents research results on phosphorus recovery from differently combusted sewage sludge with the use of nitric acid extraction. Different SSA forms from Polish thermal utilization stations were compared. It was revealed that sewage treatment technology as well as combustion technology influence many physical and chemical parameters of ashes that are crucial for further phosphorus recovery from such waste according to the proposed method. Presented research defines extraction efficiency, characterized extracts composition and verifies the possibility of using SSA as cheaper and alternative sources of phosphorus compounds. Gdynia, Kielce and Kraków SSA have the best properties for the proposed technology of phosphorus recovery with high extraction efficiency greater than 86%. Unsuitable results were obtained for Bydgoszcz, Szczecin Slag and Warszawa SSA. Extraction process for Łódź and Szczecin Dust SSA need to be improved for a higher phosphorus extraction efficiency greater than 80%.

  13. Mutual Fund Performances of Polish Domestic Equity Fund Managers

    Directory of Open Access Journals (Sweden)

    ömer faruk tan

    2015-10-01

    Full Text Available Purpose of the article: The main purpose of the paper is empirically evaluating selectivity skills and market timing ability of Polish fund managers during the period from January 2009 to November 2014. After the global financial crisis of 2008, in this period of quantitative easing (QE, thanks to an increase in the money supply, a capital flow from developed countries to developing countries was observed. In this study, we try to analyse that although the financial market in Poland made an incredible progress, whether fund managers show better or worse performance than the market. Methodology/Methods: In order to evaluate fund manager performances, Jensen alpha (1968 is computed, which depicts selectivity skills of fund managers. For determining market timing ability of fund managers, Treynor & Mazuy (1966 regression analysis and Henriksson & Merton (1981 regression analysis are applied. Fund performances are evaluated using Warsaw Stock Exchange Index as the benchmark index. Scientific aim: In this study, we have tried to evaluate selectivity skills and market timing ability of Polish fund managers. A total of 14 equity fund managers’ performances are analysed. The study can be guiding especially for investors who are interested in Polish equity fund performances in a period where emerging stock markets outperformed with quantitative easing. Findings: Jensen (1968 alphas indicate that over this period fund managers did not have selective ability, as none of the 14 funds had statistically significant positive alphas. Furthermore, Treynor & Mazuy (1966 and Henriksson & Merton (1981 regression analysis indicate that over the same period fund managers did not also have market timing ability, as again none of the 14 funds had statistically significant positive coefficients. Conclusions: In this work, we can detect that in the era of quantitative easing, although the financial market in Poland made an incredible progress, the fund returns were

  14. Theorizing Polish migration across Europe: perspectives, concepts, and methodologies

    Directory of Open Access Journals (Sweden)

    Elżbieta M. Goździak

    2016-08-01

    Full Text Available Theorizing Polish migration across Europe: perspectives, concepts, and methodologies With the focus on the post-2004 mobility of Polish citizens, in this article we discuss two interrelated questions; namely, what are the most productive ways to theorize contemporary Polish migration, and what are the most fruitful methodologies aimed at understanding Polish migration and Poles on the move? In the first part of this article we unpack three interrelated theoretical frameworks: ‘liquid migration’, ‘regimes of mobility,’ and ‘transnationalism’. The methodological discussion in the second part of the article focuses mainly on outlining and contextualizing the most common approaches to migration phenomena. By critically introducing quantitative and qualitative methodologies, we explore and indicate the advantages of the ethnographic perspective and the merits and predicaments of research engagement in multiple sites.   Polskie migracje w Europie: perspektywy, koncepcje, metodologie Z naciskiem na mobilność obywateli polskich po przyłączeniu Polski do Unii Europejskiej w 2004 roku, w niniejszym artykule staramy się odpowiedzieć na dwa powiązane ze sobą pytania: jakie są najbardziej wydajne sposoby teoretyzowania współczesnej migracji polskiej oraz jakie są najbardziej owocne metody badawcze mające na celu zrozumienie polskiej migracji po akcesji do UE? W pierwszej części artykułu przedstawiamy więc trzy powiązane ze sobą koncepcje teoretyczne: „płynna migracja”, „reżimy mobilności” oraz transnarodowość. Dyskusja metodologiczna w drugiej części artykułu skupia się natomiast głównie na przedstawieniu najczęściej stosowanej metodyki i metodologii w badaniach zjawisk migracyjnych. Wprowadzając krytyczną perspektywę na temat ilościowych i jakościowych metod badawczych, staramy się wskazać wartość poznawczą perspektywy etnograficznej oraz wady i zalety etnograficznego zaangażowania badawczego w

  15. Technology based Education System

    DEFF Research Database (Denmark)

    Kant Hiran, Kamal; Doshi, Ruchi; Henten, Anders

    2016-01-01

    Abstract - Education plays a very important role for the development of the country. Education has multiple dimensions from schooling to higher education and research. In all these domains, there is invariably a need for technology based teaching and learning tools are highly demanded in the acad......Abstract - Education plays a very important role for the development of the country. Education has multiple dimensions from schooling to higher education and research. In all these domains, there is invariably a need for technology based teaching and learning tools are highly demanded...... in the academic institutions. Thus, there is a need of comprehensive technology support system to cater the demands of all educational actors. Cloud Computing is one such comprehensive and user-friendly technology support environment that is the need of an hour. Cloud computing is the emerging technology that has...

  16. Violation of interest-rate parity: a Polish example

    Science.gov (United States)

    Przystawa, Jerzy; Wolf, Marek

    2000-09-01

    The mechanism of the so-called “Bagsik Oscillator” is presented and discussed. In essence, it is a repeated exploitation of arbitrage opportunities that resulted from a marked departure from the interest-rate parity relationship between the local Polish currency and the western currencies.

  17. Il futurismo polacco nella critica letteraria dell’epoca [Polish Futurism in Literary Criticism of the Early Twentieth Century

    Directory of Open Access Journals (Sweden)

    Andrea F. De Carlo

    2016-12-01

    Full Text Available The article analyses the critical voices raised against the young poets and artists who promoted Futurism in Poland during the first half of the Twentieth century. Futurist manifestos influenced the new Polish poetry, stimulating a lively debate among intellectuals of the calibre of Stefan Żeromski and Karol Irzykowski. In general, the coeval criticism of Polish Futurism focused on three main points: the lack of originality and servile imitation of foreign literary models; the repudiation of the past and national traditions; Futurism as an expression of ideologies such as Fascism in Italy and Bolshevism in Russia. In this article, specific attention is devoted to an analysis of the essay Snobizm i postęp (Snobbery and Progress, 1923 by Żeromski. The writer, criticising Polish imitators of Russian Futurism, affirmed that Polish literature and culture, in the context of national reconstruction after three partitions of Poland, needed to maintain its natural connection with the past and at the same time, without losing its national nature, to weave some universal suggestions into the plot of purely Polish themes. The goal of this article is to reveal that Żeromski and Irzykowski’s critical stance towards the Polish Futurists, which influenced the critics of the next generation, was dictated by a shallow analysis of Futuristic works and by their inability to understand Futuristic efforts to modernise Polish art and literature.

  18. POLYMORPHISM OF PROLACTIN RECEPTOR GENE (PRLR IN THE POLISH LANDRACE AND POLISH LARGE WHITE SWINE POPULATION AND REPRODUCTIVE TRAITS

    Directory of Open Access Journals (Sweden)

    AGATA ZIÓŁKOWSKA

    2011-01-01

    Full Text Available Prolactin receptor gene was found in pig chromosome 16, and it is one of the genes with a significant effect on reproduction traits in sows. The objective of the research was to determine polymorphism of the prolactin receptor gene in pigs of two maternal breeds: Polish Landrace and Polish Large White, as well as analyse relations between particular allelomorphic variants, and reproduction traits of examined sows. Two PRLR gene alleles, A and B, were isolated, they were obtained after AluI restriction gene digestion of the PCR product with the length of 163 bp; furthermore, three genotypes were identified: PRLRAA – 85, 59, 19 bp; PRLRAB – 104, 85, 59, 19 bp; PRLRBB – 104, 59 bp. We assessed 122 sows, in terms of their age at the first farrowing, as well as the sizes of the two subsequent litters. No statistically significant differences were found in the examined reproduction traits in sows with different allelomorphic relations, both within each breed and between breeds. Obtained results indicate that it is necessary to conduct further research on a larger animal group.

  19. Mathematical model of temperature field distribution in thin plates during polishing with a free abrasive

    Directory of Open Access Journals (Sweden)

    Avilov Alex

    2017-01-01

    Full Text Available The purpose of this paper is to estimate the dynamic characteristics of the heating process of thin plates during polishing with a free abrasive. A mathematical model of the temperature field distribution in space and time according to the plate thickness is based on Lagrange equation of the second kind in the thermodynamics of irreversible processes (variation principle Bio. The research results of thermo elasticity of thin plates (membranes will allow to correct the modes of polishing with a free abrasive to receive the exact reflecting surfaces of satellites reflector, to increase temperature stability and the ability of radio signal reflection, satellite precision guidance. Calculations of temperature fields in thin plates of different thicknesses (membranes is held in the Excel, a graphical characteristics of temperature fields in thin plates (membranes show non-linearity of temperature distribution according to the thickness of thin plates (membranes.

  20. Effect of Two Polishing Systems on Surface Roughness, Topography, and Flexural Strength of a Monolithic Lithium Disilicate Ceramic.

    Science.gov (United States)

    Mohammadibassir, Mahshid; Rezvani, Mohammad Bagher; Golzari, Hossein; Moravej Salehi, Elham; Fahimi, Mohammad Amin; Kharazi Fard, Mohammad Javad

    2017-03-08

    To evaluate the effect of overglazing and two polishing procedures on flexural strength and quality and quantity of surface roughness of a monolithic lithium disilicate ceramic computer-aided design (CAD) after grinding. This in vitro study was conducted on 52 partially crystalized bar-shaped specimens (16 × 4 × 1.6 mm) of monolithic lithium disilicate ceramic. The specimens were wet polished with 600-, 800-, and 1200-grit silicon carbide papers for 15 seconds using a grinding/polishing machine at a speed of 300 rpm. Then, the specimens were crystalized and glaze-fired in one step simultaneously and randomly divided into four groups of 13: (I) Glazing group (control); (II) Grinding-glazing group, subjected to grinding with red band finishing diamond bur (46 μm) followed by glazing; (III) Grinding-D+Z group, subjected to grinding and then polishing by coarse, medium, and fine diamond rubber points (D+Z); and (IV) Grinding-OptraFine group, subjected to grinding and then polishing with a two-step diamond rubber polishing system followed by a final polishing step with an OptraFine HP brush and diamond polishing paste. The surface roughness (Ra and Rz) values (μm) were measured by a profilometer, and the mean values were compared using one-way ANOVA and Tamhane's test (post hoc comparison). One specimen of each group was evaluated under a scanning electron microscope (SEM) for surface topography. The three-point flexural strength values of the bars were measured using a universal testing machine at a 0.5 mm/min crosshead speed and recorded. The data were analyzed using one-way ANOVA and Tamhane's test (α = 0.05). Statistically significant differences were noted among the experimental groups for Ra, Rz (p SEM analysis of polished surfaces revealed regular morphology with some striations. The OptraFine system created smoother and more uniform surfaces in terms of quantity (p < 0.03 for Ra, p < 0.01 for Rz) and quality of roughness compared to glazing. The flexural

  1. Interpretation of Ukrainian and Polish Adverbial Word Equivalents Form and Meaning Interaction in National Explanatory Lexicography

    Directory of Open Access Journals (Sweden)

    Alla Luchyk

    2015-06-01

    Full Text Available Interpretation of Ukrainian and Polish Adverbial Word Equivalents Form and Meaning Interaction in National Explanatory Lexicography The article proves the necessity and possibility of compiling dictionaries with intermediate existence status glossary units, to which the word equivalents belong. In order to form the Ukrainian-Polish dictionary glossary of this type the form and meaning analysis of Ukrainian and Polish word equivalents is done, the common and distinctive features of these language system elements are described, the compiling principles of such dictionary are clarified.

  2. Accuracy and coverage of the modernized Polish Maritime differential GPS system

    Science.gov (United States)

    Specht, Cezary

    2011-01-01

    The DGPS navigation service augments The NAVSTAR Global Positioning System by providing localized pseudorange correction factors and ancillary information which are broadcast over selected marine reference stations. The DGPS service position and integrity information satisfy requirements in coastal navigation and hydrographic surveys. Polish Maritime DGPS system has been established in 1994 and modernized (in 2009) to meet the requirements set out in IMO resolution for a future GNSS, but also to preserve backward signal compatibility of user equipment. Having finalized installation of the new technology L1, L2 reference equipment performance tests were performed.The paper presents results of the coverage modeling and accuracy measuring campaign based on long-term signal analyses of the DGPS reference station Rozewie, which was performed for 26 days in July 2009. Final results allowed to verify the coverage area of the differential signal from reference station and calculated repeatable and absolute accuracy of the system, after the technical modernization. Obtained field strength level area and position statistics (215,000 fixes) were compared to past measurements performed in 2002 (coverage) and 2005 (accuracy), when previous system infrastructure was in operation.So far, no campaigns were performed on differential Galileo. However, as signals, signal processing and receiver techniques are comparable to those know from DGPS. Because all satellite differential GNSS systems use the same transmission standard (RTCM), maritime DGPS Radiobeacons are standardized in all radio communication aspects (frequency, binary rate, modulation), then the accuracy results of differential Galileo can be expected as a similar to DGPS.Coverage of the reference station was calculated based on unique software, which calculate the signal strength level based on transmitter parameters or field signal strength measurement campaign, done in the representative points. The software works

  3. Evaluation of the effect of different methods of microabrasion and polishing on surface roughness of dental enamel.

    Science.gov (United States)

    Bertoldo, Carlos; Lima, Debora; Fragoso, Larissa; Ambrosano, Glaucia; Aguiar, Flavio; Lovadino, Jose

    2014-01-01

    The microabrasion technique of enamel consists of selectively abrading the discolored areas or causing superficial structural changes in a selective way. In microabrasion technique, abrasive products associated with acids are used, and the evaluation of enamel roughness after this treatment, as well as surface polishing, is necessary. This in-vitro study evaluated the enamel roughness after microabrasion, followed by different polishing techniques. Roughness analyses were performed before microabrasion (L1), after microabrasion (L2), and after polishing (L3).Thus, 60 bovine incisive teeth divided into two groups were selected (n=30): G1- 37% phosphoric acid (37%) (Dentsply) and pumice; G2- hydrochloric acid (6.6%) associated with silicon carbide (Opalustre - Ultradent). Thereafter, the groups were divided into three sub-groups (n=10), according to the system of polishing: A - Fine and superfine granulation aluminum oxide discs (SofLex 3M); B - Diamond Paste (FGM) associated with felt discs (FGM); C - Silicone tips (Enhance - Dentsply). A PROC MIXED procedure was applied after data exploratory analysis, as well as the Tukey-Kramer test (5%). No statistical differences were found between G1 and G2 groups. L2 differed statistically from L1 and showed superior amounts of roughness. Differences in the amounts of post-polishing roughness for specific groups (1A, 2B, and 1C) arose, which demonstrated less roughness in L3 and differed statistically from L2 in the polishing system. All products increased enamel roughness, and the effectiveness of the polishing systems was dependent upon the abrasive used.

  4. The Minister Council decree about conditions for to bring into the Polish customs area, to take away from the Polish customs area, and to transit through this area nuclear materials, radioactive sources and device including such sources

    International Nuclear Information System (INIS)

    Miller, L.

    2002-01-01

    The decree refers to conditions for to bring into the Polish customs area, to take away from the Polish customs area, and to transit through this area nuclear materials, radioactive sources and devices containing such sources

  5. Comparative study of performance of shoe polishes formulated from ...

    African Journals Online (AJOL)

    GARZALI

    1Department of Pure and Industrial Chemistry, Bayero University, Kano. 2College of Science ... carbon black pigment. Polyethylene polish like the one formulated using carbon black pigment was ... into fine black powder. Purification of Wax.

  6. Size, Value, and Momentum in Polish Equity Returns: Local or International Factors?

    Directory of Open Access Journals (Sweden)

    Zaremba Adam

    2017-09-01

    Full Text Available This paper tests the performance of the Capital Asset Pricing Model (CAPM and the Fama-French three-factor and Carhart four-factor models on the Polish market. We use stock level data from April 2001 to January 2014 and find strong evidence for value and momentum effects, but only weak evidence for size premium. We formed portfolios double-sorted on size and book-to-market ratios, as well as on size and momentum, and we explain their returns with the above-mentioned asset pricing models. The CAPM is rejected and the three-factor and four-factor models perform well for the size and B/M sorted portfolios, but fail to explain returns on the size and momentum sorted portfolios. With the exception of the momentum factor, local Polish factors are not correlated with their European and global counterparts, suggesting market segmentation. Finally, the international value, size and momentum factors perform poorly in explaining cross-sectional variation in stock returns on the Polish market.

  7. Towards a construction grammar account of the distributive PO in Polish

    Directory of Open Access Journals (Sweden)

    Adam Przepiórkowski

    2015-11-01

    Full Text Available Towards a construction grammar account of the distributive PO in Polish Polish distributive constructions involving the form po are well known for their syntactic and semantic idiosyncrasy. The aim of this paper is to show that, contrary to the received wisdom, two different lexemes po take part in such constructions: a preposition and an adnumeral operator. This explains some of the idiosyncratic behaviour, namely, the apparent ability of po to combine with different grammatical cases. A preliminary Construction Grammar analysis is proposed which eschews the potential problem of missed generalisations that such a dual account of po might engender.

  8. Impact of polishing on the light scattering at aerogel surface

    International Nuclear Information System (INIS)

    Barnyakov, A.Yu.; Barnyakov, M.Yu.; Bobrovnikov, V.S.; Buzykaev, A.R.; Danilyuk, A.F.; Katcin, A.A.; Kononov, S.A.; Kirilenko, P.S.; Kravchenko, E.A.; Kuyanov, I.A.; Onuchin, A.P.; Ovtin, I.V.; Predein, A.Yu.; Protsenko, R.S.

    2016-01-01

    Particle identification power of modern aerogel RICH detectors strongly depends on optical quality of radiators. It was shown that wavelength dependence of aerogel tile transparency after polishing cannot be described by the standard Hunt formula. The Hunt formula has been modified to describe scattering in a thin layer of silica dust on the surface of aerogel tile. Several procedures of polishing of aerogel tile have been tested. The best result has been achieved while using natural silk tissue. The resulting block has optical smooth surfaces. The measured decrease of aerogel transparency due to surface scattering is about few percent. This result could be used for production of radiators for the Focusing Aerogel RICH detectors.

  9. Properties of body composition of female representatives of the Polish national fencing team - the sabre event.

    Science.gov (United States)

    Jagiełło, Władysław; Marina, Jagiełło; Maciej, Kalina Roman; Jan, Barczyński Bartłomiej; Artur, Litwiniuk; Jarosław, Klimczak

    2017-12-01

    Fencing is a combat sport whose form of direct confrontation involves hitting the opponent with a weapon. The purpose of the study was to determine the properties of body composition of female representatives of the Polish national fencing team. The study involved 11 female athletes of the Polish national fencing team. Their age was 16-22 years (19±2.32), body weight 52-78 kg (59.7±7.4), body height 158-183 cm (167.46±6.10) and the training experience 7.64±3.47 years. The reference group consisted of 153 students of Warsaw University of Technology (Poland). Twenty basic somatic characteristics were measured. The following indices were calculated: slenderness, Rohrer's, BMI, Manouvrier's, and pelvic-shoulder indices. Density of the body, total body fat, active tissue, the overall profile of body composition and internal proportions of the body were determined. Analysis of internal proportions of factors of the athletes' body composition revealed significant differences in particular groups of features. The total size of the athletes' bodies is due to less-than-average magnitude of the length and stoutness characteristics and a high magnitude of adiposity (M = 0.63) in the Polish female national team of fencers (sabre) calculated from the normalized values for the control group. The proportions of features within the analysed factors revealed a significant advantage of the length of the upper extremity over the lower one and a distinct advantage of forearm musculature. The specific profile of body composition of female athletes practising sabre fencing is most likely due to long-term effects of training as well as the system of selection of persons with specific somatic prerequisites developed in the course of many years of training practice.

  10. The effect of European integration on exchange rate dependence: the Polish accession to the EU

    NARCIS (Netherlands)

    Thiel, J.H.; van Giersbergen, N.P.A.

    2010-01-01

    This paper investigates the effect of the Polish accession to the European Union (EU) on the dependence between the euro-US dollar and Polish zloty-US dollar exchange rate. The dependence is estimated by means of copulas as suggested by Patton (2006). This approach allows to first specify and

  11. Using STED and ELSM confocal microscopy for a better knowledge of fused silica polished glass interface

    International Nuclear Information System (INIS)

    Catrin, Rodolphe; Neauport, Jerome; Taroux, Daniel; Corbineau, Thomas; Cormont, Philippe; Maunier, Cedric; Legros, Philippe

    2013-01-01

    Characteristics and nature of close surface defects existing in fused silica polished optical surfaces were explored. Samples were deliberately scratched using a modified polishing process in presence of different fluorescent dyes. Various techniques including Epi-fluorescence Laser Scanning Mode (ELSM) or Stimulated Emission Depletion (STED) confocal microscopy were used to measure and quantify scratches that are sometimes embedded under the polished layer. We show using a nondestructive technique that depth of the modified region extends far below the surface. Moreover cracks of 120 nm width can be present ten micrometers below the surface. (authors)

  12. Fly ashes from Polish power plants and combined heat and power plants and conditions of their application for carbon dioxide utilization

    Energy Technology Data Exchange (ETDEWEB)

    Uliasz-Bochenczyk, A.; Mokrzycki, E. [Polish Academy of Science, Krakow (Poland). Mineral & Energy Economic Research Institute

    2006-09-15

    Poland has large resources of hard coal and brown coal. Therefore power industry is mostly based on these two original energy carriers. The power plants producing heat and electrical energy create combustion byproducts. These products include: fly ashes, slags, carbon dioxide and other gaseous compounds. In year 2003 fly ashes emission from hard coal combustion in Poland reached 37 000 tons and over 15 000 tons from brown coal combustion. Fly ashes are widely used in the economy. They are used in building materials industry, in road building and geotechnics. CO{sub 2} emission in Poland in 2003 originating from hard coal combustion was almost 91 million tons and from brown coal combustion-almost 58 million tons. High emissions of CO{sub 2} originating from power engineering processes of coal combustion are deleterious to the natural environment, contributing to the greenhouse effect. Presently there are carried out studies aimed at limiting CO{sub 2} emission coming from industrial processes. Fly ash properties are determined by qualitative characteristics of combusted coal, its chemical composition and combustion technology. Chemical composition of Polish fly ashes is very diversified. Fly ashes with high calcium oxide content can be used for carbon dioxide fixation. Fly ash carbonation is a complicated process however safe for natural environment. Polish fly ashes coming from power engineering, conditions of their use for the carbon dioxide utilization as well as their quantitative and qualitative characteristics are the subjects of this paper.

  13. Influence of Finishing and Polishing Techniques and Abrasion on Transmittance and Roughness of Composite Resins.

    Science.gov (United States)

    Carneiro, Pma; Ramos, T M; de Azevedo, C S; de Lima, E; de Souza, Shj; Turbino, M L; Cesar, P F; Matos, A B

    The aim of this study was to evaluate the influence of finishing and polishing systems and toothbrush abrasion on transmittance (T) and surface roughness (Ra) of three composite resins (Filtek Z350 XT, Tetric N-Ceram, and IPS Empress Direct). Eighteen resin disks (10 mm diameter × 2 mm thick) finished by polyester strips had initial surface smoothness recorded, representing phase 1 (P1). Specimens were divided into three groups (n=6) according to the finishing/polishing instrument used (OneGloss, TopGloss, and Sof-Lex) to compose phase 2 samples (P2). Then specimens were subjected to 514 cycles of toothbrush simulation using a toothpaste slurry, with a constant load applied to soft bristles, and were then washed (phase 3=P3). After each phase, the specimens were examined by an optical profiler and spectrophotometer to measure Ra and T. Data were analyzed by analysis of variance, Tukey and Pearson tests. T values were statistically influenced by composite resin ( p=0.000) and phase of measurement ( p=0.000) factors, while the finishing/polishing system used ( p=0.741) did not affect T. On the other hand, Ra values were statistically affected by the factor finishing/polishing system ( p=0.000), but not by composite resin ( p=0.100) and phase of measurement ( p=0.451). Tetric N-Ceram and Empress Direct presented higher values of roughness when polished by OneGloss, while TopGloss and Sof-Lex showed a lower roughness. It can be concluded that composite resins transmitted more light after dental abrasion. Transmittance of composite resins was not modified by the distinct roughness created by finishing/polishing instruments.

  14. Efficacy of polishing kits on the surface roughness and color stability of different composite resins.

    Science.gov (United States)

    Kocaagaoglu, H; Aslan, T; Gürbulak, A; Albayrak, H; Taşdemir, Z; Gumus, H

    2017-05-01

    Different polishing kits may have different effects on the composite resin surfaces. The aim of this study was to evaluate the surface roughness and color stability of four different composites which was applied different polishing technique. Thirty specimens were made for each composite resin group (nanohybrid, GrandioSo-GS; nanohybrid, Clearfil Majesty Esthetic-CME; hybrid, Valux Plus-VP; micro-hybrid, Ruby Comp-RC; [15 mm in diameter and 2 mm height]), with the different monomer composition and particle size from a total of 120 specimens. Each composite group was divided into three subgroups (n = 10). The first subgroup of the each composite subgroups served as control (C) and had no surface treatment. The second subgroup of the each composite resin groups was polished with finishing discs (Bisco Finishing Discs; Bisco Inc., Schaumburg, IL, USA). The third subgroup of the each composite resin was polished with polishing wheel (Enhance and PoGo, Dentsply, Konstanz, Germany). The surface roughness and the color differences measurement of the specimens were made and recorded. The data were compared using Kruskal-Wallis test, and regression analysis was used in order to examine the correlation between surface roughness and color differences of the specimens (α = 0.05). The Kruskal-Wallis test indicated significant difference among the composite resins in terms of ΔE (P composite resins in terms of surface roughness (P > 0.05). Result of the regression analysis indicated statistically significant correlation between Ra and ΔE values (P < 0.05, r2 = 0.74). The findings of the present study have clinical relevance in the choice of polishing kits used.

  15. [Polish version of the ADOS (autism diagnostic observation schedule-generic)].

    Science.gov (United States)

    Chojnicka, Izabela; Płoski, Rafał

    2012-01-01

    The article presents the Polish version of the autism diagnostic observation schedule-generic (ADOS), which together with the autism diagnostic interview-revised (ADI-R) is cited as the "gold standard" for the diagnosis of autism. The ADOS is a standardised, semistructured observation protocol appropriate for children and adults of differing age and language levels. It is linked to ICD-10 and DSM-IV-TR criteria. The ADOS consists of four modules, ranging from module 1 for nonverbal individuals to module 4 for verbally fluent adults. The adequate inter-rater reliability for items has been established. The protocol has high discriminant validity and distinguishes children with pervasive developmental disorders from children, who are outside of the spectrum. Although it does not enable to distinguish individuals with pervasive developmental disorder, unspecified from individuals with childhood autism. The paper presents subsequent steps of the translation process of the original version into Polish, as well as a chosen adaptation strategy of the Polish version. The ADOS is a very useful tool both for clinical diagnosis and for the scientific purpose diagnosis. In this last case it is extremely important to use a standardised method. Until now, there was no standardised diagnostic tool for autism in Poland.

  16. Ferocious Enemies and Noble Heroes: Images of “Us” and “Them” in Polish American Textbooks (until the 1930s

    Directory of Open Access Journals (Sweden)

    Adam Walaszek

    2003-12-01

    Full Text Available Ethnic schools had an important role to play in propagating profiles of “ideal” heroes and members of society among immigrant communities. Consequently, it was often the role of school coursebooks to inform pupils of the messages adults wanted to transmit to the younger generation. In this paper the author attempts to describe contents of various textbooks used in Polish-American parochial schools. Invention of national mythology and simplification of facts was necessary to facilitate the memorizing process as required. But to form group solidarity one also had to identify common enemies. Various textbooks published in the U.S.A. before World War I did not help immigrants’ children to acculturate to their American realities. Being very didactic, they focused mainly on Polish realities and Polish history. Only after World War I did an American presence become more visible in books used in the first grade. In thirties Felicians predominantly used textbooks written in the U.S.A., mainly by S. M. Cyryla (Magdalena Tobaka. The heroes they presented were characterized schematically, and the ideals found therein were alien to Polish-American children. Polska by S. Mary Cyryla, was the book on which this analysis was based. The image of Poles and “other” nations is surprisingly defined in black and white. Foreign nations are depicted as cruel, monstrous, villain, pagan, barbaric, etc. “Us”, the Poles, are seen as brave, just, good, wise, honest, faithful, etc., they “have loved faith, country, and language” etc. Cyryla’s textbook tried to engender feelings of community by dividing the world into “us” and “them”, thereby provoking antagonism towards “them.” Polish personality traits were very much in keeping with the images and self-stereotypes which Polish society had about itself. In constructing a positive and ideal self-image, it was useful for “others” and “strangers” to be depicted as “enemies”.

  17. Detection Performance of Upgraded "Polished Panel" Optical Receiver Concept on the Deep-Space Network's 34 Meter Research Antenna

    Science.gov (United States)

    Vilnrotter, Victor A.

    2012-01-01

    The development and demonstration of a "polished panel" optical receiver concept on the 34 meter research antenna of the Deep Space Network (DSN) has been the subject of recent papers. This concept would enable simultaneous reception of optical and microwave signals by retaining the original shape of the main reflector for microwave reception, but with the aluminum panels polished to high reflectivity to enable focusing of optical signal energy as well. A test setup has been installed on the DSN's 34 meter research antenna at Deep Space Station 13 (DSS-13) of NASA's Goldstone Communications Complex in California, and preliminary experimental results have been obtained. This paper describes the results of our latest efforts to improve the point-spread function (PSF) generated by a custom polished panel, in an attempt to reduce the dimensions of the PSF, thus enabling more precise tracking and improved detection performance. The design of the new mechanical support structure and its operation are described, and the results quantified in terms of improvements in collected signal energy and optical communications performance, based on data obtained while tracking the planet Jupiter with the 34 meter research antenna at DSS-13.

  18. Extreme precipitation in the Polish Carpathians in the 20th century in the context of last 500 years

    Science.gov (United States)

    Limanowka, Danuta; Cebulak, Elzbieta; Pyrc, Robert

    2010-05-01

    Extreme weather phenomena together with their exceptional course and intensity have always been dangerous for people. In the historical documents such phenomena were marked as basic disasters. First notes about weather phenomena were made in Polish lands in the 10th century. Most information concerns floods caused by intensive rains. Using the data base created within the Millennium project, extreme precipitation cases exceeding 100 mm were analysed. In each case, the intensive precipitation was followed by a summer flood in the Polish Carpathians in the Upper Vistula River basin. Data from the period of instrumental measurements in the 20th century were studied in detail by the analysis of the frequency of occurrence and the spatial and temporal distribution. The results were referred to last 500 years. The information obtained gives approximate image of extreme precipitation in the historical times in Polish lands. All available multi-proxy data were used. Newspapers' notes concerning described phenomena from 1848-1850 published in Kraków were used to complete and verify the quality of data from the early instrumental period and also to complete the data from the period of the Second World War.

  19. Cost of equity on the Polish and global coal market - comparative analysis

    OpenAIRE

    Aneta Michalak

    2014-01-01

    The mining industry in Poland as well as in the world is considered to be a strategic industry, of special significance for the economy. At the same time it is an industry requiring high capital outlays. Equity plays an important role in financing of the mining enterprises. The objective of the article is to compare the cost of equity on the Polish and global coal market. The object of the research are the Polish and foreign mining enterprises listed on the stock markets. The basic research m...

  20. Smooth polishing of femtosecond laser induced craters on cemented carbide by ultrasonic vibration method

    Science.gov (United States)

    Wang, H. P.; Guan, Y. C.; Zheng, H. Y.

    2017-12-01

    Rough surface features induced by laser irradiation have been a challenging for the fabrication of micro/nano scale features. In this work, we propose hybrid ultrasonic vibration polishing method to improve surface quality of microcraters produced by femtosecond laser irradiation on cemented carbide. The laser caused rough surfaces are significantly smoothened after ultrasonic vibration polishing due to the strong collision effect of diamond particles on the surfaces. 3D morphology, SEM and AFM analysis has been conducted to characterize surface morphology and topography. Results indicate that the minimal surface roughness of Ra 7.60 nm has been achieved on the polished surfaces. The fabrication of microcraters with smooth surfaces is applicable to molding process for mass production of micro-optical components.

  1. The diagnosis and management of food allergies. Position paper of the Food Allergy Section the Polish Society of Allergology.

    Science.gov (United States)

    Bartuzi, Zbigniew; Kaczmarski, Maciej; Czerwionka-Szaflarska, Mieczysława; Małaczyńska, Teresa; Krogulska, Aneta

    2017-10-01

    The paper concerns the current position of the Polish Society of Allergology Food Allergy Section on the diagnosis and management of food allergies. The aim of this position is to provide evidence-based recommendations on the diagnosis and management of patients with allergic hypersensitivity to foods. This position statement includes a systematic review of studies in three areas, namely, the epidemiology, diagnosis and management of food allergies. While taking into account the specific Polish setting, in this publication we also used the current European Academy of Allergy and Clinical Immunology (EAACI) position paper and other current position statements, including those of the United States National Institute of Allergy and Infectious Diseases (NIAID).

  2. The diagnosis and management of food allergies. Position paper of the Food Allergy Section the Polish Society of Allergology

    Directory of Open Access Journals (Sweden)

    Zbigniew Bartuzi

    2017-10-01

    Full Text Available The paper concerns the current position of the Polish Society of Allergology Food Allergy Section on the diagnosis and management of food allergies. The aim of this position is to provide evidence-based recommendations on the diagnosis and management of patients with allergic hypersensitivity to foods. This position statement includes a systematic review of studies in three areas, namely, the epidemiology, diagnosis and management of food allergies. While taking into account the specific Polish setting, in this publication we also used the current European Academy of Allergy and Clinical Immunology (EAACI position paper and other current position statements, including those of the United States National Institute of Allergy and Infectious Diseases (NIAID.

  3. The formation of the polish opposite movement in Western Ukraine at the beginning of the Second world war

    Directory of Open Access Journals (Sweden)

    Viktoriya V. Dashko

    2016-02-01

    Full Text Available The article highlights the nature of the Soviet totalitarian ethnic policy and its influence on the origin of the Polish opposite movement in Western Ukraine at the beginning of the Second World War. It also clarifies the main factors of the formation of active opposite movements among the Polish part of population in the Western Ukraine territory, which withdrew to the Soviet Union due to the distribution of Poland as a result of the Molotov-Ribbentrop Pact. The author defined category of Polish nationality persons, who were dissatisfied with Stalin’s repressive policies in 1939-1941 and become that social environment, in which finally formed opposite movement to totalitarianism and, in particular, antinational regime against the Polish ethnos, and the environment from which later appeared activists of this movement. By the author was analyzed the activity of Soviet authorities in the occupied territories of the former «Wshodnih kresuv» of the Second Rich Pospolyta and determined main factors that led to dissatisfaction with the rigid Soviet policy against the former government officials, military precipitators and servants of the Roman Catholic Church. Investigated and determined features of the Polish opposite movement formation in the former eastern Polish territories occupied in 1939 by the Soviet Union and seized in 1941 by Nazi Germany. The article also describes the origin and activity of the first underground Polish armed forces on Ukrainian territory.

  4. Nanographene-Based Saturable Absorbers for Ultrafast Fiber Lasers

    Directory of Open Access Journals (Sweden)

    Hsin-Hui Kuo

    2014-01-01

    Full Text Available The generation of femtosecond pulse laser in the erbium-doped fiber laser system is presented by integrating of the nanographene-based saturable absorbers (SAs. A simplified method of dispersed nanographene-based SAs side-polished fiber device with controllable polished length and depth was also developed. The dependence of geometry of a graphene-deposited side-polished fiber device on optical nonlinear characteristics and on the performance of the MLFL was screened. We found that the 10 mm polished length with 1.68 dB insertion loss had the highest modulation depth (MD of 1.2%. A stable MLFL with graphene-based SAs employing the optimized side-polished fiber device showed a pulse width, a 3 dB bandwidth, a time-bandwidth product (TBP, a repetition rate, and pulse energy of 523 fs, 5.4 nm, 0.347, 16.7 MHz, and 0.18 nJ, respectively, at fundamental soliton-like operation. The femtosecond pulse laser is achieved by evanescent field coupling through graphene-deposited side-polished fiber devices in the laser cavity. This study demonstrates that the polished depth is the key fabrication geometric parameter affecting the overall optical performance and better results exist within the certain polished range.

  5. Probe-based recording technology

    International Nuclear Information System (INIS)

    Naberhuis, Steve

    2002-01-01

    The invention of the scanning tunneling microscope (STM) prompted researchers to contemplate whether such technology could be used as the basis for the storage and retrieval of information. With magnetic data storage technology facing limits in storage density due to the thermal instability of magnetic bits, the super-paramagnetic limit, the heir-apparent for information storage at higher densities appeared to be variants of the STM or similar probe-based storage techniques such as atomic force microscopy (AFM). Among these other techniques that could provide replacement technology for magnetic storage, near-field optical scanning optical microscopy (NSOM or SNOM) has also been investigated. Another alternative probe-based storage technology called atomic resolution storage (ARS) is also currently under development. An overview of these various technologies is herein presented, with an analysis of the advantages and disadvantages inherent in each particularly with respect to reduced device dimensions. The role of micro electro mechanical systems (MEMS) is emphasized

  6. THE EFFECTIVENESS OF INNOVATION PROJECTS IN POLISH INDUSTRY

    Directory of Open Access Journals (Sweden)

    Jan Zwolak

    2016-03-01

    Full Text Available The objective of the study was to determine the dependency of the net income from the sales of new and significantly modernised products on the expenditure on (1 marketing and staff training as well as on the expenditure on (2 land, buildings and structures; machinery, technical equipment and tools; and means of transportation within the scope of product and process innovations in Polish industry in 2010. Furthermore the study was intended to determine the effectiveness of the abovementioned expenditure aggregated to descriptive variables (1 and 2. In the study, the correlation and regression methods as well as the least squares method was applied.The estimation resulted in the determination of functional dependency of net sales income on the expenditure on marketing and staff training (0.5040 as well as on the expenditure on land, buildings and structures; machinery, technical equipment and tools; and means of transportation (0.8064 in the field of innovative processes in industry. The net sales income increased more than proportionally relative to the combined effect of the aforementioned expenditure (1.3104. The total increase in the aforementioned expenditure by 10% resulted in the increase in net sales income by 13.1%. The determined power regression model may have practical implications for the economic evaluation of expenditure in innovative processes in Polish industry in the future, as well as for the forecast (prediction.The expenditure application in innovative processes may also have social implications connected to the increase in the value-in-use of products and with the increase in the social efficiency of work in Polish industry.

  7. Wladimir Krzyzanowski, 123 Polish Hero of the Civil War in the USA

    Directory of Open Access Journals (Sweden)

    PIYUK T.G.

    2014-09-01

    Full Text Available The article is dedicated to the young Polish revolutionist Wladimir Krzyzanowski who emigrated from Great Poland to the US after failed uprising in 1846 against Prussia in order to avoid possible arrest. He went to Hamburg, Germany and sailed from there to the United States.W. Krzyzanowski managed to become a successful and prosperous businessman and politician, and he was lucky in his personal life, he married a girl with a name and a significant dowry. He considered slavery not only anachronistic, but also a disgrace to the young state, and at the same time, he admired American democracy and American people. In Washington he recruited the company of Polish immigrants which became one of the first companies of Union Soldiers. Krzyzanowski then moved his company to New York and enlisted more immigrants and soon became a Colonel of the 58th Infantry Division, listed in the official Army Register as the “Polish Legion”. He participated in the Civil War battles of Cross-Keys, Bull Run, Chancellorsville, and Gettysburg. “Polish Legion”, led by Krzyzanowski, made himself famous in the main battle at Gettysburg. Subsequently, the memorial of granite was erected to legion in the immediate vicinity of the city.The author’s attention was attracted by the eccentric personality of the Pole, known in Poland and in America. In her work she explores Krzyzanowski’s life and service to the American people

  8. Trauma Related Guilt Inventory - psychometric properties of the Polish adaptation (TRGI-PL).

    Science.gov (United States)

    Popiel, Agnieszka; Zawadzki, Bogdan

    2015-01-01

    AIM : Although various aspects of guilt are frequent problems of patients suffering from PTSD, they have been included into the diagnostic criteria for PTSD just in the present version DSM-5. Kubany proposed a cognitive conceptualization of guilt in PTSD followed by development of the Trauma Related Guilt Inventory (TRGI). The aim of the paper is to present psychometric properties of the Polish version of the inventory - the TRGI-PL. A Polish adaptation of the Trauma-Related Guilt Inventory was applied to a sample of 280 motor vehicle (MVA) participants (147 females, 133 males of age from 18 to 80 (M=34,93, SD=13,71) within 1-24 months after a MVA (M=10,18, SD=6,23). Validation of the Polish version was done by analyzing the internal structure of the instrument and comparing the emotional and cognitive aspects of guilt assessed by the TRGI with PTSD symptoms, post-traumatic cognitions and responsibility for MVA and subjective agreement with the judgment. The model with four latent factors: Distress, Hindsight-Bias/Responsibility, Wrongdoing and Insufficient Justification scales showed acceptable fit (Satorra-Bentler chi2=518,62, df=203, pguilt, obtained in the studies on original TRGI version. Reliability coefficients are similar to original version. Correlations with other PTSD measures showed satisfactory convergent and discriminative validity. The Polish adaptation of the Trauma-Related Guilt Inventory is a reliable and valid tool for assessing guilt as a multidimensional phenomenon, comprising emotional and several cognitive characteristics, in trauma survivors.

  9. Comparative evaluation of effect of different polishing systems on surface roughness of composite resin: An in vitro study.

    Science.gov (United States)

    Chour, Rashmi G; Moda, Aman; Arora, Arpana; Arafath, Muhmmed Y; Shetty, Vikram K; Rishal, Yousef

    2016-08-01

    Satisfactory composite restoration depends upon its smooth finish, quality of polishing agents, type of composite material used, and its composition. The present study evaluated the effect of different polishing systems on the surface roughness of composite resin. Forty discs of composite were prepared and equally subjected to different finishing and polishing procedures; (i) unpolished control group, (ii) sof-lex discs, (iii) diamond tips, and (iv) Astrobrush groups. Later, the surface roughness for the entire specimen was evaluated using Profilomotor. Data were tabulated and statistically analyzed using analysis of variance and Tukey's test at significance level of 0.001. Composite surface roughness after polishing was statistically significant between the groups. Sof-lex group produced lesser surface roughness compared to control, Astrobrush, and diamond group. The present study indicated that diamond tips can be used to remove rough surface whereas sof-lex can be used for final finish and polish of the composite restoration.

  10. Analysis of forensically used autosomal short tandem repeat markers in Polish and neighboring populations.

    Science.gov (United States)

    Soltyszewski, Ireneusz; Plocienniczak, Andrzej; Fabricius, Hans Ake; Kornienko, Igor; Vodolazhsky, Dmitrij; Parson, Walther; Hradil, Roman; Schmitter, Hermann; Ivanov, Pavel; Kuzniar, Piotr; Malyarchuk, Boris A; Grzybowski, Tomasz; Woźniak, Marcin; Henke, Jurgen; Henke, Lotte; Olkhovets, Sergiv; Voitenko, Vladimir; Lagus, Vita; Ficek, Andrej; Minárik, Gabriel; de Knijff, Peter; Rebała, Krzysztof; Wysocka, Joanna; Kapińska, Ewa; Cybulska, Lidia; Mikulich, Alexei I; Tsybovsky, Iosif S; Szczerkowska, Zofia; Krajewski, Paweł; Ploski, Rafał

    2008-06-01

    The purpose of this study was to evaluate the homogeneity of Polish populations with respect to STRs chosen as core markers of the Polish Forensic National DNA Intelligence Database, and to provide reference allele frequencies and to explore the genetic interrelationship between Poland and neighboring countries. The allele frequency distribution of 10 STRs included in the SGMplus kit was analyzed among 2176 unrelated individuals from 6 regional Polish populations and among 4321 individuals from Germany (three samples), Austria, The Netherlands, Sweden, Czech Republic, Slovakia, Belarus, Ukraine and the Russian Federation (six samples). The statistical approach consisted of AMOVA, calculation of pairwise Rst values and analysis by multidimensional scaling. We found homogeneity of present day Poland and consistent differences between Polish and German populations which contrasted with relative similarities between Russian and German populations. These discrepancies between genetic and geographic distances were confirmed by analysis of an independent data set on Y chromosome STRs. Migrations of Goths, Viking influences, German settlements in the region of Volga river and/or forced population resettlements and other events related to World War II are the historic events which might have caused these finding.

  11. Adjusting dental ceramics: An in vitro evaluation of the ability of various ceramic polishing kits to mimic glazed dental ceramic surface.

    Science.gov (United States)

    Steiner, René; Beier, Ulrike S; Heiss-Kisielewsky, Irene; Engelmeier, Robert; Dumfahrt, Herbert; Dhima, Matilda

    2015-06-01

    During the insertion appointment, the practitioner is often faced with the need to adjust ceramic surfaces to fit a restoration to the adjacent or opposing dentition and soft tissues. The purpose of this study was to assess the ceramic surface smoothness achieved with various commercially available ceramic polishing kits on different commonly used ceramic systems. The reliability of the cost of a polishing kit as an indicator of improved surface smoothness was assessed. A total of 350 ceramic surfaces representing 5 commonly available ceramic systems (IPS Empress Esthetic, IPS e.max Press, Cergo Kiss, Vita PM 9, Imagine PressX) were treated with 5 types of ceramic polishing systems (Cerapreshine, 94006C, Ceramiste, Optrafine, Zenostar) by following the manufacturers' guidelines. The surface roughness was measured with a profilometer (Taylor Hobson; Precision Taylor Hobson Ltd). The effects of ceramic systems and polishing kits of interest on surface roughness were analyzed by 2-way ANOVA, paired t test, and Bonferroni corrected significance level. The ceramic systems and polishing kits statistically affected surface roughness (Pceramic surface. No correlation could be established between the high cost of the polishing kit and low surface roughness. None of the commonly used ceramic polishing kits could create a surface smoother than that of glazed ceramic (Pceramic polishing kits is not recommended as a reliable indicator of better performance of ceramic polishing kits (P>.30). Copyright © 2015 Editorial Council for the Journal of Prosthetic Dentistry. Published by Elsevier Inc. All rights reserved.

  12. [Surface roughness and gloss of novel flowable composites after polishing and simulated brushing wear].

    Science.gov (United States)

    Wang, R L; Yuan, C Y; Pan, Y X; Tian, F C; Wang, Z H; Wang, X Y

    2017-04-09

    Objective: To investigate surface properties of novel flowable composites after polishing and simulated brushing wear, compared to their pasty counterpart. Methods: Composites employed in this study were: three flowable composites (A1: Clearfil Majesty ES Flow; B1: Beautifil Flow Plus F00; C1: Filtek Bulk Fill) and three paste composites (A2: Clearfil Majesty; B2: Beautifil; C2: Filtek Z350. Eleven disk-shaped specimens were made for each material. The specimens were cured, then subjected to sandpaper finishing for 20 s, one-step polishing for 30 s, finally subjected to simulated brushing for 10 000 cycles. Surface roughness and glossiness were measured before finishing, after finishing, after polishing, after 5 000 brushing cycles and after 10 000 brushing cycles, respectively. Data obtained were analyzed using two-way ANOVA method. Scanning electron microscope was employed to examine the microscopic appearance of each material. Results: Surface roughness (0.11~0.22 μm) and glossiness (74.25~86.48 GU) of each material were similar after one-step polishing. After brushing simulation, roughness increased significantly and glossiness decreased significantly for each material ( Pgloss ([50.68±1.58] GU) after final wear ( P< 0.05). Flowable composites of group A1 and B1 tested in the present setup showed better surface properties compared to their pasty counterpart (group A2 and B2). Conclusions: Within the limit of this study, flowable composites tested in the present research can obtain similar surface polish or even better than the paste composite counterpart.

  13. Comparative analysis of features of Polish and Lithuanian Day-ahead electricity market prices

    International Nuclear Information System (INIS)

    Bobinaite, Viktorija; Juozapaviciene, Aldona; Staniewski, Marcin; Szczepankowski, Piotr

    2013-01-01

    The goal of this article is to better understand the processes of electricity market price formation in Poland and Lithuania through an analysis of the features (volatility and spikes) of Lithuanian and Polish day-ahead electricity market prices and to assess how acquired electricity price features could affect the achievement of the main goals of the national energy policy. The following indicators have been calculated to determine electricity market price volatility: the oscillation coefficient, the coefficient of variation, an adjusted coefficient of variation, the standard deviation indicator, the daily velocity indicator (based on the overall average price) and the daily velocity indicator (based on the daily average price). Critical values for electricity market price have been calculated to evaluate price spikes. This analysis reveals that electricity market-price volatility is moderate in Poland and high in Lithuania. Electricity price spikes have been an observable phenomenon both in Lithuanian and in Polish day-ahead electricity markets, but they are more common in Lithuania, encompassing 3.15% of the time period analysed in Poland and 4.68% of the time period analysed in Lithuania. Volatile, spiking and increasing electricity prices in day-ahead electricity markets in Lithuania and Poland create preconditions and substantiate the relevance of implementation of the national energy policies and measures. - Highlights: • Moderate and seasonal volatility. • spiking market price and. • stable average price

  14. 60-inch annular pitch polisher for LASL's LASER-fusion effort

    International Nuclear Information System (INIS)

    Williamson, R.

    1978-01-01

    The Antares laser will require that about 100 high-precision NaCl windows of 18-in. diameter be produced, maintained, and repaired. To aid the industry in achieving the required production rates, a polishing development program was undertaken by LASL's Laser Division in collaboration with the Air Force and International Laser Systems. The design and initial shakedown of the polishing machine is described. Preliminary results indicate the machine's design is sound, its operation is generally simple, and it should be capable of finishing 18-in. NaCl to better than lambda/2 visible and 20-10 surface. Shakedown work with glass has demonstrated 0-0 surface, complete absence of edge roll, and lambda/16 over 12 in., and lambda/6 over 19 in

  15. Effect of different polishing systems on the surface roughness of nano-hybrid composites

    OpenAIRE

    Brijesh Patel; Naveen Chhabra; Disha Jain

    2016-01-01

    Objective: The study aimed to investigate the influence of different polishing systems on the surface roughness of nano-hybrid composite resins. Background: Different shapes of polishing systems are available according to the site of work. To minimize variability, a new system with single shape is developed that can be utilized in both anterior as well as posterior teeth. Materials and Methods: Seventy composite discs were fabricated using Teflon well (10 mm × 3 mm). Two main group of...

  16. Sexual activity of Polish adults

    Directory of Open Access Journals (Sweden)

    Beata Pastwa-Wojciechowska

    2014-03-01

    Full Text Available Aim. The purpose of this research was to explore the subject of sexual activity in the Polish population, with special focus on age and gender differences, and sexual infidelity. Sexual activity is one of the basic factors in initiating and maintaining relationships. On the one hand, sexual activity enables us to meet natural needs and maintain an intimate relationship with another human being; on the other, it may allow us to overcome loneliness and social isolation by providing the opportunity to express feelings of closeness and unity. Material and method. The research was conducted on a representative group of 3,200 Poles aged between 15–49, with the support of a well-known Polish research company – TNS OBOP. Face-to-face and Pencil and Paper (PAPI interviews were carried out. Results. The results focus on two main issues: the age and motives of sexual initiation among teenagers (with a significant percentage starting their sexual activity at the age of 15, and the quality of the sexual lives of adults (average number of sexual partners, sexual infidelity and sexual satisfaction. Conclusion. There is dependence between the type of relationship and the performance or non-performance of sexual activity, as well as the quality of the relationship. Among both adolescents and adults, remaining in a stable relationship (partnership or marriage promotes loyalty. The performance of sexual goals turns out to be an important mechanism regulating the interpersonal aspects of a relationship, influencing their perception and evaluation.

  17. Calibration of a Credit Rating Scale for Polish Companies

    Directory of Open Access Journals (Sweden)

    Aleksandra Wójcicka

    2012-01-01

    Full Text Available Increasing number of bankruptcy announcements means that even greater attention is being paid to the correct evaluation of the probability of default (PD and decisions made on the basis of it. Reliable estimation of the likelihood of a company's bankruptcy reduces risk, not only for the company itself but also for all co-operating companies and financial institutions. The financial crisis has led to a tightening up of the conditions for gaining finance from banks. However, it is not only the evaluation of PD itself that is so important but also the correct classification of companies according to their PD level ("good" or "bad" companies. There is very little consideration about possible adjustments of the credit risk scale, as usually the American scale is adopted with no changes which seems incorrect.This paper stresses the importance of correct calibration of the credit rating scale. It should not be assumed (as it was in the past that once a scale is defined it remains fixed and independent of the country. Therefore, the research carried out on Polish companies shows that the credit rating scale should be changed and the default point (i.e. "cut-off" point should be higher than in the past. The author uses a modified classification matrix based on the probability of default. The paper compares the classification of quoted Polish companies according to their credit risk level (PD with the actual occurrence of default when various default "cut-off" points are used. (original abstract

  18. Puppets, dogs, and vegetarian angels: ecocriticism in Jakub Krofta’s Polish productions

    OpenAIRE

    Lech, K.

    2015-01-01

    This article explores the work of Czech theatre director Jakub Krofta in the context of ecocriticism. This discussion focuses on Krofta's two productions directed for Polish puppetry theatres and aimed at young audiences: Daszeńka (Teatr Lalka, Warsaw, 2011), based on Karel Čapek's book, and Pacan – historia o miłości (Pacan – a story about love; Wrocławski Teatr Lalek, Wrocław, 2012). My analysis is framed by Greta Gaard's theories on ecopedagogy (GAARD 2009) and Paul Piris's discussion on c...

  19. RESEARCH OF REFRIGERATION SYSTEMS FAILURES IN POLISH FISHING VESSELS

    Directory of Open Access Journals (Sweden)

    Waldemar KOSTRZEWA

    2013-07-01

    Full Text Available Temperature is a basic climatic parameter deciding about the quality change of fishing products. Time, after which qualitative changes of caught fish don’t exceed established, acceptable range, is above all the temperature function. Temperature reduction by refrigeration system of the cargo hold is a basic technical method, which allows extend transport time. Failures of refrigeration systems in fishing vessels have a negative impact on the environment in relation to harmful refrigerants emission. The paper presents the statistical analysis of failures occurred in the refrigeration systems of Polish fishing vessels in 2007‐2011 years. Analysis results described in the paper can be a base to draw up guidelines, both for designers as well as operators of the marine refrigeration systems.

  20. “Your character has been robbed. Do you want to sue the other player?” Polish criminal law and the notion of “theft” in a computer game.

    OpenAIRE

    Olczyk, Adam

    2014-01-01

    Technological development implies a lot of new ways to commit crimes in cyberspace. Stealing virtual objects (such as magical swords or shoes) is one of them. It is unique because virtual theft combines one of the oldest types of criminal activity with the achievements of modern technology. This article deals with those situations when the actions taken by players stop being protected by the ‘magic circle’ and become criminal. It will also explore how the Polish Penal Law deals with these mat...