WorldWideScience

Sample records for plasma-based ion implantation

  1. Plasma source ion implantation

    International Nuclear Information System (INIS)

    Conrad, J.R.; Forest, C.

    1986-01-01

    The authors' technique allows the ion implantation to be performed directly within the ion source at higher currents without ion beam extraction and transport. The potential benefits include greatly increased production rates (factors of 10-1000) and the ability to implant non-planar targets without rastering or shadowing. The technique eliminates the ion extractor grid set, beam raster equipment, drift space and target manipulator equipment. The target to be implanted is placed directly within the plasma source and is biased to a large negative potential so that plasma ions gain energy as they accelerate through the potential drop across the sheath that forms at the plasma boundary. Because the sheath surrounds the target on all sides, all surfaces of the target are implanted without the necessity to raster the beam or to rotate the target. The authors have succeeded in implanting nitrogen ions in a silicon target to the depths and concentrations required for surface treatment of materials like stainless steel and titanium alloys. They have performed ESCA measurements of the penetration depth profile of a silicon target that was biased to 30 kV in a nitrogen discharge plasma. Nitrogen ions were implanted to a depth of 700A at a peak concentration of 30% atomic. The measured profile is quite similar to a previously obtained profile in titanium targets with conventional techniques

  2. Plasma Immersion Ion Implantation in Radio Frequency Plasma

    International Nuclear Information System (INIS)

    Bora, B.; Bhuyan, H.; Wyndham, E.

    2013-01-01

    Plasma immersion ion implantation (PIII) has attracted wide interests since it emulates conventional ion-beam ion implantation (IBII) in niche applications. For instance, the technique has very high throughput, the implantation time is independent of the sample size, and samples with an irregular shape can be implanted without complex beam scanning or sample manipulation. For uniform ion implantation and deposition on to different substrates, like silicon, stainless steel etc., a capacitive coupled Radio frequency (RF), 13.6 MHz, plasma is used. During the PIII process, the physical parameters which are expected to play crucial rule in the deposition process like RF power, Negative pulse voltage and pulse duration, gas type and gas mixture, gas flow rates and the implantation dose are studied. The ion dose is calculated by dynamic sheath model and the plasma parameters are calculated from the V-I characteristic and power balance equation by homogeneous model of rf plasma discharge considering Ohmic as well as Stochastic heating. The correlations between the yield of the implantation process and the physical parameters as well as plasma parameters are discussed. (author)

  3. Plasma immersion ion implantation into insulating materials

    International Nuclear Information System (INIS)

    Tian Xiubo; Yang Shiqin

    2006-01-01

    Plasma immersion ion implantation (PIII) is an effective surface modification tool. During PIII processes, the objects to be treated are immersed in plasmas and then biased to negative potential. Consequently the plasma sheath forms and ion implantation may be performed. The pre-requirement of plasma implantation is that the object is conductive. So it seems difficult to treat the insulating materials. The paper focuses on the possibilities of plasma implantation into insulting materials and presents some examples. (authors)

  4. Plasma-based ion implantation and deposition: A review of physics,technology, and applications

    Energy Technology Data Exchange (ETDEWEB)

    Pelletier, Jacques; Anders, Andre

    2005-05-16

    After pioneering work in the 1980s, plasma-based ion implantation (PBII) and plasma-based ion implantation and deposition (PBIID) can now be considered mature technologies for surface modification and thin film deposition. This review starts by looking at the historical development and recalling the basic ideas of PBII. Advantages and disadvantages are compared to conventional ion beam implantation and physical vapor deposition for PBII and PBIID, respectively, followed by a summary of the physics of sheath dynamics, plasma and pulse specifications, plasma diagnostics, and process modeling. The review moves on to technology considerations for plasma sources and process reactors. PBII surface modification and PBIID coatings are applied in a wide range of situations. They include the by-now traditional tribological applications of reducing wear and corrosion through the formation of hard, tough, smooth, low-friction and chemically inert phases and coatings, e.g. for engine components. PBII has become viable for the formation of shallow junctions and other applications in microelectronics. More recently, the rapidly growing field of biomaterial synthesis makes used of PBII&D to produce surgical implants, bio- and blood-compatible surfaces and coatings, etc. With limitations, also non-conducting materials such as plastic sheets can be treated. The major interest in PBII processing originates from its flexibility in ion energy (from a few eV up to about 100 keV), and the capability to efficiently treat, or deposit on, large areas, and (within limits) to process non-flat, three-dimensional workpieces, including forming and modifying metastable phases and nanostructures. We use the acronym PBII&D when referring to both implantation and deposition, while PBIID implies that deposition is part of the process.

  5. DC plasma ion implantation in an inductively coupled RF plasma

    International Nuclear Information System (INIS)

    Silawatshananai, C.; Matan, N.; Pakpum, C.; Pussadee, N.; Srisantitam, P.; Davynov, S.; Vilaithong, T.

    2004-01-01

    Various modes of plasma ion implantation have been investigated in a small inductively coupled 13.6 MHz RF plasma source. Plasma ion implantation with HVDC(up to -10 kV bias) has been investigated in order to incorporate with the conventional implantation of diamond like carbon. In this preliminary work, nitrogen ions are implanted into the stainless steel sample with a dose of 5.5 x 10 -2 cm for a short implanting time of 7 minutes without target cooling. Surface properties such as microhardness, wear rate and the friction coefficient have been improved. X-ray and SEM analyses show distinct structural changes on the surface. A combination of sheath assisted implantation and thermal diffusion may be responsible for improvement in surface properties. (orig.)

  6. Optimal pulse modulator design criteria for plasma source ion implanters

    International Nuclear Information System (INIS)

    Reass, W.

    1993-01-01

    This paper describes what are believed to be the required characteristics of a high-voltage modulator for efficient and optimal ion deposition from the ''Plasma Source Ion Implantation'' (PSII) process. The PSII process is a method to chemically or physically alter and enhance surface properties of objects by placing them in a weakly ionized plasma and pulsing the object with a high negative voltage. The attracted ions implant themselves and form chemical bonds or are interstitially mixed with the base material. Present industrial uses of implanted objects tends to be for limited-production, high-value-added items. Traditional implanting hardware uses the typical low-current (ma) semiconductor ''raster scan'' implanters. The targets must also be manipulated to maintain a surface normal to the ion beam. The PSII method can provide ''bulk'' equipment processing on a large industrial scale. For the first generation equipment, currents are scaled from milliamps to hundreds of amps, voltages to -175kV, at kilohertz rep-rates, and high plasma ion densities

  7. Non-Uniformity of Ion Implantation in Direct-Current Plasma Immersion Ion Implantation

    International Nuclear Information System (INIS)

    Cheng-Sen, Liu; Yu-Jia, Fan; Nan, Zhang; Li, Guan; Yuan, Yao; De-Zhen, Wang

    2010-01-01

    A particle-in-cell simulation is developed to study dc plasma immersion ion implantation. Particular attention is paid to the influence of the voltage applied to the target on the ion path, and the ion flux distribution on the target surface. It is found that the potential near the aperture within the plasma region is not the plasma potential, and is impacted by the voltage applied to the implanted target. A curved equipotential contour expands into the plasma region through the aperture and the extent of the expansion depends on the voltage. Ions accelerated by the electric field in the sheath form a beam shape and a flux distribution on the target surface, which are strongly dependent on the applied voltage. The results of the simulations demonstrate the formation mechanism of the grid-shadow effect, which is in agreement with the result observed experimentally. (physics of gases, plasmas, and electric discharges)

  8. Processing considerations with plasma-based ion implantation of polymers: theoretical aspects, limitations, and experimental results

    International Nuclear Information System (INIS)

    Lacoste, A.; Pelletier, J.

    2003-01-01

    Processing of polymers using plasma-based ion implantation techniques (PBII) has general implications in terms of plasma specifications and pulse characteristics. In particular, the different aspects of the processing of polymer layers are discussed as functions of plasma density, pulse duration, and layer characteristics (thickness and permittivity). Clearly, severe limitations (true implantation energy, arcing) may appear for high-density plasmas as well as for long pulse durations, when processing polymer layers with thickness in the mm range. A review of the experimental results of ion implantation in polymeric materials via PBII processing is presented. The experimental results demonstrate the possibility of processing polymer layers with the PBII technique, but with severe limitations resulting from the process itself

  9. Surface modification of polymeric substrates by plasma-based ion implantation

    Science.gov (United States)

    Okuji, S.; Sekiya, M.; Nakabayashi, M.; Endo, H.; Sakudo, N.; Nagai, K.

    2006-01-01

    Plasma-based ion implantation (PBII) as a tool for polymer modification is studied. Polymeric films have good performances for flexible use, such as food packaging or electronic devices. Compared with inorganic rigid materials, polymers generally have large permeability for gases and moisture, which causes packaged contents and devices to degrade. In order to add a barrier function, surface of polymeric films are modified by PBII. One of the advantageous features of this method over deposition is that the modified surface does not have peeling problem. Besides, micro-cracks due to mechanical stress in the modified layer can be decreased. From the standpoint of mass production, conventional ion implantation that needs low-pressure environment of less than 10-3 Pa is not suitable for continuous large-area processing, while PBII works at rather higher pressure of several Pa. In terms of issues mentioned above, PBII is one of the most expected techniques for modification on flexible substrates. However, the mechanism how the barrier function appears by ion implantation is not well explained so far. In this study, various kinds of polymeric films, including polyethyleneterephthalate (PET), are modified by PBII and their barrier characteristics that depend on the ion dose are evaluated. In order to investigate correlations of the barrier function with implanted ions, modified surface is analyzed with X-ray photoelectron spectroscopy (XPS). It is assumed that the diffusion and sorption coefficients are changed by ion implantation, resulting in higher barrier function.

  10. Surface modification of polymeric substrates by plasma-based ion implantation

    International Nuclear Information System (INIS)

    Okuji, S.; Sekiya, M.; Nakabayashi, M.; Endo, H.; Sakudo, N.; Nagai, K.

    2006-01-01

    Plasma-based ion implantation (PBII) as a tool for polymer modification is studied. Polymeric films have good performances for flexible use, such as food packaging or electronic devices. Compared with inorganic rigid materials, polymers generally have large permeability for gases and moisture, which causes packaged contents and devices to degrade. In order to add a barrier function, surface of polymeric films are modified by PBII. One of the advantageous features of this method over deposition is that the modified surface does not have peeling problem. Besides, micro-cracks due to mechanical stress in the modified layer can be decreased. From the standpoint of mass production, conventional ion implantation that needs low-pressure environment of less than 10 -3 Pa is not suitable for continuous large-area processing, while PBII works at rather higher pressure of several Pa. In terms of issues mentioned above, PBII is one of the most expected techniques for modification on flexible substrates. However, the mechanism how the barrier function appears by ion implantation is not well explained so far. In this study, various kinds of polymeric films, including polyethyleneterephthalate (PET), are modified by PBII and their barrier characteristics that depend on the ion dose are evaluated. In order to investigate correlations of the barrier function with implanted ions, modified surface is analyzed with X-ray photoelectron spectroscopy (XPS). It is assumed that the diffusion and sorption coefficients are changed by ion implantation, resulting in higher barrier function

  11. Current control for magnetized plasma in direct-current plasma-immersion ion implantation

    International Nuclear Information System (INIS)

    Tang Deli; Chu, Paul K.

    2003-01-01

    A method to control the ion current in direct-current plasma-immersion ion implantation (PIII) is reported for low-pressure magnetized inductively coupled plasma. The ion current can be conveniently adjusted by applying bias voltage to the conducting grid that separates plasma formation and implantation (ion acceleration) zones without the need to alter the rf input power, gas flux, or other operating conditions. The ion current that diminishes with an increase in grid bias in magnetized plasmas can be varied from 48 to 1 mA by increasing the grid voltage from 0 to 70 V at -50 kV sample bias and 0.5 mTorr hydrogen pressure. High implantation voltage and monoenergetic immersion implantation can now be achieved by controlling the ion current without varying the macroscopic plasma parameters. The experimental results and interpretation of the effects are presented in this letter. This technique is very attractive for PIII of planar samples that require on-the-fly adjustment of the implantation current at high implantation voltage but low substrate temperature. In some applications such as hydrogen PIII-ion cut, it may obviate the need for complicated sample cooling devices that must work at high voltage

  12. Surface modification of PET film by plasma-based ion implantation

    International Nuclear Information System (INIS)

    Sakudo, N.; Mizutani, D.; Ohmura, Y.; Endo, H.; Yoneda, R.; Ikenaga, N.; Takikawa, H.

    2003-01-01

    It has been reported that thin diamond like carbon (DLC) coating is very Amsterdam, Theenhancing the barrier characteristics of polyethylene terephthalate (PET) against CO 2 and O 2 gases. However, coating technique has a problem of DLC-deposit peeling. In this research, we develop a new technique to change the PET surface into DLC by ion implantation instead of coating the surface with the DLC deposit. The surface of PET film is modified by plasma-based ion implantation using pulse voltages of 10 kV in height and 5 μs in width. Attenuated total reflection FT-IR spectroscopy shows that the specific absorption peaks for PET decrease with dose, that is, the molecules of ethylene terephthalate are destroyed by ion bombardment. Then, laser Raman spectroscopy shows that thin DLC layer is formed in the PET surface area

  13. Plasma-implantation-based surface modification of metals with single-implantation mode

    Science.gov (United States)

    Tian, X. B.; Cui, J. T.; Yang, S. Q.; Fu, Ricky K. Y.; Chu, Paul K.

    2004-12-01

    Plasma ion implantation has proven to be an effective surface modification technique. Its biggest advantage is the capability to treat the objects with irregular shapes without complex manipulation of target holder. Many metal materials such as aluminum, stainless steel, tool steel, titanium, magnesium etc, has been treated using this technique to improve their wear-resistance, corrosion-resistance, fatigue-resistance, oxidation-resistance, bio-compatiblity etc. However in order to achieve thicker modified layers, hybrid processes combining plasma ion implantation with other techniques have been frequently employed. In this paper plasma implantation based surface modification of metals using single-implantation mode is reviewed.

  14. Adaptation of metal arc plasma source to plasma source ion implantation

    International Nuclear Information System (INIS)

    Shamim, M.M.; Fetherston, R.P.; Conrad, J.R.

    1995-01-01

    In Plasma Source Ion Implantation (PSII) a target is immersed in a plasma and a train of high negative voltage pulses is applied to accelerate ions into the target and to modify the properties in the near surface region. In PSII, until now the authors have been using gaseous species to generate plasmas. However metal ion plasma may be used to modify the surface properties of material for industrial applications. Conventionally the ion implantation of metal ions is performed using beam line accelerators which have complex engineering and high cost. The employment of a metal arc source to PSII has tremendous potential due to its ability to process the conformal surfaces, simple engineering and cost effectiveness. They have installed metal arc source for generation of titanium plasma. Currently, they are investigating the properties of titanium plasma and material behavior of titanium implanted aluminum and 52100 steel. The recent results of this investigation are presented

  15. Plasma immersion ion implantation for the efficient surface modification of medical materials

    International Nuclear Information System (INIS)

    Slabodchikov, Vladimir A.; Borisov, Dmitry P.; Kuznetsov, Vladimir M.

    2015-01-01

    The paper reports on a new method of plasma immersion ion implantation for the surface modification of medical materials using the example of nickel-titanium (NiTi) alloys much used for manufacturing medical implants. The chemical composition and surface properties of NiTi alloys doped with silicon by conventional ion implantation and by the proposed plasma immersion method are compared. It is shown that the new plasma immersion method is more efficient than conventional ion beam treatment and provides Si implantation into NiTi surface layers through a depth of a hundred nanometers at low bias voltages (400 V) and temperatures (≤150°C) of the substrate. The research results suggest that the chemical composition and surface properties of materials required for medicine, e.g., NiTi alloys, can be successfully attained through modification by the proposed method of plasma immersion ion implantation and by other methods based on the proposed vacuum equipment without using any conventional ion beam treatment

  16. A one-dimensional collisional model for plasma-immersion ion implantation

    International Nuclear Information System (INIS)

    Vahedi, V.; Lieberman, M.A.; Alves, M.V.; Verboncoeur, J.P.; Birdsall, C.K.

    1991-01-01

    Plasma-immersion ion implantation (also known as plasma-source ion implantation) is a process in which a target is immersed in a plasma and a series of large negative-voltage pulses are applied to it to extract ions from the plasma and implant them into the target. A general one-dimensional model is developed to study this process in different coordinate systems for the case in which the pressure of the neutral gas is large enough that the ion motion in the sheath can be assumed to be highly collisional

  17. Depth distribution of nitrogen in silicon from plasma ion implantation

    International Nuclear Information System (INIS)

    Vajo, J.J.; Williams, J.D.; Wei, R.; Wilson, R.G.; Matossian, J.N.

    1994-01-01

    Plasma Ion Implantation (PII) is an ion implantation technique that eliminates the line-of-sight restriction of conventional ion-beam implantation and therefore allows for cost effective surface modification of large-scale objects or large-number of small-scale objects. In PII, a part to be implanted is immersed in a low-pressure (10 -4 --10 -5 Torr), partially-ionized plasma that surrounds the part with a plasma sheath. The part is negatively pulse biased up to 100 keV using a repetitive train (100--1,000 Hz) of short-duration (10--40 μsec) voltage pulses. The applied voltage develops across the sheath and accelerates plasma ions into the surface, implanting them omnidirectionally and simultaneously over the entire surface of the part. The depth distribution of the implanted ions influences the extent and type of surface modification achieved and depends upon many factors. These include three rise and fall time of the voltage-pulse waveform, the voltage-pulse amplitude, the ion specie, the ion density, and the temperature of the target. Understanding the contributions to the depth distribution from each of these factors will enable prediction of conditions that will be useful for implantation of large complex parts. To investigate the contributions to the measured depth distributions from these factors nitrogen, predominantly as N + 2 , has been implanted into silicon using PII at 50 and 100 keV (25 and 50 keV per N atom). The implanted depth distributions have been determined using secondary ion mass spectroscopy and Auger electron spectroscopy depth profiling. The distributions differ from the typical, approximately Gaussian, profiles that result from conventional mass selected monoenergetic ion beam implantation. In comparison with ion beam implants and numerical simulations the profiles appear ''filled-in'' with an approximately constant nitrogen concentration for depths less than the expected average ion range

  18. Pure high dose metal ion implantation using the plasma immersion technique

    International Nuclear Information System (INIS)

    Zhang, T.; Tang, B.Y.; Zeng, Z.M.; Kwok, T.K.; Chu, P.K.; Monteiro, O.R.; Brown, I.G.

    1999-01-01

    High energy implantation of metal ions can be carried out using conventional ion implantation with a mass-selected ion beam in scanned-spot mode by employing a broad-beam approach such as with a vacuum arc ion source, or by utilizing plasma immersion ion implantation with a metal plasma. For many high dose applications, the use of plasma immersion techniques offers a high-rate process, but the formation of a surface film along with the subsurface implanted layer is sometimes a severe or even fatal detriment. We describe here an operating mode of the metal plasma immersion approach by which pure implantation can be obtained. We have demonstrated the technique by carrying out Ti and Ta implantations at energies of about 80 and 120 keV for Ti and Ta, respectively, and doses on the order of 1x10 17 ions/cm 2 . Our experiments show that virtually pure implantation without simultaneous surface deposition can be accomplished. Using proper synchronization of the metal arc and sample voltage pulse, the applied dose that deposits as a film versus the part that is energetically implanted (the deposition-to-implantation ratio) can be precisely controlled.copyright 1999 American Institute of Physics

  19. Plasma immersion ion implantation: duplex layers from a single process

    International Nuclear Information System (INIS)

    Hutchings, R.; Collins, G.A.; Tendys, J.

    1992-01-01

    Plasma immersion ion implantation (PI 3 ) is an alternative non-line-of-sight technique for implanting ions directly from a plasma which surrounds the component to be treated. In contrast to plasma source ion implantation, the PI 3 system uses an inductively coupled r.f. plasma. It is shown that nitrogen can be retained during implantation at elevated temperatures, even for unalloyed steels. This allows controlled diffusion of nitrogen to greater depths, thereby improving the load bearing capacity of the implanted layer. Components can be heated directly, using the energy deposited by the incident ions during the pulsed implantation. The necessary temperature control can be accomplished simply by regulating the frequency and length of the high voltage pulses applied to the component. Chemical depth profiles and microstructural data obtained from H13 tool steel are used to show that PI 3 can, in a single process, effectively produce a duplex subsurface structure. This structure consists of an outer non-equilibrium layer typical of nitrogen implantation (containing in excess of 20 at.% nitrogen) backed by a substantial diffusion zone of much lower nitrogen content. The relationship between implantation temperature and the resultant subsurface microstructure is explored. (orig.)

  20. Structure and micro-mechanical properties of helium-implanted layer on Ti by plasma-based ion implantation

    International Nuclear Information System (INIS)

    Ma Xinxin; Li Jinlong; Sun Mingren

    2008-01-01

    The present paper concentrates on structure and micro-mechanical properties of the helium-implanted layer on titanium treated by plasma-based ion implantation with a pulsed voltage of -30 kV and doses of 3, 6, 9 and 12 x 10 17 ions/cm 2 , respectively. X-ray photoelectron spectroscopy and transmission electron microscopy are employed to characterize the structure of the implanted layer. The hardnesses at different depths of the layer were measured by nano-indentation. We found that helium ion implantation into titanium leads to the formation of bubbles with a diameter from a few to more than 10 nm and the bubble size increases with the increase of dose. The primary existing form of Ti is amorphous in the implanted layer. Helium implantation also enhances the ingress of O, C and N and stimulates the formations of TiO 2 , Ti 2 O 3 , TiO, TiC and TiN in the near surface layer. And the amount of the ingressed oxygen is obviously higher than those of nitrogen and carbon due to its higher activity. At the near surface layer, the hardnesses of all implanted samples increases remarkably comparing with untreated one and the maximum hardness has an increase by a factor of up to 3.7. For the samples implanted with higher doses of 6, 9 and 12 x 10 17 He/cm 2 , the local displacement bursts are clearly found in the load-displacement curves. For the samples implanted with a lower dose of 3 x 10 17 He/cm 2 , there is no obvious displacement burst found. Furthermore, the burst width increases with the increase of the dose

  1. Materials science issues of plasma source ion implantation

    International Nuclear Information System (INIS)

    Nastasi, M.; Faehl, R.J.; Elmoursi, A.A.

    1996-01-01

    Ion beam processing, including ion implantation and ion beam assisted deposition (IBAD), are established surface modification techniques which have been used successfully to synthesize materials for a wide variety of tribological applications. In spite of the flexibility and promise of the technique, ion beam processing has been considered too expensive for mass production applications. However, an emerging technology, Plasma Source Ion Implantation (PSII), has the potential of overcoming these limitations to become an economically viable tool for mass industrial applications. In PSII, targets are placed directly in a plasma and then pulsed-biased to produce a non-line-of-sight process for intricate target geometries without complicated fixturing. If the bias is a relatively high negative potential (20--100 kV) ion implantation will result. At lower voltages (50--1,200 V), deposition occurs. Potential applications for PSII are in low-value-added products such as tools used in manufacturing, orthopedic devices, and the production of wear coatings for hard disk media. This paper will focus on the technology and materials science associated with PSII

  2. Ion enhanced deposition by dual titanium and acetylene plasma immersion ion implantation

    Science.gov (United States)

    Zeng, Z. M.; Tian, X. B.; Chu, P. K.

    2003-01-01

    Plasma immersion ion implantation and deposition (PIII-D) offers a non-line-of-sight fabrication method for various types of thin films on steels to improve the surface properties. In this work, titanium films were first deposited on 9Cr18 (AISI440) stainless bearing steel by metal plasma immersion ion implantation and deposition (MePIII-D) using a titanium vacuum arc plasma source. Afterwards, carbon implantation and carbon film deposition were performed by acetylene (C2H2) plasma immersion ion implantation. Multiple-layered structures with superior properties were produced by conducting Ti MePIII-D + C2H2 PIII successively. The composition and structure of the films were investigated employing Auger electron spectroscopy and Raman spectroscopy. It is shown that the mixing for Ti and C atoms is much better when the target bias is higher during Ti MePIII-D. A top diamond-like carbon layer and a titanium oxycarbide layer are formed on the 9Cr18 steel surface. The wear test results indicate that this dual PIII-D method can significantly enhance the wear properties and decrease the surface friction coefficient of 9Cr18 steel.

  3. Ion enhanced deposition by dual titanium and acetylene plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Zeng, Z.M.; Tian, X.B.; Chu, P.K.

    2003-01-01

    Plasma immersion ion implantation and deposition (PIII-D) offers a non-line-of-sight fabrication method for various types of thin films on steels to improve the surface properties. In this work, titanium films were first deposited on 9Cr18 (AISI440) stainless bearing steel by metal plasma immersion ion implantation and deposition (MePIII-D) using a titanium vacuum arc plasma source. Afterwards, carbon implantation and carbon film deposition were performed by acetylene (C 2 H 2 ) plasma immersion ion implantation. Multiple-layered structures with superior properties were produced by conducting Ti MePIII-D + C 2 H 2 PIII successively. The composition and structure of the films were investigated employing Auger electron spectroscopy and Raman spectroscopy. It is shown that the mixing for Ti and C atoms is much better when the target bias is higher during Ti MePIII-D. A top diamond-like carbon layer and a titanium oxycarbide layer are formed on the 9Cr18 steel surface. The wear test results indicate that this dual PIII-D method can significantly enhance the wear properties and decrease the surface friction coefficient of 9Cr18 steel

  4. A collisional model for plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Vahedi, V.; Lieberman, M.A.; Alves, M.V.; Verboncoeur, J.P.; Birdsall, C.K.

    1990-01-01

    In plasma immersion ion implantation, a target is immersed in a plasma and a series of negative short pulses are applied to it to implant the ions. A new analytical model is being developed for the high pressure regimes in which the motion of the ions is highly collisional. The model provides values for ion flux, average ion velocity at the target, and sheath edge motion as a function of time. These values are being compared with those obtained from simulation and show good agreement. A review is also given (for comparison) of the earlier work done at low pressures, where the motion of ions in the sheath is collisionless, also showing good agreement between analysis and simulation. The simulation code is PDP1 which utilizes particle-in-cell techniques plus Monte-Carlo simulation of electron-neutral (elastic, excitation and ionization) and ion-neutral (scattering and charge-exchange) collisions

  5. Plasma source ion implantation research at southwestern institute of physics

    International Nuclear Information System (INIS)

    Shang Zhenkui; Geng Man; Tong Honghui

    1997-10-01

    The PSII-EX device and PSII-IM device for research and development of plasma source ion implantation (PSII) technology are described briefly. The functions, main technical specifications and properties of the devices are also discussed. After ion implantation by PSII, the improvements of the surface-mechanical properties (such as microhardness, wear-resistance, friction factor, biological compatibility, etc) for some materials, microanalysis and numerical simulation of modified layers of materials, the technical developments for the practical workpiece treatments and the preliminary experiments for plasma source ion implantation-enhanced deposition are introduced too. As last, the future work about PSII have been proposed

  6. First results from the Los Alamos plasma source ion implantation experiment

    International Nuclear Information System (INIS)

    Rej, D.J.; Faehl, R.J.; Gribble, R.J.; Henins, I.; Kodali, P.; Nastasi, M.; Reass, W.A.; Tesmer, J.; Walter, K.C.; Wood, B.P.; Conrad, J.R.; Horswill, N.; Shamim, M.; Sridharan, K.

    1993-01-01

    A new facility is operational at Los Alamos to examine plasma source ion implantation on a large scale. Large workpieces can be treated in a 1.5-m-diameter, 4.6-m-long plasma vacuum chamber. Primary emphasis is directed towards improving tribological properties of metal surfaces. First experiments have been performed at 40 kV with nitrogen plasmas. Both coupons and manufactured components, with surface areas up to 4 m 2 , have been processed. Composition and surface hardness of implanted materials are evaluated. Implant conformality and dose uniformity into practical geometries are estimated with multidimensional particle-in-cell computations of plasma electron and ion dynamics, and Monte Carlo simulations of ion transport in solids

  7. Plasma-based ion implantation: a valuable technology for the elaboration of innovative materials and nanostructured thin films

    International Nuclear Information System (INIS)

    Vempaire, D; Pelletier, J; Lacoste, A; Bechu, S; Sirou, J; Miraglia, S; Fruchart, D

    2005-01-01

    Plasma-based ion implantation (PBII), invented in 1987, can now be considered as a mature technology for thin film modification. After a brief recapitulation of the principle and physics of PBII, its advantages and disadvantages, as compared to conventional ion beam implantation, are listed and discussed. The elaboration of thin films and the modification of their functional properties by PBII have already been achieved in many fields, such as microelectronics (plasma doping/PLAD), biomaterials (surgical implants, bio- and blood-compatible materials), plastics (grafting, surface adhesion) and metallurgy (hard coatings, tribology), to name a few. The major advantages of PBII processing lie, on the one hand, in its flexibility in terms of ion implantation energy (from 0 to 100 keV) and operating conditions (plasma density, collisional or non-collisional ion sheath), and, on the other hand, in the easy transferrability of processes from the laboratory to industry. The possibility of modifying the composition and physical nature of the films, or of drastically changing their physical properties over several orders of magnitude makes this technology very attractive for the elaboration of innovative materials, including metastable materials, and the realization of micro- or nanostructures. A review of the state of the art in these domains is presented and illustrated through a few selected examples. The perspectives opened up by PBII processing, as well as its limitations, are discussed

  8. Semiconductor applications of plasma immersion ion implantation ...

    Indian Academy of Sciences (India)

    Home; Journals; Bulletin of Materials Science; Volume 25; Issue 6. Semiconductor applications of plasma immersion ion implantation technology ... Department of Electronic Science, Kurukshetra University, Kurukshetra 136 119, India ...

  9. Sheath physics and materials science results from recent plasma source ion implantation experiments

    International Nuclear Information System (INIS)

    Conrad, J.R.; Radtke, J.L.; Dodd, R.A.; Worzala, F.J.

    1987-01-01

    Plasma Source Ion Implantation (PSII) is a surface modification technique which has been optimized for ion-beam processing of materials. PSII departs radically from conventional implantation by circumventing the line of sight restriction inherent in conventional ion implantation. The authors used PSII to implant cutting tools and dies and have demonstrated substantial improvements in lifetime. Recent results on plasma physics scaling laws, microstructural, mechanical, and tribological properties of PSII-implanted materials are presented

  10. Comparison of experimental target currents with analytical model results for plasma immersion ion implantation

    International Nuclear Information System (INIS)

    En, W.G.; Lieberman, M.A.; Cheung, N.W.

    1995-01-01

    Ion implantation is a standard fabrication technique used in semiconductor manufacturing. Implantation has also been used to modify the surface properties of materials to improve their resistance to wear, corrosion and fatigue. However, conventional ion implanters require complex optics to scan a narrow ion beam across the target to achieve implantation uniformity. An alternative implantation technique, called Plasma Immersion Ion Implantation (PIII), immerses the target into a plasma. The ions are extracted from the plasma directly and accelerated by applying negative high-voltage pulses to the target. An analytical model of the voltage and current characteristics of a remote plasma is presented. The model simulates the ion, electron and secondary electron currents induced before, during and after a high voltage negative pulse is applied to a target immersed in a plasma. The model also includes analytical relations that describe the sheath expansion and collapse due to negative high voltage pulses. The sheath collapse is found to be important for high repetition rate pulses. Good correlation is shown between the model and experiment for a wide variety of voltage pulses and plasma conditions

  11. Hybrid processing of Ti-6Al-4V using plasma immersion ion implantation combined with plasma nitriding

    Directory of Open Access Journals (Sweden)

    Silva Maria Margareth da

    2006-01-01

    Full Text Available Based on the fact that the Ti-6Al-4V alloy has good mechanical properties, excellent resistance to corrosion and also excellent biocompatibility, however with low wear resistance, this work aims to test plasma processes or combination of plasma and ion implantation processes to improve these characteristics. Two types of processing were used: two steps PIII (Plasma Immersion Ion Implantation combined with PN (Plasma Nitriding and single step PIII treatment. According to Auger Electron Spectroscopy (AES results, the best solution was obtained by PIII for 150 minutes resulting in ~ 65 nm of nitrogen implanted layer, while the sample treated with PIII (75 minutes and PN (75 minutes reached ~ 35 nm implanted layer. The improvement of surface properties could also be confirmed by the nanoindentation technique, with values of hardness increasing for both processes. AFM (Atomic Force Microscopy characterization showed that the single step PIII process presented greater efficiency than the duplex process (PIII + PN, probably due to the sputtering occurring during the second step (PN removing partially the implanted layer of first step (PIII.

  12. Irradiation influence on Mylar and Makrofol induced by argon ions in a plasma immersion ion implantation system

    Energy Technology Data Exchange (ETDEWEB)

    Hassan, A. [Accelerators & Ion Sources Department, Nuclear Research Center, Atomic Energy Authority, P.O. 13759, Cairo (Egypt); El-Saftawy, A.A., E-mail: aama1978@yahoo.com [Accelerators & Ion Sources Department, Nuclear Research Center, Atomic Energy Authority, P.O. 13759, Cairo (Egypt); Aal, S.A. Abd El [Central Lab. for Elemental & Isotopic Analysis, Nuclear Research Center, Atomic Energy Authority, P.O. 13759, Cairo (Egypt); Ghazaly, M. El [Physiology Department, College of Medicine, Taif University, P.O. 888, Taif (Saudi Arabia); Physics Department, Faculty of Science, Zagazig University, P.O. 44519, Zagazig (Egypt)

    2015-08-30

    Highlights: • A home-built plasma immersion ion implantation system was tested in modifying surfaces. • Wettability modifications within the energy range 10 keV implantation are not investigated elsewhere, up to our knowledge. • The wettability of Mylar and Makrofol surface was enhanced by the dual effect of ion implantation and plasma treatment. • The improved wettability was found to depend on both surface roughness and chemistry. • The adhesive bonding and surface energy of the polymers are improved. - Abstract: Mylar and Makrofol polycarbonate polymers were irradiated by Ar ions in a plasma immersion ion implantation (PIII) system. The surface wettability of both polymers was investigated by employing the contact angle method. The measured contact angles were found to depend on the surface layer properties. Good wetting surfaces were found to depend not only on surface roughness but also on its chemistry that analyzed by Fourier transform infrared (FTIR) spectroscopy. Surfaces topography and roughness was investigated and correlated to their surface energy which studied with the aid of acid-base model for evaluating the improvement of surface wettability after irradiation. PIII improves polymers surface properties efficiently in a controllable way.

  13. Metal ion implantation: Conventional versus immersion

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.; Dickinson, M.R.; MacGill, R.A.

    1994-01-01

    Vacuum-arc-produced metal plasma can be used as the ion feedstock material in an ion source for doing conventional metal ion implantation, or as the immersing plasma for doing plasma immersion ion implantation. The basic plasma production method is the same in both cases; it is simple and efficient and can be used with a wide range of metals. Vacuum arc ion sources of different kinds have been developed by the authors and others and their suitability as a metal ion implantation tool has been well established. Metal plasma immersion surface processing is an emerging tool whose characteristics and applications are the subject of present research. There are a number of differences between the two techniques, both in the procedures used and in the modified surfaces created. For example, the condensibility of metal plasma results in thin film formation and subsequent energetic implantation is thus done through the deposited layer; in the usual scenario, this recoil implantation and the intermixing it produces is a feature of metal plasma immersion but not of conventional energetic ion implantation. Metal plasma immersion is more suited (but not limited) to higher doses (>10 17 cm -2 ) and lower energies (E i < tens of keV) than the usual ranges of conventional metal ion implantation. These and other differences provide these vacuum-arc-based surface modification tools with a versatility that enhances the overall technological attractiveness of both

  14. Plasma immersion ion implantation for reducing metal ion release

    Energy Technology Data Exchange (ETDEWEB)

    Diaz, C.; Garcia, J. A.; Maendl, S.; Pereiro, R.; Fernandez, B.; Rodriguez, R. J. [Centro de Ingenieria Avanzada de Superficies AIN, 31191, Cordovilla-Pamplona (Spain); Leibniz-Institut fuer Oberflaechenmodifizierung, 04318 Leipzig (Germany); Universidad de Oviedo, Departamento Quimica Fisica y Analitica (Spain); Centro de Ingenieria Avanzada de Superficies AIN, 31191, Cordovilla-Pamplona (Spain)

    2012-11-06

    Plasma immersion ion implantation of Nitrogen and Oxygen on CoCrMo alloys was carried out to improve the tribological and corrosion behaviors of these biomedical alloys. In order to optimize the implantation results we were carried experiments at different temperatures. Tribocorrosion tests in bovine serum were used to measure Co, Cr and Mo releasing by using Inductively Coupled Plasma Mass Spectrometry analysis after tests. Also, X-ray Diffraction analysis were employed in order to explain any obtained difference in wear rate and corrosion tests. Wear tests reveals important decreases in rate of more than one order of magnitude for the best treatment. Moreover decreases in metal release were found for all the implanted samples, preserving the same corrosion resistance of the unimplanted samples. Finally this paper gathers an analysis, in terms of implantation parameters and achieved properties for industrial implementation of these treatments.

  15. Formation of Wear Resistant Steel Surfaces by Plasma Immersion Ion Implantation

    Science.gov (United States)

    Mändl, S.; Rauschenbach, B.

    2003-08-01

    Plasma immersion ion implantation (PIII) is a versatile and fast method for implanting energetic ions into large and complex shaped three-dimensional objects where the ions are accelerated by applying negative high voltage pulses to a substrate immersed in a plasma. As the line-of-sight restrictions of conventional implanters are circumvented, it results in a fast and cost-effective technology. Implantation of nitrogen at 30 - 40 keV at moderate temperatures of 200 - 400 °C into steel circumvents the diminishing thermal nitrogen activation encountered, e.g., in plasma nitriding in this temperature regime, thus enabling nitriding of additional steel grades. Nitride formation and improvement of the mechanical properties after PIII are presented for several steel grades, including AISI 316Ti (food industry), AISI D2 (used for bending tools) and AISI 1095 (with applications in the textile industry).

  16. Formation of Wear Resistant Steel Surfaces by Plasma Immersion Ion Implantation

    International Nuclear Information System (INIS)

    Maendl, S.; Rauschenbach, B.

    2003-01-01

    Plasma immersion ion implantation (PIII) is a versatile and fast method for implanting energetic ions into large and complex shaped three-dimensional objects where the ions are accelerated by applying negative high voltage pulses to a substrate immersed in a plasma. As the line-of-sight restrictions of conventional implanters are circumvented, it results in a fast and cost-effective technology. Implantation of nitrogen at 30 - 40 keV at moderate temperatures of 200 - 400 deg. C into steel circumvents the diminishing thermal nitrogen activation encountered, e.g., in plasma nitriding in this temperature regime, thus enabling nitriding of additional steel grades. Nitride formation and improvement of the mechanical properties after PIII are presented for several steel grades, including AISI 316Ti (food industry), AISI D2 (used for bending tools) and AISI 1095 (with applications in the textile industry)

  17. Mechanism of phase transformations in Mg-based alloys subjected to plasma immersion ion implantation of Ag

    International Nuclear Information System (INIS)

    Kutsenko, Larisa; Fuks, David; Kiv, Arnold; Talianker, Michael; Burlaka, Ljubov; Monteiro, Othon; Brown, Ian

    2006-01-01

    The formation of a new phase of composition Mg 54 Al 28 Ag 18 was observed as a result of plasma immersion ion implantation of Ag into the compound Mg 17 Al 12 . The new structure was characterized using a micro-beam diffraction technique. It was found that the implantation-induced phase transition occurs when the retained dose of the implanted ions is within the range of about 10 15 -10 16 ions/cm 2 . The implanted system has been studied theoretically by means of density-functional electronic structure calculations and a new ab initio approach has been developed to evaluate the specific concentrations of the implanted ions that might cause the phase transition effect in the implanted matrix. The theoretically estimated values of concentrations are in good agreement with experimental observations

  18. New developments in metal ion implantation by vacuum arc ion sources and metal plasma immersion

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.

    1996-01-01

    Ion implantation by intense beams of metal ions can be accomplished using the dense metal plasma formed in a vacuum arc discharge embodied either in a vacuum arc ion source or in a metal plasma immersion configuration. In the former case high energy metal ion beams are formed and implantation is done in a more-or-less conventional way, and in the latter case the substrate is immersed in the plasma and repetitively pulse-biased so as to accelerate the ions at the high voltage plasma sheath formed at the substrate. A number of advances have been made in the last few years, both in plasma technology and in the surface modification procedures, that enhance the effectiveness and versatility of the methods, including for example: controlled increase of the in charge states produced; operation in a dual metal-gaseous ion species mode; very large area beam formation; macroparticle filtering; and the development of processing regimes for optimizing adhesion, morphology and structure. These complementary ion processing techniques provide the plasma tools for doing ion surface modification over a very wide parameter regime, from pure ion implantation at energies approaching the MeV level, through ion mixing at energies in the ∼1 to ∼100 keV range, to IBAD-like processing at energies from a few tens of eV to a few keV. Here the authors review the methods, describe a number of recent developments, and outline some of the surface modification applications to which the methods have been put. 54 refs., 9 figs

  19. Influence of plasma density and plasma sheath dynamics on the ion implantation by plasma immersion technique

    OpenAIRE

    Ensinger, Wolfgang

    1996-01-01

    Influence of plasma density and plasma sheath dynamics on the ion implantation by plasma immersion technique / B. Rauschenbach ... - In: Nuclear instruments and methods in physics research. B. 113. 1996. S. 266-269

  20. Industrial plasma immersion ion implanter and its applications

    CERN Document Server

    Tong Hong Hui; Huo Yan Feng; Wang Ke; Mu Li Lan; Feng Tie Min; Zhao Jun; Yan Bing; Geng Man

    2002-01-01

    A new generation industrial plasma immersion ion implanter was developed recently in South-western Institute of Physics and some experimental results are reported. The vacuum chamber with 900 mm in diameter and 1050 mm in height stands vertically. The pumping system includes turbo -pump and mechanical pump and it can be automatically controlled by PLC. The background pressure is less than 4 x 10 sup - sup 4 Pa. The plasma in the chamber can be generated by hot-filament discharge and three high-efficiency magnetic filter metal plasma sources, so that the plasma immersion ion implantation and enhanced deposition can be done. The maximum pulse voltage output is 80 kV, maximum pulse current is 60 A, repetition frequency is 50-500 Hz, and the pulse rise time is less than 2 mu s. The power modulator can operate in the pulse bunching mode if necessary. In general, the plasma density is 10 sup 8 -10 sup 1 sup 0 cm sup - sup 3 , the film deposition rate is 0.1-0.5 nm/s

  1. Platelet adhesion and plasma protein adsorption control of collagen surfaces by He+ ion implantation

    International Nuclear Information System (INIS)

    Kurotobi, K.; Suzuki, Y.; Nakajima, H.; Suzuki, H.; Iwaki, M.

    2003-01-01

    He + ion implanted collagen-coated tubes with a fluence of 1 x 10 14 ions/cm 2 were exhibited antithrombogenicity. To investigate the mechanisms of antithrombogenicity of these samples, plasma protein adsorption assay and platelet adhesion experiments were performed. The adsorption of fibrinogen (Fg) and von Willebrand factor (vWf) was minimum on the He + ion implanted collagen with a fluence of 1 x 10 14 ions/cm 2 . Platelet adhesion (using platelet rich plasma) was inhibited on the He + ion implanted collagen with a fluence of 1 x 10 14 ions/cm 2 and was accelerated on the untreated collagen and ion implanted collagen with fluences of 1 x 10 13 , 1 x 10 15 and 1 x 10 16 ions/cm 2 . Platelet activation with washed platelets was observed on untreated collagen and He + ion implanted collagen with a fluence of 1 x 10 14 ions/cm 2 and was inhibited with fluences of 1 x 10 13 , 1 x 10 15 and 1 x 10 16 ions/cm 2 . Generally, platelets can react with a specific ligand inside the collagen (GFOGER sequence). The results of platelets adhesion experiments using washed platelets indicated that there were no ligands such as GFOGER on the He + ion implanted collagen over a fluence of 1 x 10 13 ions/cm 2 . On the 1 x 10 14 ions/cm 2 implanted collagen, no platelet activation was observed due to the influence of plasma proteins. >From the above, it is concluded that the decrease of adsorbed Fg and vWf caused the antithrombogenicity of He + ion implanted collagen with a fluence of 1 x 10 14 ions/cm 2 and that plasma protein adsorption took an important role repairing the graft surface

  2. Atomic scale Monte Carlo simulations of BF3 plasma immersion ion implantation in Si

    International Nuclear Information System (INIS)

    La Magna, Antonino; Fisicaro, Giuseppe; Nicotra, Giuseppe; Spiegel, Yohann; Torregrosa, Frank

    2014-01-01

    We present a numerical model aimed to accurately simulate the plasma immersion ion implantation (PIII) process in micro and nano-patterned Si samples. The code, based on the Monte Carlo approach, is designed to reproduce all the relevant physical phenomena involved in the process. The particle based simulation technique is fundamental to efficiently compute the material modifications promoted by the plasma implantation at the atomic resolution. The accuracy in the description of the process kinetic is achieved linking (one to one) each virtual Monte Carlo event to each possible atomic phenomenon (e.g. ion penetration, neutral absorption, ion induced surface modification, etc.). The code is designed to be coupled with a generic plasma status, characterized by the particle types (ions and neutrals), their flow rates and their energy/angle distributions. The coupling with a Poisson solver allows the simulation of the correct trajectories of charged particles in the void regions of the micro-structures. The implemented model is able to predict the implantation 2D profiles and significantly support the process design. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Plasma source ion implantation of metal ions: Synchronization of cathodic-arc plasma production and target bias pulses

    International Nuclear Information System (INIS)

    Wood, B.P.; Reass, W.A.; Henins, I.

    1995-01-01

    An erbium cathodic-arc has been installed on a Plasma Source Ion Implantation (PSII) experiment to allow the implantation of erbium metal and the growth of adherent erbia (erbium oxide) films on a variety of substrates. Operation of the PSII pulser and the cathodic-arc are synchronized to achieve pure implantation, rather than the hybrid implantation/deposition being investigated in other laboratories. The relative phase of the 20 μs PSII and cathodic-arc pulses can to adjusted to tailor the energy distribution of implanted ions and suppress the initial high-current drain on the pulse modulator. The authors present experimental data on this effect and make a comparison to results from particle-in-cell simulations

  4. Improved planar radio frequency inductively coupled plasma configuration in plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Tang, D.L.; Fu, R.K.Y.; Tian, X.B.; Chu, P.K.

    2003-01-01

    Plasmas with higher density and better uniformity are produced using an improved planar radio frequency (rf) inductively coupled plasma configuration in plasma immersion ion implantation (PIII). An axial magnetic field is produced by external electromagnetic coils outside the discharge chamber. The rf power can be effectively absorbed by the plasma in the vicinity of the electron gyrofrequency due to the enhanced resonant absorption of electromagnetic waves in the whistler wave range, which can propagate nearly along the magnetic field lines thus greatly increases the plasma density. The plasma is confined by a longitudinal multipolar cusp magnetic field made of permanent magnets outside the process chamber. It can improve the plasma uniformity without significantly affecting the ion density. The plasma density can be increased from 3x10 9 to 1x10 10 cm -3 employing an axial magnetic field of several Gauss at 1000 W rf power and 5x10 -4 Torr gas pressure. The nonuniformity of the plasma density is less than 10% and can be achieved in a process chamber with a diameter of 600 mm. Since the plasma generation and process chambers are separate, plasma extinction due to the plasma sheath touching the chamber wall in high-energy PIII can be avoided. Hence, low-pressure, high-energy, and high-uniformity ion implantation can be accomplished using this setup

  5. The third generation multi-purpose plasma immersion ion implanter for surface modification of materials

    CERN Document Server

    Tang Bao Yin; Wang Xiao Feng; Gan Kong Yin; Wang Song Yan; Chu, P K; Huang Nian Ning; Sun Hong

    2002-01-01

    The third generation multi-purpose plasma immersion ion implantation (PIII) equipment has been successfully used for research and development of surface modification of biomedical materials, metals and their alloys in the Southwest Jiaotong University. The implanter equipped with intense current, pulsed cathodic arc metal plasma sources which have both strong coating function and gas and metal ion implantation function. Its pulse high voltage power supply can provide big output current. It can acquire very good implantation dose uniformity. The equipment can both perform ion implantation and combine ion implantation with sputtering deposition and coating to form many kinds of synthetic surface modification techniques. The main design principles, features of important components and achievement of research works in recent time have been described

  6. Plasma immersion ion implantation of Pebax polymer

    Energy Technology Data Exchange (ETDEWEB)

    Kondyurin, A. [Applied and Plasma Physics, School of Physics (A28), University of Sydney, Sydney, NSW 2006 (Australia)]. E-mail: kond@mailcity.com; Volodin, P. [Leibniz Institute of Polymer Research Dresden e.v., Hohe Str.6, Dresden 01069 (Germany); Weber, J. [Boston Scientific Corporation, One Scimed Place, Maple Grove, MN 55311-1566 (United States)

    2006-10-15

    Nitrogen plasma immersion ion implantation (PIII) was applied to Pebax thin films and plates using doses ranging from 5 x 10{sup 14} to 10{sup 17} ions/cm{sup 2} at applied voltages of 5, 10, 20 and 30 kV. The analysis of the Pebax structure after implantation was performed using FTIR ATR, Raman, UV-vis transmission spectra, tensile and AFM contact mode data. The carbonization and depolymerisation processes were observed in the surface layer of Pebax. It was found, that graphitic- and diamond-like structures in Pebax are formed at PIII treatment of 30 kV applied voltage. AFM measurement data showed that the hardness of the Pebax surface layer increased sharply at PIII treatment with a dose higher then 10{sup 16} ions/cm{sup 2}. The bulk mechanical properties of the Pebax film after PIII remained unchanged.

  7. Study of ion implantation in grown layers of multilayer coatings under ion-plasma vacuum deposition

    International Nuclear Information System (INIS)

    Voevodin, A.A.; Erokhin, A.L.

    1993-01-01

    The model of ion implantation into growing layers of a multilayer coating produced with vacuum ion-plasma deposition was developed. The model takes into account a possibility for ions to pass through the growing layer and alloys to find the distribution of implanted atoms over the coating thickness. The experimental vitrification of the model was carried out on deposition of Ti and TiN coatings

  8. Plasma sheath physics and dose uniformity in enhanced glow discharge plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Li Liuhe; Li Jianhui; Kwok, Dixon T. K.; Chu, Paul K.; Wang Zhuo

    2009-01-01

    Based on the multiple-grid particle-in-cell code, an advanced simulation model is established to study the sheath physics and dose uniformity along the sample stage in order to provide the theoretical basis for further improvement of enhanced glow discharge plasma immersion ion implantation and deposition. At t=7.0 μs, the expansion of the sheath in the horizontal direction is hindered by the dielectric cage. The electron focusing effect is demonstrated by this model. Most of the ions at the inside wall of the cage are implanted into the edge of the sample stage and a relatively uniform ion fluence distribution with a large peak is observed at the end. Compared to the results obtained from the previous model, a higher implant fluence and larger area of uniformity are disclosed.

  9. Comparison of oxidation resistance of copper treated by beam-line ion implantation and plasma immersion ion implantation

    International Nuclear Information System (INIS)

    An Quanzhang; Li Liuhe; Hu Tao; Xin Yunchang; Fu, Ricky K.Y.; Kwok, D.T.K.; Cai Xun; Chu, Paul K.

    2009-01-01

    Copper which has many favorable properties such as low cost, high thermal and electrical conductivity, as well as easy fabrication and joining is one of the main materials in lead frames, interconnects, and foils in flexible circuits. Furthermore, copper is one of the best antibacterial materials. However, unlike aluminum oxide or chromium oxide, the surface copper oxide layer does not render sufficient protection against oxidation. In this work, in order to improve the surface oxidation resistance of Cu, Al and N were introduced into copper by plasma immersion ion implantation (PIII) and beam-line ion implantation (BII). The implantation fluences of Al and N were 2 x 10 17 ions cm -2 and 5 x 10 16 ions cm -2 , respectively. The implanted and untreated copper samples were oxidized in air at 260 deg. C for 1 h. The X-ray diffraction (XRD), scanning electron microscopy (SEM), as well as X-ray photoelectron spectroscopy (XPS) results indicate that both implantation methods can enhance the oxidation resistance of copper but to different extent. PIII is superior to BII in enhancing the oxidation resistance of copper. The effects and possible mechanisms are discussed.

  10. Radio-frequency plasma nitriding and nitrogen plasma immersion ion implantation of Ti-6Al-4V alloy

    International Nuclear Information System (INIS)

    Wang, S.Y.; Chu, P.K.; Tang, B.Y.; Zeng, X.C.; Wang, X.F.; Chen, Y.B.

    1997-01-01

    Nitrogen ion implantation improves the wear resistance of Ti-6Al-4V alloys by forming a hard TiN superficial passivation layer. However, the thickness of the layer formed by traditional ion implantation is typically 100-200 nm and may not be adequate for many industrial applications. We propose to use radio-frequency (RF) plasma nitriding and nitrogen plasma immersion ion implantation (PIII) to increase the layer thickness. By using a newly designed inductively coupled RF plasma source and applying a series of negative high voltage pulses to the Ti-6Al-4V samples. RF plasma nitriding and nitrogen PIII can be achieved. Our process yields a substantially thicker modified layer exhibiting more superior wear resistance characteristics, as demonstrated by data from micro-hardness testing, pin-on-disc wear testing, scanning electron microscopy (SEM), as well as Auger electron spectroscopy (AES). The performance of our newly developed inductively coupled RF plasma source which is responsible for the success of the experiments is also described. (orig.)

  11. Plasma ion implantation technology for broad industrial application

    International Nuclear Information System (INIS)

    Deb, D.; Siambis, J.; Symons, R.

    1994-01-01

    The recently invented Plasma Ion Implantation (PII) process (1987) [J. R. Conrad, U.S. Patent No. 764394 (August 16, 1988)] is currently under intense industrial engineering investigation and development. A critical component of PII for broad industrial utilization is the availability of an efficient modulator system that applies the high voltage pulse to the workpiece. A modulator technology assessment and selection is carried out. The requirements of the PII process favor the selection of a hard-tube modulator. The PII process favors the application of beam switch tube technology such as the Litton L-5012 and L-5097. These Litton tubes have already been selected by LANL and utilized in their pilot engineering demonstration experiment with GM and the University of Wisconsin. The performance, physical operation, and potential enhancements of the Litton beam switch tubes L-5012 and L-5097 will be discussed in connection with the requirements of the emerging plasma ion implantation industrial modulator technology

  12. Study of highly functionalized metal surface treated by plasma ion implantation

    International Nuclear Information System (INIS)

    Ikeyama, Masami; Miyagawa, Soji; Miyagawa, Yoshiko; Nakao, Setsuo; Masuda, Haruho; Saito, Kazuo; Ono, Taizou; Hayashi, Eiji

    2004-01-01

    Technology for processing metal surfaces with hardness, low friction and free from foreign substances was developed with plasma ion implantation. Diamond-like carbon (DLC) coating is a most promising method for realization of hard and smooth metal surface. DLC coating was tested in a metal pipe with 10 mm diameter and 10 cm length by a newly developed plasma ion implantation instrument. The surface coated by DLC was proved to have characteristics equivalent to those prepared with other methods. A computer program simulating a formation process of DLC coating was developed. Experiments for fluorinating the DLC coating surface was performed. (Y. Kazumata)

  13. Effective implantation of light emitting centers by plasma immersion ion implantation and focused ion beam methods into nanosized diamond

    International Nuclear Information System (INIS)

    Himics, L.; Tóth, S.; Veres, M.; Tóth, A.; Koós, M.

    2015-01-01

    Highlights: • Characteristics of nitrogen implantation of nanodiamond using two low ion energy ion implantation methods were compared. • Formation of complex nitrogen-related defect centers was promoted by subsequent helium implantation and heat treatments. • Depth profiles of the implanted ions and the generated vacancies were determined using SRIM calculations. • The presence of nitrogen impurity was demonstrated by Fourier-transform infrared spectroscopic measurements. • A new nitrogen related band was detected in the photoluminescence spectrum of the implanted samples that was attributed to the N3 color center in nanodiamond. - Abstract: Two different implantation techniques, plasma immersion ion implantation and focused ion beam, were used to introduce nitrogen ions into detonation nanodiamond crystals with the aim to create nitrogen-vacancy related optically active centers of light emission in near UV region. Previously samples were subjected to a defect creation process by helium irradiation in both cases. Heat treatments at different temperatures (750 °C, 450 °C) were applied in order to initiate the formation of nitrogen-vacancy related complex centers and to decrease the sp 2 carbon content formed under different treatments. As a result, a relatively narrow and intensive emission band with fine structure at 2.98, 2.83 and 2.71 eV photon energies was observed in the light emission spectrum. It was assigned to the N3 complex defect center. The formation of this defect center can be expected by taking into account the relatively high dose of implanted nitrogen ions and the overlapped depth distribution of vacancies and nitrogen. The calculated depth profiles distribution for both implanted nitrogen and helium by SRIM simulation support this expectation

  14. Improvement of a microwave ECR plasma source for the plasma immersion ion implantation and deposition process

    International Nuclear Information System (INIS)

    Wu Hongchen; Zhang Huafang; Peng Liping; Jiang Yanli; Ma Guojia

    2004-01-01

    The Plasma Immersion Ion Implantation and Deposition (PIII and D) process has many advantages over the pure plasma immersion ion implantation or deposition. It can compensate for or eliminate the disadvantages of the shallow modification layer (for PIII) and increase the bond strength of the coating (of deposition). For this purpose, a new type of microwave plasma source used in the PIII and D process was developed, composed of a vacuum bend wave guide and a special magnetic circuit, so that the coupling window was protected from being deposited with a coating and bombarded by high-energy particles. So the life of the window is increased. To enhance the bonding between the coating and substrate a new biasing voltage is applied to the work piece so that the implantation and deposition (or hybrid process) can be completed in one vacuum cycle

  15. Corrosion resistance of modified layer on uranium formed by plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Long Zhong; Liu Kezhao; Bai Bin; Yan Dongxu

    2010-01-01

    Nitrogen ion was implanted into uranium surface using plasma immersion ion implantation, and the corrosion resistance of modified layer was studied by corrosion experiment. SEM was used to observe variety of samples surface. In atmosphere, the sample surface had not changed during five months. In heat-humid environment, there was dot-corrosion appearing after two months, but it did not influence the integrity of the modified layer. AES was used to study the diffusion of oxygen and nitrogen during hot-humid corrosion, in three months, both of two elements diffused to the substrate, but the diffusion was weak. The structure of modified layer was not changed. Experimental results show that the modified layer formed by plasma immersion ion implantation has good corrosion resistance.

  16. Corrosion resistance of modified layer on uranium formed by plasma immersion ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Long Zhong, E-mail: long2001@163.co [China Academy of Engineering Physics, Mianyang, Sichuan, 621900 (China); Liu Kezhao; Bai Bin; Yan Dongxu [China Academy of Engineering Physics, Mianyang, Sichuan, 621900 (China)

    2010-02-18

    Nitrogen ion was implanted into uranium surface using plasma immersion ion implantation, and the corrosion resistance of modified layer was studied by corrosion experiment. SEM was used to observe variety of samples surface. In atmosphere, the sample surface had not changed during five months. In heat-humid environment, there was dot-corrosion appearing after two months, but it did not influence the integrity of the modified layer. AES was used to study the diffusion of oxygen and nitrogen during hot-humid corrosion, in three months, both of two elements diffused to the substrate, but the diffusion was weak. The structure of modified layer was not changed. Experimental results show that the modified layer formed by plasma immersion ion implantation has good corrosion resistance.

  17. Plasma effects for heavy ions in implanted silicon detectors

    International Nuclear Information System (INIS)

    Aiello, S.; Anzalone, A.; Campisi, M.G.; Cardella, G.; Cavallaro, Sl.; Filippo, E. De; Geraci, E.; Geraci, M.; Guazzoni, P.; Manno, M.C. Iacono; Lanzalone, G.; Lanzano, G.; Nigro, S. Lo; Pagano, A.; Papa, M.; Pirrone, S.; Politi, G.; Porto, F.; Rizzo, F.; Sambataro, S.; Sperduto, M.L.; Sutera, C.; Zetta, L.

    1999-01-01

    Plasma effects for heavy ions in implanted silicon detectors have been investigated for different detector characteristics as a function of type and energy of the detected particles. A new approach is presented and used to reproduce the effect of the plasma delay in the timing performances. The results are in good agreement with the present data and with previous measurements found in the literature

  18. Dynamic sheath studies in plasma source ion implantation

    International Nuclear Information System (INIS)

    Schever, J.T.; Shamim, M.; Conrad, J.R.

    1990-01-01

    Plasma Source Ion Implantation (PSII) is a non-line-of-sight method for materials processing in which a target is immersed in a plasma and pulse biased to a high negative voltage (∼ 50 kV). A model of the dynamic sheath which forms under these conditions has been developed and applied to planar, cylindrical and spherical geometries. This model assumes that the transient sheath obeys the Child-Langmuir law for space charge limited emission at each instant during the propagation. Ions uncovered by the propagating sheath edge supply the space charge limited current. This yields an equation relating sheath edge velocity to position, which can be integrated to obtain the sheath edge position as a function of time. The same procedure used in cylindrical and spherical geometry results in a similar equation which must be integrated numerically. Comparison of results of experimental measurements, our model and simulation will be presented for the dynamic sheath edge position and target current waveform. Measurements of implanted dose uniformity of wedge shaped targets are also presented

  19. Simple fabrication of back contact heterojunction solar cells by plasma ion implantation

    Science.gov (United States)

    Koyama, Koichi; Yamaguchi, Noboru; Hironiwa, Daisuke; Suzuki, Hideo; Ohdaira, Keisuke; Matsumura, Hideki

    2017-08-01

    A back-contact amorphous-silicon (a-Si)/crystalline silicon (c-Si) heterojunction is one of the most promising structures for high-efficiency solar cells. However, the patterning of back-contact electrodes causes the increase in fabrication cost. Thus, to simplify the fabrication of back-contact cells, we attempted to form p-a-Si/i-a-Si/c-Si and n-a-Si/i-a-Si/c-Si regions by the conversion of a patterned area of p-a-Si/i-a-Si/c-Si to n-a-Si/i-a-Si/c-Si by plasma ion implantation. It is revealed that the conversion of the conduction type can be realized by the plasma ion implantation of phosphorus (P) atoms into p-a-Si/i-a-Si/c-Si regions, and also that the quality of passivation can be kept sufficiently high, the same as that before ion implantation, when the samples are annealed at around 250 °C and also when the energy and dose of ion implantation are appropriately chosen for fitting to a-Si layer thickness and bulk c-Si carrier density.

  20. Plasma immersion surface modification with metal ion plasma

    International Nuclear Information System (INIS)

    Brown, I.G.; Yu, K.M.; Godechot, X.

    1991-04-01

    We describe here a novel technique for surface modification in which metal plasma is employed and by which various blends of plasma deposition and ion implantation can be obtained. The new technique is a variation of the plasma immersion technique described by Conrad and co-workers. When a substrate is immersed in a metal plasma, the plasma that condenses on the substrate remains there as a film, and when the substrate is then implanted, qualitatively different processes can follow, including' conventional' high energy ion implantation, recoil implantation, ion beam mixing, ion beam assisted deposition, and metallic thin film and multilayer fabrication with or without species mixing. Multiple metal plasma guns can be used with different metal ion species, films can be bonded to the substrate through ion beam mixing at the interface, and multilayer structures can be tailored with graded or abrupt interfaces. We have fabricated several different kinds of modified surface layers in this way. 22 refs., 4 figs

  1. Magnesium plasma immersion ion implantation in a large straight magnetic duct

    International Nuclear Information System (INIS)

    Tan, Ing Hwie; Ueda, Mario; Dallaqua, Renato S; Rossi, Jose O; Beloto, Antonio F; Abramof, Eduardo; Inoue, Y; Takai, Osamu

    2002-01-01

    Magnesium ions were implanted on silicon wafers using a vacuum arc plasma system with a straight 1 m long magnetic duct, 0.22 m in diameter. Good macroparticle filtering was obtained in samples positioned facing the plasma stream and complete filtering was achieved in samples with surfaces parallel to the plasma stream and magnetic field. Deposition is also minimized by placing sample surfaces parallel to the plasma stream. High resolution x-ray diffraction rocking curves of implanted samples show that the changes in lattice constant are due to compressive strain, and the distortion is larger for higher voltages. Without magnetic field the implantation was a few hundred angstroms deep, as expected, but with magnetic field the depth profile was surprisingly extended to over 0.1 μm, a fact for which we do not yet have a convincing explanation, but could be related to radiation enhanced segregation. The presence of a magnetic field increases substantially the retained implantation dose due to the increase in plasma density by two orders of magnitude

  2. Characterisation Of The Beam Plasma In High Current, Low Energy Ion Beams For Implanters

    International Nuclear Information System (INIS)

    Fiala, J.; Armour, D. G.; Berg, J. A. van der; Holmes, A. J. T.; Goldberg, R. D.; Collart, E. H. J.

    2006-01-01

    The effective transport of high current, positive ion beams at low energies in ion implanters requires the a high level of space charge compensation. The self-induced or forced introduction of electrons is known to result in the creation of a so-called beam plasma through which the beam propagates. Despite the ability of beams at energies above about 3-5 keV to create their own neutralising plasmas and the development of highly effective, plasma based neutralising systems for low energy beams, very little is known about the nature of beam plasmas and how their characteristics and capabilities depend on beam current, beam energy and beamline pressure. These issues have been addressed in a detailed scanning Langmuir probe study of the plasmas created in beams passing through the post-analysis section of a commercial, high current ion implanter. Combined with Faraday cup measurements of the rate of loss of beam current in the same region due to charge exchange and scattering collisions, the probe data have provided a valuable insight into the nature of the slow ion and electron production and loss processes. Two distinct electron energy distribution functions are observed with electron temperatures ≥ 25 V and around 1 eV. The fast electrons observed must be produced in their energetic state. By studying the properties of the beam plasma as a function of the beam and beamline parameters, information on the ways in which the plasma and the beam interact to reduce beam blow-up and retain a stable plasma has been obtained

  3. Measurement of electron emission due to energetic ion bombardment in plasma source ion implantation

    Science.gov (United States)

    Shamim, M. M.; Scheuer, J. T.; Fetherston, R. P.; Conrad, J. R.

    1991-11-01

    An experimental procedure has been developed to measure electron emission due to energetic ion bombardment during plasma source ion implantation. Spherical targets of copper, stainless steel, graphite, titanium alloy, and aluminum alloy were biased negatively to 20, 30, and 40 kV in argon and nitrogen plasmas. A Langmuir probe was used to detect the propagating sheath edge and a Rogowski transformer was used to measure the current to the target. The measurements of electron emission coefficients compare well with those measured under similar conditions.

  4. Investigation of plasma potential and pulsed discharge characteristics in enhanced glow discharge plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Li Liuhe; Lu Qiuyuan; Fu, Ricky K.Y.; Chu, Paul K.

    2009-01-01

    Enhanced glow discharge plasma immersion ion implantation and deposition (EGD-PII and D) does not require external plasma sources. In this technique, the plasma is produced by self-glow discharge when a high negative voltage is applied to the sample. The small-area, pointed-shape hollow anode and large area tabular cathode form an electron-focused electric field. Using a special electric field design, the electrons from either the plasma or target (secondary electrons) are focused to a special hollow anode. As a result of the special electron-focusing field, the self-glow discharge process can be enhanced to achieve effective ion implantation into the substrate. In this work, the plasma potential distribution is investigated in details and the possible pulse discharge mechanism is discussed. The unique characteristics of the pulsed plasma and plasma extinction are studied.

  5. From plasma immersion ion implantation to deposition: A historical perspective on principles and trends

    Energy Technology Data Exchange (ETDEWEB)

    Anders, Andre

    2001-06-14

    Plasma immersion techniques of surface modification are known under a myriad of names. The family of techniques reaches from pure plasma ion implantation, to ion implantation and deposition hybrid modes, to modes that are essentially plasma film deposition with substrate bias. In the most general sense, all plasma immersion techniques have in common that the surface of a substrate (target) is exposed to plasma and that relatively high substrate bias is applied. The bias is usually pulsed. In this review, the roots of immersion techniques are explored, some going back to the 1800s, followed by a discussion of the groundbreaking works of Adler and Conrad in the 1980s. In the 1990s, plasma immersion techniques matured in theoretical understanding, scaling, and the range of applications. First commercial facilities are now operational. Various immersion concepts are compiled and explained in this review. While gas (often nitrogen) ion implantation dominated the early years, film-forming immersion techniques and semiconductor processing gained importance. In the 1980s and 1990s we have seen exponential growth of the field but signs of slowdown are clear since 1998. Nevertheless, plasma immersion techniques have found, and will continue to have, an important place among surface modification techniques.

  6. Surface modification of ceramics and metals by ion implantation combined with plasma irradiation

    International Nuclear Information System (INIS)

    Miyagawa, Soji; Miyagawa, Yoshiko; Nakao, Setsuo; Ikeyama, Masami; Saitoh, Kazuo

    2000-01-01

    To develop a new surface modification technique using ion implantation combined with plasma irradiation, thin film formation by IBAD (Ion Beam Assisted Deposition) and atom relocation processes such as radiation enhanced diffusion and ion beam mixing under high dose implantation have been studied. It was confirmed that the computer simulation code, dynamic-SASAMAL (IBAD version) developed in this research, is quite useful to evaluate ballistic components in film formation by high dose implantation on ceramics and metals, by ion beam mixing of metal-ceramics bi-layer and by the IBAD method including hydrocarbon deposition. Surface modification process of SiC by simultaneous irradiation of ions with a radical beam has also been studied. A composite of SiC and β-Si 3 N 4 was found to be formed on a SiC surface by hot implantation of nitrogen. The amount of β- Si 3 N 4 crystallites increased with increasing the dosage of the hydrogen radical beam during nitrogen implantation. (author)

  7. Effect of rise-time patterns on dynamics of sheath expansion during plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Huang Yongxian; Tian Xiubo; Yang Shiqin; Fu Ricky; Paul, C.K.

    2007-01-01

    Plasma immersion ion implantation (PIII) has been developed as a low-cost and efficient surface modification technique of irregularly-shaped objects. The effect of six pulse waves with different rise-time patterns on the spatio-temporal evolution of plasma sheath,energy and dose of ion implantation has been simulated by particle-in-cell modeling. Statistical results may be obtained through assuming the Boltzmann distribution of electrons, and solving Poisson and Newton equations for tracing each ion in the plasma sheath. The results show that rise-time pattern has a critical influence on the evolution of plasma sheath. There exists maximum thickness difference of plasma sheath for different waveforms. The acceleration of ions is non-uniform due to the non-uniformity of electrical field strength. The maximum gradient of electrical field appears near the edge of plasma sheath. The results also show that optimization of dose and energy of incident ions may be achieved through modification of rise-time pattern. The numerical simulation of sheath expansion can be effectively used to provide a scientific basis for optimizing the PIII process. (authors)

  8. Plasma-polymerized hexamethyldisilazane treated by nitrogen plasma immersion ion implantation technique

    Energy Technology Data Exchange (ETDEWEB)

    Honda, R Y; Mota, R P; Batocki, R G S; Santos, D C R; Nicoleti, T; Kostov, K G; Kayama, M E; Algatti, M A [Laboratorio de Plasma, Faculdade de Engenharia, UNESP, Av. Dr Ariberto Pereira da Cunha-333, 12516-410, Guaratingueta, SP (Brazil); Cruz, N C [Laboratorio de Plasmas Tecnologicos, Unidade Diferenciada, UNESP, Av. Tres de Marco-511, 18085-180, Sorocaba, SP (Brazil); Ruggiero, L, E-mail: honda@feg.unesp.b [Faculdade de Ciencias, UNESP, Av. Luis E. Carrijo Coube 14-1, 17033-360, Bauru, SP (Brazil)

    2009-05-01

    This paper describes the effect of nitrogen Plasma Immersion Ion Implantation (PIII) on chemical structure, refraction index and surface hardness of plasma-polymerized hexamethyldisilazane (PPHMDSN) thin films. Firstly, polymeric films were deposited at 13.56 MHz radiofrequency (RF) Plasma Enhanced Chemical Vapour Deposition (PECVD) and then, were treated by nitrogen PIII from 15 to 60 min. Fourier Transformed Infrared (FTIR) spectroscopy was employed to analyse the molecular structure of the samples, and it revealed that vibrations modes at 3350 cm{sup -1}, 2960 cm{sup -1}, 1650 cm{sup -1}, 1250 cm{sup -1} and 1050 cm{sup -1} were altered by nitrogen PIII. Visible-ultraviolet (vis-UV) spectroscopy was used to evaluate film refractive index and the results showed a slight increase from 1.6 to 1.8 following the implantation time. Nanoindentation revealed a surface hardness rise from 0.5 to 2.3 GPa as PIII treatment time increased. These results indicate nitrogen PIII is very promising in improving optical and mechanical properties of PPHMDSN films.

  9. EPDM Rubber Modified by Nitrogen Plasma Immersion Ion Implantation.

    Science.gov (United States)

    Kondyurin, Alexey

    2018-04-24

    Ethylene-propylene diene monomer rubber (EPDM) was treated by plasma immersion ion implantation (PIII) with nitrogen ions of 20 keV energy and fluence from 10 13 to 10 16 ions/cm². The Fourier-transform infrared attenuated total reflection spectra, atomic force microscopy and optical microscopy showed significant structure changes of the surface. The analysis of an interface of PIII treated EPDM rubber with polyurethane binder showed a cohesive character of the adhesion joint fracture at the presence of solvent and interpreted as covalent bond network formation between the PIII treated rubber and the adhesive.

  10. EPDM Rubber Modified by Nitrogen Plasma Immersion Ion Implantation

    Directory of Open Access Journals (Sweden)

    Alexey Kondyurin

    2018-04-01

    Full Text Available Ethylene-propylene diene monomer rubber (EPDM was treated by plasma immersion ion implantation (PIII with nitrogen ions of 20 keV energy and fluence from 1013 to 1016 ions/cm2. The Fourier-transform infrared attenuated total reflection spectra, atomic force microscopy and optical microscopy showed significant structure changes of the surface. The analysis of an interface of PIII treated EPDM rubber with polyurethane binder showed a cohesive character of the adhesion joint fracture at the presence of solvent and interpreted as covalent bond network formation between the PIII treated rubber and the adhesive.

  11. Implantation of β-emitters on biomedical implants: 32 P isotropic ion implantation using a coaxial plasma reactor

    International Nuclear Information System (INIS)

    Fortin, M.A.; Paynter, R.W.; Sarkissian, A.; Stansfield, B.L.; Terreault, B.; Dufresne, V.

    2003-01-01

    The development of endovascular brachytherapy and the treatment of certain types of cancers (liver, lung, prostate) often require the use of beta-emitters, sometimes in the form of radioisotope-implanted devices. Among the most commonly used isotopes figures 32 P, a pure beta-emitter (maximum energy: 1.7 MeV), of which the path in biological tissues is of a few cm, restricting the impact of electron bombardment to the immediate environment of the implant. Several techniques and processes have been tried to elaborate surfaces and devices showing strongly bonded, or implanted 32 P. Anodizing, vapor phase deposition, grafting of oligonucleotides, as well as ion implantation processes have been investigated by several research groups as methods to implant beta-radioisotopes into surfaces. A coaxial plasma reactor was developed at INRS to implant radioisotopes into cylindrical metallic objects, such as coronary stents commonly used in angioplasty procedures. The dispersion of 32 P atoms on the interior surfaces of the chamber can be investigated using radiographs, contributing to image the plasma ion transport mechanisms that guide the efficiency of the implantation procedure. The amount of radioactivity on the wall liner, on the internal components, and on the biomedical implants are quantified using a surface barrier detector. A comparative study establishes a relationship between the gray scale of the radiographs, and dose measurements. A program was developed to convert the digitized images into maps showing surface dose density in mCi/cm 2 . An integration process allows the quantification of the doses on the walls and components of the reactor. Finally, the resulting integral of the 32 P dose is correlated to the initial amount of radioactivity inserted inside the implanter before the dismantling procedure. This method could be introduced as a fast and reliable way to test, qualify and assess the amount of radioactivity present on the as-produced implants

  12. Improving corrosion resistance of magnesium-based alloys by surface modification with hydrogen by electrochemical ion reduction (EIR) and by plasma immersion ion implantation (PIII)

    Energy Technology Data Exchange (ETDEWEB)

    Bakkar, A. [Institut fuer Materialpruefung und Werkstofftechnik, Dr. Doelling und Dr. Neubert GmbH, Freiberger Strasse 1, 38678 Clausthal (Germany); Department of Metallurgy and Materials Engineering, Suez Canal University, P.O. Box 43721, Suez (Egypt); Neubert, V. [Institut fuer Materialpruefung und Werkstofftechnik, Dr. Doelling und Dr. Neubert GmbH, Freiberger Strasse 1, 38678 Clausthal (Germany)]. E-mail: volkmar.neubert@tu-clausthal.de

    2005-05-01

    Magnesium-based hydrides are well known that they have a high hydrogen-storage capacity. In this study, two different methods have been provided for hydrogen surface modification of high purity magnesium (hp Mg) and AZ91 magnesium alloy. One was electrochemical ion reduction (EIR) of hydrogen from an alkaline electrolyte on such Mg-based cathode. The other was plasma immersion ion implantation (PIII or PI{sup 3}) into Mg-based substrate. The depth profile of H-modified surfaces was described by Auger electron spectroscopy (AES) and by secondary ion mass spectrometry (SIMS) measurements. Corrosion testing was carried out in Avesta cell by potentiodynamic polarisation in chloride-containing aqueous solutions of pH 7 and pH 12. A greatly significant improvement in the corrosion resistance of H-modified surfaces was verified.

  13. Improving corrosion resistance of magnesium-based alloys by surface modification with hydrogen by electrochemical ion reduction (EIR) and by plasma immersion ion implantation (PIII)

    International Nuclear Information System (INIS)

    Bakkar, A.; Neubert, V.

    2005-01-01

    Magnesium-based hydrides are well known that they have a high hydrogen-storage capacity. In this study, two different methods have been provided for hydrogen surface modification of high purity magnesium (hp Mg) and AZ91 magnesium alloy. One was electrochemical ion reduction (EIR) of hydrogen from an alkaline electrolyte on such Mg-based cathode. The other was plasma immersion ion implantation (PIII or PI 3 ) into Mg-based substrate. The depth profile of H-modified surfaces was described by Auger electron spectroscopy (AES) and by secondary ion mass spectrometry (SIMS) measurements. Corrosion testing was carried out in Avesta cell by potentiodynamic polarisation in chloride-containing aqueous solutions of pH 7 and pH 12. A greatly significant improvement in the corrosion resistance of H-modified surfaces was verified

  14. In-situ deposition of sacrificial layers during ion implantation

    International Nuclear Information System (INIS)

    Anders, A.; Anders, S.; Brown, I.G.; Yu, K.M.

    1995-02-01

    The retained dose of implanted ions is limited by sputtering. It is known that a sacrificial layer deposited prior to ion implantation can lead to an enhanced retained dose. However, a higher ion energy is required to obtain a similar implantation depth due to the stopping of ions in the sacrificial layer. It is desirable to have a sacrificial layer of only a few monolayers thickness which can be renewed after it has been sputtered away. We explain the concept and describe two examples: (i) metal ion implantation using simultaneously a vacuum arc ion source and filtered vacuum arc plasma sources, and (ii) Metal Plasma Immersion Ion Implantation and Deposition (MePIIID). In MePIIID, the target is immersed in a metal or carbon plasma and a negative, repetitively pulsed bias voltage is applied. Ions are implanted when the bias is applied while the sacrificial layer suffers sputtering. Low-energy thin film deposition - repair of the sacrificial layer -- occurs between bias pulses. No foreign atoms are incorporated into the target since the sacrificial film is made of the same ion species as used in the implantation phase

  15. Plasma immersion ion implantation of boron for ribbon silicon solar cells

    Directory of Open Access Journals (Sweden)

    Derbouz K.

    2013-09-01

    Full Text Available In this work, we report for the first time on the solar cell fabrication on n-type silicon RST (for Ribbon on Sacrificial Template using plasma immersion ion implantation. The experiments were also carried out on FZ silicon as a reference. Boron was implanted at energies from 10 to 15 kV and doses from 1015 to 1016 cm-2, then activated by a thermal annealing in a conventional furnace at 900 and 950 °C for 30 min. The n+ region acting as a back surface field was achieved by phosphorus spin-coating. The frontside boron emitter was passivated either by applying a 10 nm deposited SiOX plasma-enhanced chemical vapor deposition (PECVD or with a 10 nm grown thermal oxide. The anti-reflection coating layer formed a 60 nm thick SiNX layer. We show that energies less than 15 kV and doses around 5 × 1015 cm-2 are appropriate to achieve open circuit voltage higher than 590 mV and efficiency around 16.7% on FZ-Si. The photovoltaic performances on ribbon silicon are so far limited by the bulk quality of the material and by the quality of the junction through the presence of silicon carbide precipitates at the surface. Nevertheless, we demonstrate that plasma immersion ion implantation is very promising for solar cell fabrication on ultrathin silicon wafers such as ribbons.

  16. Evaluation of an expence of materials during ion implantation

    International Nuclear Information System (INIS)

    Bannikov, M.G.; Zlobin, N.; Zotov, A.V.; Vasilev, V.I.; Vasilev, I.P.

    2003-01-01

    Ion implantation is used for a surface modification. The implantation dose must be sufficient to obtain the required properties of a processed surface, but should not be exceeded to prevent over-expenditure of implanted materials. The latter is especially important when noble metals are used as an implanted material. The ion implanter includes a vacuum chamber, source of metal ions (target) and a vacuum pumping-out system. Ions of a plasma-forming gas sputter the target and ions of metal are then accelerated and implanted into surface treated. Ion implantation dose can be calculated from operation parameters such as ion beam current density and duration of implanting. The presence of the plasma-forming gas in the ion flow makes it difficult to determine the expenditure of an implanted metal itself. The objective of this paper is the more accurate definition of an expense of an implanted metal. Mass- spectrometric analysis of an ion beam together with the weighing of the target was used to determine the expense of an implanted metal. It was found that, depending on the implantation parameters, on average around 50% of a total ion flow are metal ions. Results obtained allow more precise definition of an implantation dose. Thus, over- expenditure of implanted metals can be eliminated. (author)

  17. Plasma source ion implantation of ammonia into electroplated chromium

    International Nuclear Information System (INIS)

    Scheuer, J.T.; Walter, K.C.; Rej, D.J.; Nastasi, M.; Blanchard, J.P.

    1995-01-01

    Ammonia gas (NH 3 ) has been used as a nitrogen source for plasma source ion implantation processing of electroplated chromium. No evidence was found of increased hydrogen concentrations in the bulk material, implying that ammonia can be used without risking hydrogen embrittlement. The retained nitrogen dose of 2.1 x 10 17 N-at/cm 2 is sufficient to increase the surface hardness of electroplated Cr by 24% and decrease the wear rate by a factor of 4

  18. Ta-ion implantation induced by a high-intensity laser for plasma diagnostics and target preparation

    Energy Technology Data Exchange (ETDEWEB)

    Cutroneo, M., E-mail: cutroneo@ujf.cas.cz [Nuclear Physics Institute, ASCR, 250 68 Rez (Czech Republic); Malinsky, P.; Mackova, A. [Nuclear Physics Institute, ASCR, 250 68 Rez (Czech Republic); Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Matousek, J. [Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Torrisi, L. [Department of Physics and Earth Science, Messina University, V.le F.S. d’Alcontres 31, 981 66 S. Agata, Messina (Italy); Slepicka, P. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Ullschmied, J. [Institute of Physics, ASCR, v.v.i., 182 21 Prague 8 (Czech Republic)

    2015-12-15

    The present work is focused on the implantation of Ta ions into silicon substrates covered by a silicon dioxide layer 50–300 nm thick. The implantation is achieved using sub-nanosecond pulsed laser ablation (10{sup 15} W/cm{sup 2}) with the objective of accelerating non-equilibrium plasma ions. The accelerated Ta ions are implanted into the exposed silicon substrates at energies of approximately 20 keV per charge state. By changing a few variables in the laser pulse, it is possible to control the kinetic energy, the yield and the angular distribution of the emitted ions. Rutherford Back-Scattering analysis was performed using 2.0 MeV He{sup +} as the probe ions to determine the elemental depth profiles and the chemical composition of the laser-implanted substrates. The depth distributions of the implanted Ta ions were compared to SRIM 2012 simulations. The evaluated results of energy distribution were compared with online techniques, such as Ion Collectors (IC) and an Ion Energy Analyser (IEA), for a detailed identification of the produced ion species and their energy-to-charge ratios (M/z). Moreover, XPS (X-ray Photon Spectroscopy) and AFM (Atomic Force Microscopy) analyses were carried out to obtain information on the surface morphology and the chemical composition of the modified implanted layers, as these features are important for further application of such structures.

  19. Corrosion resistance of magnesium treated by hydrocarbon plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Yekehtaz, M.; Baba, K.; Hatada, R.; Flege, S.; Sittner, F.; Ensinger, W.

    2009-01-01

    Due to its low weight, magnesium is increasingly being used as construction materials for e.g. automobile bodies or cell phone housings. However, the material suffers from poor tribological features and particularly from poor corrosion resistance. In order to protect magnesium from corrosion, it was treated by hydrocarbon plasma immersion ion implantation. Magnesium samples were implanted with methane and acetylene at different process times at ambient temperature. Electrochemical corrosion measurements in dilute buffered acetic acid showed that the treatment led to well-adhering films with an effective corrosion protection.

  20. Corrosion resistance of magnesium treated by hydrocarbon plasma immersion ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Yekehtaz, M. [Technische Universitaet Darmstadt, Department of Materials Science, Petersenstr. 23, 64287 Darmstadt (Germany)], E-mail: Yekehtaz@ca.tu-darmstadt.de; Baba, K. [Nagasaki Center of Industrial Technology, 2-1303-8 Ikeda, Omura, Nagasaki 856-0026 (Japan); Hatada, R. [Technische Universitaet Darmstadt, Department of Materials Science, Petersenstr. 23, 64287 Darmstadt (Germany); Nagasaki Center of Industrial Technology, 2-1303-8 Ikeda, Omura, Nagasaki 856-0026 (Japan); Flege, S.; Sittner, F.; Ensinger, W. [Technische Universitaet Darmstadt, Department of Materials Science, Petersenstr. 23, 64287 Darmstadt (Germany)

    2009-05-01

    Due to its low weight, magnesium is increasingly being used as construction materials for e.g. automobile bodies or cell phone housings. However, the material suffers from poor tribological features and particularly from poor corrosion resistance. In order to protect magnesium from corrosion, it was treated by hydrocarbon plasma immersion ion implantation. Magnesium samples were implanted with methane and acetylene at different process times at ambient temperature. Electrochemical corrosion measurements in dilute buffered acetic acid showed that the treatment led to well-adhering films with an effective corrosion protection.

  1. Deposition of diamond-like carbon films by plasma source ion implantation with superposed pulse

    International Nuclear Information System (INIS)

    Baba, K.; Hatada, R.

    2003-01-01

    Diamond-like carbon (DLC) films were prepared on silicon wafer substrate by plasma source ion implantation with superposed negative pulse. Methane and acetylene gases were used as working gases for plasma. A negative DC voltage and a negative pulse voltage were superposed and applied to the substrate holder. The DC voltage was changed in the range from 0 to -4 kV and the pulse voltage was changed from 0 to -18 kV. The surface of DLC films was very smooth. The deposition rate of DLC films increased with increasing in superposed DC bias voltage. Carbon ion implantation was confirmed for the DLC film deposited from methane plasma with high pulse voltage. I D /I G ratios of Raman spectroscopy were around 1.5 independent on pulse voltage. The maximum hardness of 20.3 GPa was observed for the film prepared with high DC and high pulse voltage

  2. Boron Profile Sharpening in Ultra-Shallow p+-n Junction Produced by Plasma Immersion Ion Implantation from BF3 Plasma

    International Nuclear Information System (INIS)

    Lukichev, V.; Rudenko, K.; Orlikovsky, A.; Pustovit, A.; Vyatkin, A.

    2008-01-01

    We have investigated plasma immersion ion implantation (PI 3 ) of boron with energies of 500 eV (doses up to 2x10 15 cm -2 ) from BF 3 plasma with He pre-amorphizing implantation (PAI)(energy 3 keV, dose 5x10 16 cm -2 ). Implanted samples were subjected to RTA (T = 900 to 1050 deg. C, t = 2 to 24 sec and spike anneal). SIMS analysis of boron profiles revealed its anomalous behavior. For short RTA times the profile tail (below 5x10 19 cm -3 ) moves toward the surface and then, as in the usual diffusion, toward the bulk at longer annealing times.

  3. The thermal evolution of targets under plasma focus pulsed ion implantation

    International Nuclear Information System (INIS)

    Sanchez, G.; Feugeas, J.

    1997-01-01

    Pulsed ion beam implantation with plasma focus has proved to be an effective method of metal surface treatment for tribological purposes. Nevertheless, the pulsed nature and the continuous energy spectrum of the ion beams differ from those of the standard ion implantation processes. In this paper a model of the thermal evolution of the surface layers of stainless steel, titanium and copper, during and after nitrogen and argon ion beam incidence, is presented using the finite-difference method. In the calculations, the geometry and physical characteristics of the ion beams, the single-ion-solid interaction process and the thermal properties of the materials were used. The results showed a strong thermal effect consisting in the generation of transitory heating slopes and heating speeds as high as ∼3600 K μm -1 and ∼40 K ns -1 respectively, with maximum temperatures that can reach even the material evaporation point at the surface layers. The cooling down process, through the thermal conduction mechanism at the target bulk, turns out to be fast enough to produce the complete thermal relaxation of the target in only a few microseconds after the end of the ion beam incidence. The results presented are contrasted with experiments performed in similar conditions to those used in the numerical model. (Author)

  4. Tribological performance of ultrathin diamond-like carbon films prepared by plasma-based ion implantation

    International Nuclear Information System (INIS)

    Liao, J X; Li, E Q; Tian, Z; Pan, X F; Xu, J; Jin, L; Yang, H G

    2008-01-01

    Ultrathin diamond-like carbon (DLC) films with thicknesses of 5-60 nm have been prepared on Si by plasma-based ion implantation. Raman spectrum and x-ray photoelectron spectroscopy (XPS) show that these DLC films present high sp 3 /sp 2 ratios. XPS also displays that each DLC film firmly adheres to the Si substrate owing to a C-Si transition layer. Atomic force microscopy shows that the DLC films are smooth and compact with average roughness (R a ) of about 0.25 nm. Sliding friction experiments reveal that these DLC films show significantly improved tribological performance. With increase of DLC film thickness, the sp 3 /sp 2 ratio increases, the roughness decreases, the hardness increases, the adhesive wear lightens and thereby the tribological performance becomes enhanced. Also, the effects of the applied load and the reciprocating frequency on the tribological performance are discussed

  5. Study of the effects of E × B fields as mechanism to carbon-nitrogen plasma immersion ion implantation on stainless steel samples

    Science.gov (United States)

    Pillaca, E. J. D. M.; Ueda, M.; Oliveira, R. M.; Pichon, L.

    2014-08-01

    Effects of E × B fields as mechanism to carbon-nitrogen plasma immersion ion implantation (PIII) have been investigated. This magnetic configuration when used in PIII allows obtaining high nitrogen plasma density close to the ion implantation region. Consequently, high ions dose on the target is possible to be achieved compared with standard PIII. In this scenario, nitrogen and carbon ions were implanted simultaneously on stainless steel, as measured by GDOES and detected by X-ray diffraction. Carbon-tape disposed on the sample-holder was sputtered by intense bombardment of nitrogen ions, being the source of carbon atoms in this experiment. The implantation of both N and C caused changes on sample morphology and improvement of the tribological properties of the stainless steel.

  6. Low flux and low energy helium ion implantation into tungsten using a dedicated plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Pentecoste, Lucile [GREMI, CNRS/Université d’Orléans, 14 rue d’Issoudun, B.P. 6744, 45067 Orléans Cedex2 (France); Thomann, Anne-Lise, E-mail: anne-lise.thomann@univ-orleans.fr [GREMI, CNRS/Université d’Orléans, 14 rue d’Issoudun, B.P. 6744, 45067 Orléans Cedex2 (France); Melhem, Amer; Caillard, Amael; Cuynet, Stéphane; Lecas, Thomas; Brault, Pascal [GREMI, CNRS/Université d’Orléans, 14 rue d’Issoudun, B.P. 6744, 45067 Orléans Cedex2 (France); Desgardin, Pierre; Barthe, Marie-France [CNRS, UPR3079 CEMHTI, 1D avenue de la Recherche Scientifique, 45071 Orléans Cedex2 (France)

    2016-09-15

    The aim of this work is to investigate the first stages of defect formation in tungsten (W) due to the accumulation of helium (He) atoms inside the crystal lattice. To reach the required implantation conditions, i.e. low He ion fluxes (10{sup 11}–10{sup 14} ions.cm{sup 2}.s{sup −1}) and kinetic energies below the W atom displacement threshold (about 500 eV for He{sup +}), an ICP source has been designed and connected to a diffusion chamber. Implantation conditions have been characterized by means of complementary diagnostics modified for measurements in this very low density helium plasma. It was shown that lowest ion fluxes could only be reached for the discharge working in capacitive mode either in α or γ regime. Special attention was paid to control the energy gained by the ions by acceleration through the sheath at the direct current biased substrate. At very low helium pressure, in α regime, a broad ion energy distribution function was evidenced, whereas a peak centered on the potential difference between the plasma and the biased substrate was found at higher pressures in the γ mode. Polycrystalline tungsten samples were exposed to the helium plasma in both regimes of the discharge and characterized by positron annihilation spectroscopy in order to detect the formed vacancy defects. It was found that W vacancies are able to be formed just by helium accumulation and that the same final implanted state is reached, whatever the operating mode of the capacitive discharge.

  7. Titanium and aluminium ions implanted by plasma on polyethylene

    International Nuclear Information System (INIS)

    Cruz, G.J.; Olayo, M.G.; Lopez, R.; Granda, E.; Munoz, A.; Valencia, R.; Morales, J.

    2007-01-01

    The ion implantation by plasma of titanium and aluminum on polyethylene thin films (PE) is presented. The results indicate that the polymers reacted firstly with the oxygen and/or nitrogen carrying gases, and later its received the metallic particles that formed thin films. The stainless steel and the titanium formed a single phase. The metallic layers grew in the interval of 1 to 2 nm/min, its are thin, but enough to change the hardness of the polymer that it is increased in more of 20 times. (Author)

  8. Diamondlike carbon deposition on plastic films by plasma source ion implantation

    CERN Document Server

    Tanaka, T; Shinohara, M; Takagi, T

    2002-01-01

    Application of pulsed high negative voltage (approx 10 mu s pulse width, 300-900 pulses per second) to a substrate is found to induce discharge, thereby increasing ion current with an inductively coupled plasma source. This plasma source ion beam implantation (PSII) technique is investigated for the pretreatment and deposition of diamond-like carbon (DLC) thin layer on polyethylene terepthalate (PET) film. Pretreatment of PET with N sub 2 and Ar plasma is expected to provide added barrier effects when coupled with DLC deposition, with possible application to fabrication of PET beverage bottles. PSII treatment using N sub 2 and Ar in separate stages is found to change the color of the PET film, effectively increasing near-ultraviolet absorption. The effects of this pretreatment on the chemical bonding of C, H, and O are examined by x-ray photoelectron spectroscopy (XPS). DLC thin film was successfully deposited on the PET film. The surface of the DLC thin layer is observed to be smooth by scanning electron mic...

  9. Ion implantation

    International Nuclear Information System (INIS)

    Dearnaley, Geoffrey

    1975-01-01

    First, ion implantation in semiconductors is discussed: ion penetration, annealing of damage, gettering, ion implanted semiconductor devices, equipement requirements for ion implantation. The importance of channeling for ion implantation is studied. Then, some applications of ion implantation in metals are presented: study of the corrosion of metals and alloys; influence or ion implantation on the surface-friction and wear properties of metals; hyperfine interactions in implanted metals

  10. Mechanical and tribological properties of AISI 304 stainless steel nitrided by glow discharge compared to ion implantation and plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Foerster, C.E.; Serbena, F.C.; Silva, S.L.R. da; Lepienski, C.M.; Siqueira, C.J. de M.; Ueda, M.

    2007-01-01

    Results about mechanical and tribological behavior of AISI 304 stainless steel nitrided by three different ion beam processes - glow discharge (GD), ion implantation (II) and plasma immersion ion implantation (PI3) are reported. Expanded austenite γ N and nitrides phases (Fe 2+x N, γ'-Fe 4 N and Cr-N) were identified as a function of nitriding conditions. Hardness (H) and elastic modulus (E) profiles were obtained by instrumented penetration. The hardness reached values as high as 21 GPa by PI3. Tribological behavior was studied by reciprocating sliding tests with a WC (Co) ball at room temperature (RT) in dry condition. Different wear regimes were identified in the friction coefficient profiles. The profile form and the running-in distance are strongly dependent on the nitriding process. Adhesive and abrasive wear components can be inferred from these friction profiles. Hardness and tribological performance, after the nitriding processes, are discussed in terms of surface microstructure

  11. Optimization of a plasma immersion ion implantation process for shallow junctions in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Ray, Ashok; Nori, Rajashree; Bhatt, Piyush; Lodha, Saurabh; Pinto, Richard, E-mail: rpinto@ee.iitb.ac.in; Rao, Valipe Ramgopal [Department of Electrical Engineering, Indian Institute of Technology Bombay, Mumbai 400076 (India); Jomard, François; Neumann-Spallart, Michael [Groupe d' Étude de la Matière Condensée, C.N.R.S./Université de Versailles-St.Quentin, 45, Avenue des États-Unis, 78035 Versailles Cedex (France)

    2014-11-01

    A plasma immersion ion implantation (PIII) process has been developed for realizing shallow doping profiles of phosphorus and boron in silicon using an in-house built dual chamber cluster tool. High Si etch rates observed in a 5% PH{sub 3} in H{sub 2} plasma have been ascribed to high concentration of H(α) radicals. Therefore, subsequent work was carried out with 5% PH{sub 3} in He, leading to much smaller etch rates. By optical emission spectroscopy, the radical species H(α), PH*{sub 2}, and PH* have been identified. The concentration of all three species increased with pressure. Also, ion concentrations increased with pressure as evidenced by Langmuir data, with a maximum occurring at 0.12 mbar. The duty cycle of pulsed DC bias has a significant bearing on both the implantation and the etching process as it controls the leakage of positive charge collected at the surface of the silicon wafer during pulse on-time generated primarily due to secondary electron emission. The P implant process was optimized for a duty cycle of 10% or less at a pressure of 0.12 mbar with implant times as low as 30 s. Secondary ion mass spectroscopy showed a P dopant depth of 145 nm after rapid thermal annealing (RTA) at 950 °C for 5 s, resulting in a sheet resistance of 77 Ω/◻. Si n{sup +}/p diodes fabricated with phosphorus implantation using optimized PIII and RTA conditions exhibit J{sub on}/J{sub off} > 10{sup 6} with an ideality factor of nearly 1.2. Using similar conditions, shallow doping profiles of B in silicon have also been realized.

  12. Ion source with plasma cathode

    International Nuclear Information System (INIS)

    Yabe, E.

    1987-01-01

    A long lifetime ion source with plasma cathode has been developed for use in ion implantation. In this ion source, a plasma of a nonreactive working gas serves as a cathode in place of a thermionic tungsten filament used in the Freeman ion source. In an applied magnetic field, the plasma is convergent, i.e., filamentlike; in zero magnetic field, it turns divergent and spraylike. In the latter case, the plasma exhibits a remarkable ability when the working gas has an ionization potential larger than the feed gas. By any combination of a working gas of either argon or neon and a feed gas of AsF 5 or PF 5 , the lifetime of this ion source was found to be more than 90 h with an extraction voltage of 40 kV and the corresponding ion current density 20 mA/cm 2 . Mass spectrometry results show that this ion source has an ability of generating a considerable amount of As + and P + ions from AsF 5 and PF 5 , and hence will be useful for realizing a fully cryopumped ion implanter system. This ion source is also eminently suitable for use in oxygen ion production

  13. Ion implantation into diamond

    International Nuclear Information System (INIS)

    Sato, Susumu

    1994-01-01

    The graphitization and the change to amorphous state of diamond surface layer by ion implantation and its characteristics are reported. In the diamond surface, into which more than 10 16 ions/cm 2 was implanted, the diamond crystals are broken, and the structure changes to other carbon structure such as amorphous state or graphite. Accompanying this change of structure, the electric conductivity of the implanted layer shows two discontinuous values due to high resistance and low resistance. This control of structure can be done by the temperature of the base during the ion implantation into diamond. Also it is referred to that by the base temperature during implantation, the mutual change of the structure between amorphous state and graphite can be controlled. The change of the electric resistance and the optical characteristics by the ion implantation into diamond surface, the structural analysis by Raman spectroscopy, and the control of the structure of the implanted layer by the base temperature during implantation are reported. (K.I.)

  14. Surface modification effects of fluorine-doped tin dioxide by oxygen plasma ion implantation

    Science.gov (United States)

    Tang, Peng; Liu, Cai; Zhang, Jingquan; Wu, Lili; Li, Wei; Feng, Lianghuan; Zeng, Guanggen; Wang, Wenwu

    2018-04-01

    SnO2:F (FTO), as a kind of transparent conductive oxide (TCO), exhibits excellent transmittance and conductivity and is widely used as transparency electrodes in solar cells. It's very important to modifying the surface of FTO for it plays a critical role in CdTe solar cells. In this study, modifying effects of oxygen plasma on FTO was investigated systematically. Oxygen plasma treatment on FTO surface with ion accelerating voltage ranged from 0.4 kV to 1.6 kV has been processed. The O proportion of surface was increased after ion implantation. The Fermi level of surface measurement by XPS valance band spectra was lowered as the ion accelerating voltage increased to 1.2 kV and then raised as accelerating voltage was elevated to 1.6 kV. The work function measured by Kelvin probe force microscopy increased after ion implanting, and it was consistent with the variation of Fermi level. The change of energy band structure of FTO surface mainly originated from the surface composition variation. As FTO conduction was primarily due to oxyanion hole, the carrier was electron and its concentration was reduced while O proportion was elevated at the surface of FTO, as a result, the Fermi level lowered and the work function was enlarged. It was proved that oxygen plasma treatment is an effective method to modulate the energy band structure of the surface as well as other properties of FTO, which provides much more space for interface and surface modification and then photoelectric device performance promotion.

  15. Argon ion implantation inducing modifications in the properties of benzene plasma polymers

    International Nuclear Information System (INIS)

    Rangel, E.C.; Cruz, N.C.; Santos, D.C.R.; Algatti, M.A.; Mota, R.P.; Honda, R.Y.; Silva, P.A.F.; Costa, M.S.; Tabacniks, M.H.

    2002-01-01

    Benzene plasma polymer films were bombarded with Ar ions by plasma immersion ion implantation. The treatments were performed using argon pressure of 3 Pa and 70 W of applied power. The substrate holder was polarized with high voltage negative pulses (25 kV, 3 Hz). Exposure time to the immersion plasma, t, was varied from 0 to 9000 s. Optical gap and chemical composition of the samples were determined by ultraviolet-visible and Rutherford backscattering spectroscopies, respectively. Film wettability was investigated by the contact angle between a water drop and the film surface. Nanoindentation technique was employed in the hardness measurements. It was observed growth in carbon and oxygen concentrations while there was decrease in the concentration of H atoms with increasing t. Furthermore, film hardness and wettability increased and the optical gap decreased with t. Interpretation of these results is proposed in terms of the chain crosslinking and unsaturation

  16. Plasma and ion beam processing at Los Alamos

    International Nuclear Information System (INIS)

    Rej, D.J.; Davis, H.A.; Henins, I.

    1994-01-01

    Efforts are underway at Los Alamos National Laboratory to utilize plasma and intense ion beam science and technology of the processing of advanced materials. A major theme involves surface modification of materials, e.g., etching, deposition, alloying, and implantation. In this paper, we concentrate on two programs, plasma source ion implantation and high-intensity pulsed ion beam deposition

  17. Influence of ion source configuration and its operation parameters on the target sputtering and implantation process.

    Science.gov (United States)

    Shalnov, K V; Kukhta, V R; Uemura, K; Ito, Y

    2012-06-01

    In the work, investigation of the features and operation regimes of sputter enhanced ion-plasma source are presented. The source is based on the target sputtering with the dense plasma formed in the crossed electric and magnetic fields. It allows operation with noble or reactive gases at low pressure discharge regimes, and, the resulting ion beam is the mixture of ions from the working gas and sputtering target. Any conductive material, such as metals, alloys, or compounds, can be used as the sputtering target. Effectiveness of target sputtering process with the plasma was investigated dependently on the gun geometry, plasma parameters, and the target bias voltage. With the applied accelerating voltage from 0 to 20 kV, the source can be operated in regimes of thin film deposition, ion-beam mixing, and ion implantation. Multi-component ion beam implantation was applied to α-Fe, which leads to the surface hardness increasing from 2 GPa in the initial condition up to 3.5 GPa in case of combined N(2)-C implantation. Projected range of the implanted elements is up to 20 nm with the implantation energy 20 keV that was obtained with XPS depth profiling.

  18. Highly Stripped Ion Sources for MeV Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Hershcovitch, Ady

    2009-06-30

    manufacturing industry by lowering power consumption by as much as 30 kW per ion implanter. Major problem was meeting commercialization goals did not succeed for the following reasons (which were discovered after R&D completion): record output of high charge state phosphorous would have thermally damage wafers; record high charge state of antimony requires tool (ion implanting machine in ion implantation jargon) modification, which did not make economic sense due to the small number of users. High fraction boron ion was delivered to PVI client Axcelis for retrofit and implantation testing; the source could have reduced beam preinjector power consumption by a factor of 3.5. But, since the source generated some lithium (though in miniscule amounts); last minute decision was made not to employ the source in implanters. An additional noteworthy reason for failure to commercialize is the fact that the ion implantation manufacturing industry had been in a very deep bust cycle. BNL, however, has benefited from advances in high-charge state ion generation, due to the need high charge state ions in some RHIC preinjectors. Since the invention of the transistor, the trend has been to miniaturize semiconductor devices. As semiconductors become smaller (and get miniaturized), ion energy needed for implantation decreases, since shallow implantation is desired. But, due to space charge (intra-ion repulsion) effects, forming and transporting ion beams becomes a rather difficult task. A few small manufacturers of low quality semiconductors use plasma immersion to circumvent the problem. However, in plasma immersion undesired plasma impurity ions are also implanted; hence, the quality of those semiconductors is poor. For high quality miniature semiconductor manufacturing, pure, low energy ion beams are utilized. But, low energy ion implanters are characterized by low current (much lower than desirable) and, therefore, low production rates. Consequently, increasing the current of pure low energy

  19. High-intensity low energy titanium ion implantation into zirconium alloy

    Science.gov (United States)

    Ryabchikov, A. I.; Kashkarov, E. B.; Pushilina, N. S.; Syrtanov, M. S.; Shevelev, A. E.; Korneva, O. S.; Sutygina, A. N.; Lider, A. M.

    2018-05-01

    This research describes the possibility of ultra-high dose deep titanium ion implantation for surface modification of zirconium alloy Zr-1Nb. The developed method based on repetitively pulsed high intensity low energy titanium ion implantation was used to modify the surface layer. The DC vacuum arc source was used to produce metal plasma. Plasma immersion titanium ions extraction and their ballistic focusing in equipotential space of biased electrode were used to produce high intensity titanium ion beam with the amplitude of 0.5 A at the ion current density 120 and 170 mA/cm2. The solar eclipse effect was used to prevent vacuum arc titanium macroparticles from appearing in the implantation area of Zr sample. Titanium low energy (mean ion energy E = 3 keV) ions were implanted into zirconium alloy with the dose in the range of (5.4-9.56) × 1020 ion/cm2. The effect of ion current density, implantation dose on the phase composition, microstructure and distribution of elements was studied by X-ray diffraction, scanning electron microscopy and glow-discharge optical emission spectroscopy, respectively. The results show the appearance of Zr-Ti intermetallic phases of different stoichiometry after Ti implantation. The intermetallic phases are transformed from both Zr0.7Ti0.3 and Zr0.5Ti0.5 to single Zr0.6Ti0.4 phase with the increase in the implantation dose. The changes in phase composition are attributed to Ti dissolution in zirconium lattice accompanied by the lattice distortions and appearance of macrostrains in intermetallic phases. The depth of Ti penetration into the bulk of Zr increases from 6 to 13 μm with the implantation dose. The hardness and wear resistance of the Ti-implanted zirconium alloy were increased by 1.5 and 1.4 times, respectively. The higher current density (170 mA/cm2) leads to the increase in the grain size and surface roughness negatively affecting the tribological properties of the alloy.

  20. High-intensity laser for Ta and Ag implantation into different substrates for plasma diagnostics

    Energy Technology Data Exchange (ETDEWEB)

    Cutroneo, M., E-mail: cutroneo@ujf.cas.cz [Nuclear Physics Institute, AS CR, 25068 Rez (Czech Republic); Mackova, A.; Malinsky, P. [Nuclear Physics Institute, AS CR, 25068 Rez (Czech Republic); Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Matousek, J. [Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Torrisi, L. [Department of Physics and Earth Sciences, Messina University, V.le F.S. d’Alcontres 31, 98166 S. Agata, Messina (Italy); Ullschmied, J. [Institute of Physics, ASCR, v.v.i., 182 21 Prague 8 (Czech Republic)

    2015-07-01

    High-intensity lasers generating non-equilibrium plasma, can be employed to accelerate ions in the keV–MeV region, useful for many applications. In the present work, we performed study of ion implantation into different substrates by using a high-intensity laser at the PALS laboratory in Prague. Multi-energy ions generated by plasma from Ta and Ag targets were implanted into polyethylene and metallic substrates (Al, Ti) at energies of tens of keV per charge state. The ion emission was monitored online using time-of-flight detectors and electromagnetic deflection systems. Rutherford Backscattering Spectrometry (RBS) was used to characterise the elemental composition in the implanted substrates by ion plasma emission and to provide the implanted ion depth profiling. These last measurements enable offline plasma characterisation and provide information on the useful potentiality of multi-ion species and multi-energy ion implantation into different substrates. XPS analysis gives information on the chemical bonds and their modifications in the first superficial implanted layers. The depth distributions of implanted Ta and Ag ions were compared with the theoretical ones achieved by using the SRIM-2012 simulation code.

  1. Plasma source ion implantation process for corrosion protection of 6061 aluminum

    International Nuclear Information System (INIS)

    Zhang, L.; Booske, J.H.; Shohet, J.L.; Jacobs, J.R.; Bernardini, A.J.

    1995-01-01

    This paper describes results of an investigation of the feasibility of using nitrogen plasma source ion implantation (PSII) treatment to improve corrosion resistance of 6061 aluminum to salt water. Flat Al samples were implanted with various doses of nitrogen. The surface microstructures and profiles of Al and N in the flat samples were examined using transmission electron microscopy (TEM), scanning Auger microprobe, x-ray diffraction. Corrosion properties of the samples and the components were evaluated using both a 500 hour salt spray field test and a laboratory electrochemical corrosion system. The tested samples were then analyzed by scanning electron microscopy. Corrosion measurements have demonstrated that PSII can significantly improve the pitting resistance of 6061 aluminum. By correlating the analytical results with the corrosion test results, it has been verified that the improved corrosion resistance in PSII-treated coupons is due to the formation of a continuous AlN layer. It was also identified that the formation of a continuous AlN layer. It was also identified that the formation of a continuous AlN layer is mainly determined by the bias voltage and the total integrated implantation dose, and relatively insensitive to factors such as the plasma source, pulse length, or frequency

  2. Improvement on corrosion resistance of NiTi orthopedic materials by carbon plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Poon, Ray W.Y.; Ho, Joan P.Y.; Luk, Camille M.Y.; Liu Xuanyong; Chung, Jonathan C.Y.; Chu, Paul K.; Yeung, Kelvin W.K.; Lu, William W.; Cheung, Kenneth M.C.

    2006-01-01

    Nickel-titanium shape memory alloys (NiTi) have potential applications as orthopedic implants because of their unique super-elastic properties and shape memory effects. However, the problem of out-diffusion of harmful Ni ions from the alloys during prolonged use inside a human body must be overcome before they can be widely used in orthopedic implants. In this work, we enhance the corrosion resistance of NiTi using carbon plasma immersion ion implantation and deposition (PIII and D). Our corrosion and simulated body fluid tests indicate that either an ion-mixed amorphous carbon coating fabricated by PIII and D or direct carbon PIII can drastically improve the corrosion resistance and block the out-diffusion of Ni from the materials. Results of atomic force microscopy (AFM) indicate that both C 2 H 2 -PIII and D and C 2 H 2 -PIII do not roughen the original flat surface to an extent that can lead to degradation in corrosion resistance

  3. Plasma Immersion Ion Implantation with Solid Targets for Space and Aerospace Applications

    International Nuclear Information System (INIS)

    Oliveira, R. M.; Goncalves, J. A. N.; Ueda, M.; Silva, G.; Baba, K.

    2009-01-01

    This paper describes successful results obtained by a new type of plasma source, named as Vaporization of Solid Targets (VAST), for treatment of materials for space and aerospace applications, by means of plasma immersion ion implantation and deposition (PIII and D). Here, the solid element is vaporized in a high pressure glow discharge, being further ionized and implanted/deposited in a low pressure cycle, with the aid of an extra electrode. First experiments in VAST were run using lithium as the solid target. Samples of silicon and aluminum alloy (2024) were immersed into highly ionized lithium plasma, whose density was measured by a double Langmuir probe. Measurements performed with scanning electron microscopy (SEM) showed clear modification of the cross-sectioned treated silicon samples. X-ray photoelectron spectroscopy (XPS) analysis revealed that lithium was implanted/deposited into/onto the surface of the silicon. Implantation depth profiles may vary according to the condition of operation of VAST. One direct application of this treatment concerns the protection against radiation damage for silicon solar cells. For the case of the aluminum alloy, X-ray diffraction analysis indicated the appearance of prominent new peaks. Surface modification of A12024 by lithium implantation/deposition can lower the coefficient of friction and improve the resistance to fatigue of this alloy. Recently, cadmium was vaporized and ionized in VAST. The main benefit of this element is associated with the improvement of corrosion resistance of metallic substrates. Besides lithium and cadmium, VAST allows to performing PIII and D with other species, leading to the modification of the near-surface of materials for distinct purposes, including applications in the space and aerospace areas.

  4. Inner surface modification of a tube by magnetic glow-arc plasma source ion implantation

    International Nuclear Information System (INIS)

    Zhang Guling; Chinese Academy of Sciences, Beijing; Wang Jiuli; Feng Wenran; Chen Guangliang; Gu Weichao; Niu Erwu; Fan Songhua; Liu Chizi; Yang Size; Wu Xingfang

    2006-01-01

    A new method named the magnetic glow-arc plasma source ion implantation (MGA-PSII) is proposed for inner surface modification of tubes. In MGA-PSII, under the control of an axial magnetic field, which is generated by an electric coil around the tube sample, glow arc plasma moves spirally into the tube from its two ends. A negative voltage applied on the tube realized its inner surface implantation. Titanium nitride (TiN) films are prepared on the inner surface of a stainless steel tube in diameter 90 mm and length 600 mm. Hardness tests show that the hardness at the tube centre is up to 20 GPa. XRD, XPS and AES analyses demonstrate that good quality of TiN films can be achieved. (authors)

  5. Inner Surface Modification of a Tube by Magnetic Glow-Arc Plasma Source Ion Implantation

    Science.gov (United States)

    Zhang, Gu-Ling; Wang, Jiu-Li; Wu, Xing-Fang; Feng, Wen-Ran; Chen, Guang-Liang; Gu, Wei-Chao; Niu, Er-Wu; Fan, Song-Hua; Liu, Chi-Zi; Yang, Si-Ze

    2006-05-01

    A new method named the magnetic glow-arc plasma source ion implantation (MGA-PSII) is proposed for inner surface modification of tubes. In MGA-PSII, under the control of an axial magnetic field, which is generated by an electric coil around the tube sample, glow arc plasma moves spirally into the tube from its two ends. A negative voltage applied on the tube realized its inner surface implantation. Titanium nitride (TiN) films are prepared on the inner surface of a stainless steel tube in diameter 90 mm and length 600 mm. Hardness tests show that the hardness at the tube centre is up to 20 GPa. XRD, XPS and AES analyses demonstrate that good quality of TiN films can be achieved.

  6. Very broad beam metal ion source for large area ion implantation application

    International Nuclear Information System (INIS)

    Brown, I.; Anders, S.; Dickinson, M.R.; MacGill, R.A.; Yao, X.

    1993-01-01

    The authors have made and operated a very broad beam version of vacuum arc ion source and used it to carry out high energy metal ion implantation of a particularly large substrate. A multiple-cathode vacuum arc plasma source was coupled to a 50 cm diameter beam extractor (multiple aperture, accel-decel configuration) operated at a net extraction voltage of up to 50 kV. The metal ion species chosen were Ni and Ta. The mean ion charge state for Ni and Ta vacuum arc plasmas is 1.8 and 2.9, respectively, and so the mean ion energies were up to about 90 and 145 keV, respectively. The ion source was operated in a repetitively pulsed mode with pulse length 250 μs and repetition rate several pulses per second. The extracted beam had a gaussian profile with FWHM about 35 cm, giving a nominal beam area of about 1,000 cm 2 . The current of Ni or Ta metal ions in the beam was up to several amperes. The targets for the ion implantation were a number of 24-inch long, highly polished Cu rails from an electromagnetic rail gun. The rails were located about 80 cm away from the ion source extractor grids, and were moved across a diameter of the vessel in such a way as to maximize the uniformity of the implant along the rail. The saturation retained dose for Ta was limited to about 4 x 10 16 cm -2 because of the rather severe sputtering, in accordance with the theoretical expectations for these implantation conditions. Here they describe the ion source, the implantation procedure, and the kinds of implants that can be produced in this way

  7. Bio-functionalisation of polyether ether ketone using plasma immersion ion implantation

    Science.gov (United States)

    Wakelin, Edgar; Yeo, Giselle; Kondyurin, Alexey; Davies, Michael; McKenzie, David; Weiss, Anthony; Bilek, Marcela

    2015-12-01

    Plasma immersion ion implantation (PIII) is used here to improve the surface bioactivity of polyether ether ketone (PEEK) by modifying the chemical and mechanical properties and by introducing radicals. Modifications to the chemical and mechanical properties are characterised as a function of ion fluence (proportional to treatment time) to determine the suitability of the treated surfaces for biological applications. Radical generation increases with treatment time, where treatments greater than 400 seconds result in a high concentration of long-lived radicals. Radical reactions are responsible for oxidation of the surface, resulting in a permanent increase in the polar surface energy. The nano-scale reduced modulus was found to increase with treatment time at the surface from 4.4 to 5.2 GPa. The macromolecular Young's modulus was also found to increase, but by an amount corresponding to the volume fraction of the ion implanted region. The treated surface layer exhibited cracking under cyclical loads, associated with an increased modulus due to dehydrogenation and crosslinking, however it did not show any sign of delamination, indicating that the modified layer is well integrated with the substrate - a critical factor for bioactive surface coatings to be used in-vivo. Protein immobilisation on the PIII treated surfaces was found to saturate after 240 seconds of treatment, indicating that there is room to tune surface mechanical properties for specific applications without affecting the protein coverage. Our findings indicate that the modification of the chemical and mechanical properties by PIII treatments as well as the introduction of radicals render PEEK well suited for use in orthopaedic implantable devices.

  8. Two-dimensional particle-in-cell plasma source ion implantation of a prolate spheroid target

    International Nuclear Information System (INIS)

    Cheng-Sen, Liu; Hong-Ying, Han; Xiao-Qing, Peng; Ye, Chang; De-Zhen, Wang

    2010-01-01

    A two-dimensional particle-in-cell simulation is used to study the time-dependent evolution of the sheath surrounding a prolate spheroid target during a high voltage pulse in plasma source ion implantation. Our study shows that the potential contour lines pack more closely in the plasma sheath near the vertex of the major axis, i.e. where a thinner sheath is formed, and a non-uniform total ion dose distribution is incident along the surface of the prolate spheroid target due to the focusing of ions by the potential structure. Ion focusing takes place not only at the vertex of the major axis, where dense potential contour lines exist, but also at the vertex of the minor axis, where sparse contour lines exist. This results in two peaks of the received ion dose, locating at the vertices of the major and minor axes of the prolate spheroid target, and an ion dose valley, staying always between the vertices, rather than at the vertex of the minor axis

  9. Two-dimensional particle-in-cell plasma source ion implantation of a prolate spheroid target

    Science.gov (United States)

    Liu, Cheng-Sen; Han, Hong-Ying; Peng, Xiao-Qing; Chang, Ye; Wang, De-Zhen

    2010-03-01

    A two-dimensional particle-in-cell simulation is used to study the time-dependent evolution of the sheath surrounding a prolate spheroid target during a high voltage pulse in plasma source ion implantation. Our study shows that the potential contour lines pack more closely in the plasma sheath near the vertex of the major axis, i.e. where a thinner sheath is formed, and a non-uniform total ion dose distribution is incident along the surface of the prolate spheroid target due to the focusing of ions by the potential structure. Ion focusing takes place not only at the vertex of the major axis, where dense potential contour lines exist, but also at the vertex of the minor axis, where sparse contour lines exist. This results in two peaks of the received ion dose, locating at the vertices of the major and minor axes of the prolate spheroid target, and an ion dose valley, staying always between the vertices, rather than at the vertex of the minor axis.

  10. Dispersion and absorption of longitudinal electro-kinetic wave in ion-implanted GaN semiconductor plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Soni, Dilip [Government P G Madhav Science College, Ujjain (M P) (India); Sharma, Giriraj, E-mail: grsharma@gmail.com [SRJ Government Girls’ College, Neemuch (M P) (India); Saxena, Ajay [Government College, Garoth, Dist. Mandsaur (M P) (India); Jadhav, Akhilesh [Government J Yoganandam Chhattisgarh College, Raipur (C G) (India)

    2015-07-31

    An analytical study on propagation characteristics of longitudinal electro-kinetic (LEK) waves is presented. Based on multi-fluid model of plasma, we have derived a dispersion relation for LEK waves in colloid laden GaN semiconductor plasmas. It is assumed that ions are implanted to form colloids in the GaN sample. The colloids are continuously bombarded by the plasma particles and stick on them, but they acquire a net negative charge due to relatively higher mobility of electrons. It is found from the dispersion relation that the presence of charged colloids not only modifies the existing modes but also supports new novel modes of LEKWs. It is hoped that the study would enhance understanding on dispersion and absorption of LEKWs and help in singling out the appropriate configurations in which GaN crystal would be better suited for fabrication of microwave devices.

  11. Study of creep of Ti-6Al-4V alloy using plasma immersion ion implantation (PIII)

    International Nuclear Information System (INIS)

    Zepka, Susana; Yogi, Lucila Mayumi; Silva, Maria Margareth da; Reis, Danieli Aparecida Pereira; Moura Neto, Carlos de; Oliveira, Vinicius Souza de; Ueda, Mario

    2010-01-01

    This study aims to investigate the creep resistance of the Ti-6Al-4V alloy after surface modification by plasma immersion ion implantation (PIII). For the PIII treatment it was used nitrogen gas (ion implantation) to the formation of plasma, the material was treated for 100 minutes. After PIII treatment the samples were analyzed using the techniques of X-ray diffraction, spectrometry energy dispersive X-ray and atomic force microscopy. The creep tests were realized at 600°C, at constant load of 250 and 319 MPa. After the creep tests the samples were analyzed by optical microscopy and scanning electron microscopy. By chemical analysis by X-ray and EDS it is possible to determinate the Ti 2 N on the surface. Through the study of the creep curves it is observed an increasing in creep resistance of the alloy after PIII treatment. (author)

  12. Growth of surface structures correlated with structural and mechanical modifications of brass by laser-induced Si plasma ions implantation

    Science.gov (United States)

    Ahmad, Shahbaz; Bashir, Shazia; Rafique, M. Shahid; Yousaf, Daniel

    2017-04-01

    Laser-produced Si plasma is employed as an ion source for implantation on the brass substrate for its surface, structural, and mechanical modifications. Thomson parabola technique is employed for the measurement of energy and flux of Si ions using CR-39. In response to stepwise increase in number of laser pulses from 3000 to 12000, four brass substrates were implanted by laser-induced Si plasma ions of energy 290 keV at different fluxes ranging from 45 × 1012 to 75 × 1015 ions/cm2. SEM analysis reveals the formation of nano/micro-sized irregular shaped cavities and pores for the various ion fluxes for varying numbers of laser pulses from 3000 to 9000. At the maximum ion flux for 12,000 pulses, distinct and organized grains with hexagonal and irregular shaped morphology are revealed. X-ray diffractometer (XRD) analysis exhibits that a new phase of CuSi (311) is identified which confirms the implantation of Si ions in brass substrate. A significant decrease in mechanical properties of implanted brass, such as Yield Stress (YS), Ultimate Tensile Strength (UTS), and hardness, with increasing laser pulses from 3000 to 6000 is observed. However, with increasing laser pulses from 9000 to a maximum value of 12,000, an increase in mechanical properties like hardness, YS, and UTS is observed. The generation as well as annihilation of defects, recrystallization, and intermixing of Si precipitates with brass matrix is considered to be responsible for variations in surface, structural, and mechanical modifications of brass.

  13. A direct plasma injection system into an RFQ for clean and safe ion implantation

    International Nuclear Information System (INIS)

    Takeuchi, T.; Katayama, T.; Okamura, M.; Yano, K.; Sakumi, A.; Hattori, T.; Hayashizaki, N.; Jameson, R.A.

    2002-01-01

    A new injection system, direct plasma injection system, was tested and its principle was proved successfully. We found that one of advantages of this injection system was efficient consumption of source materials. Large portions of induced ions can be injected into a first stage accelerator. This feature is quite useful for ion implantation applications, because toxic exhaust gas can be eliminated. In order to utilize this system for industrial application, the feasibility of a boron injection scheme using a Nd:YAG laser system was investigated

  14. Conversion electron Moessbauer spectroscopy of plasma immersion ion implanted H13 tool steel

    International Nuclear Information System (INIS)

    Terwagne, G.; Hutchings, R.

    1994-01-01

    Conversion electron Moessbauer spectroscopy (CEMS) has been used to investigate nitride formation in AISI-H13 tool steel after treatment by plasma immersion ion implantation (PI 3 ) at 350 C. With only slight variation in the plasma conditions, it is possible to influence the kinetics of nitride precipitation so as to obtain nitrogen concentrations that range from those associated with ε-Fe 2 N through ε-Fe 3 N to γ'-Fe 4 N. The CEMS results enable a more definite identification of the nitrides than that obtained by glancing-angle X-ray diffraction and nuclear reaction analysis alone. (orig.)

  15. Magnetic insulation of secondary electrons in plasma source ion implantation

    International Nuclear Information System (INIS)

    Rej, D.J.; Wood, B.P.; Faehl, R.J.; Fleischmann, H.H.

    1993-01-01

    The uncontrolled loss of accelerated secondary electrons in plasma source ion implantation (PSII) can significantly reduce system efficiency and poses a potential x-ray hazard. This loss might be reduced by a magnetic field applied near the workpiece. The concept of magnetically-insulated PSII is proposed, in which secondary electrons are trapped to form a virtual cathode layer near the workpiece surface where the local electric field is essentially eliminated. Subsequent electrons that are emitted can then be reabsorbed by the workpiece. Estimates of anomalous electron transport from microinstabilities are made. Insight into the process is gained with multi-dimensional particle-in-cell simulations

  16. Modification of the Properties of Vanadium Oxide Thin Films by Plasma-Immersion Ion Implantation

    Directory of Open Access Journals (Sweden)

    Sergey Burdyukh

    2018-01-01

    Full Text Available The paper describes the effect of doping with hydrogen and tungsten by means of plasma-immersion ion implantation (PIII on the properties of vanadium dioxide and hydrated vanadium pentoxide films. It is shown that the parameters of the metal-insulator phase transition in VO2 thin films depend on the hydrogen implantation dose. Next, we explore the effect of PIII on composition, optical properties, and the internal electrochromic effect (IECE in V2O5·nH2O films. The variations in the composition and structure caused by the hydrogen insertion, as well as those caused by the electrochromic effect, are studied by nuclear magnetic resonance, thermogravimetry, Raman spectroscopy, and X-ray structural analysis. It is shown that the ion implantation-induced hydrogenation can substantially enhance the manifestation and performance of the IECE in V2O5 xerogel films. Finally, the effect of PIII-assisted doping with W on the parameters of electrical switching in Au/V2O5·nH2O/Au sandwich structures is examined. It is shown that implanting small tungsten doses improves the switching parameters after forming. When implanting large doses, switching is observed without electroforming, and if electroforming is applied, the switching effect, on the contrary, disappears.

  17. Experimental studies of thorium ion implantation from pulse laser plasma into thin silicon oxide layers

    Science.gov (United States)

    Borisyuk, P. V.; Chubunova, E. V.; Lebedinskii, Yu Yu; Tkalya, E. V.; Vasilyev, O. S.; Yakovlev, V. P.; Strugovshchikov, E.; Mamedov, D.; Pishtshev, A.; Karazhanov, S. Zh

    2018-05-01

    We report the results of experimental studies related to implantation of thorium ions into thin silicon dioxide by pulsed plasma flux expansion. Thorium ions were generated by laser ablation from a metal target, and the ionic component of the laser plasma was accelerated in an electric field created by the potential difference (5, 10 and 15 kV) between the ablated target and a SiO2/Si (0 0 1) sample. The laser ablation system installed inside the vacuum chamber of the electron spectrometer was equipped with a YAG:Nd3  +  laser having a pulse energy of 100 mJ and time duration of 15 ns in the Q-switched regime. The depth profile of thorium atoms implanted into the 10 nm thick subsurface areas together with their chemical state as well as the band gap of the modified silicon oxide at different conditions of implantation processes were studied by means of x-ray photoelectron spectroscopy and reflected electron energy loss spectroscopy methods. Analysis of the chemical composition showed that the modified silicon oxide film contains complex thorium silicates. Depending on the local concentration of thorium atoms, the experimentally established band gaps were located in the range 6.0–9.0 eV. Theoretical studies of the optical properties of the SiO2 and ThO2 crystalline systems were performed by ab initio calculations within hybrid functional. The optical properties of the SiO2/ThO2 composite were interpreted on the basis of the Bruggeman effective medium approximation. A quantitative assessment of the yield of isomeric nuclei in ‘hot’ laser plasma at the early stages of expansion was performed. The estimates made with experimental results demonstrated that the laser implantation of thorium ions into the SiO2 matrix can be useful for further research of low-lying isomeric transitions in a 229Th isotope with energy of 7.8 +/- 0.5 eV.

  18. Modernization of serial facility 'BULAT-6' for synthesis of vacuum-arc coatings by the method of plasma-based ion implantation and deposition as well as ion hydrogen-free nitriding

    International Nuclear Information System (INIS)

    Shulaev, V.M.; Andreev, A.A.; Rudenko, V.P.

    2008-01-01

    The model of laboratory vacuum-arc facility for realization of the method of plasma-based ion implantation and deposition is worked out by means modernization of serial industrial facility 'BULAT-6'. The facility is suitable for surface modification of instrumental steel items, including the low-alloyed steels with low temperatures of tempering. The low-temperature deposition of coatings on the preliminary nitrided surface of instrument permits obtaining dense coating with minimum maintenance of macroparticles, as well as with coatings superhigh adhesion to the substrate and with superhardness. The coatings possess high property stableness in time.

  19. Thermal stress resistance of ion implanted sapphire crystals

    International Nuclear Information System (INIS)

    Gurarie, V.N.; Jamieson, D.N.; Szymanski, R.; Orlov, A.V.; Williams, J.S.; Conway, M.

    1999-01-01

    Monocrystals of sapphire have been subjected to ion implantation with 86 keV Si - and 80 keV Cr - ions to doses in the range of 5x10 14 -5x10 16 cm -2 prior to thermal stress testing in a pulsed plasma. Above a certain critical dose ion implantation is shown to modify the near-surface structure of samples by introducing damage, which makes crack nucleation easier under the applied stress. The effect of ion dose on the stress resistance is investigated and the critical doses which produce a noticeable change in the stress resistance are determined. The critical dose for Si ions is shown to be much lower than that for Cr - ions. However, for doses exceeding 2x10 16 cm -2 the stress resistance parameter decreases to approximately the same value for both implants. The size of the implantation-induced crack nucleating centers and the density of the implantation-induced defects are considered to be the major factors determining the stress resistance of sapphire crystals irradiated with Si - and Cr - ions

  20. Investigation of Steel Surfaces Treated by a Hybrid Ion Implantation Technique

    International Nuclear Information System (INIS)

    Reuther, H.; Richter, E.; Prokert, F.; Ueda, M.; Beloto, A. F.; Gomes, G. F.

    2004-01-01

    Implantation of nitrogen ions into stainless steel in combination with oxidation often results in a decrease or even complete removal of the chromium in the nitrogen containing outermost surface layer. While iron nitrides can be formed easily by this method, due to the absence of chromium, the formation of chromium nitrides is impossible and the beneficial influence of chromium in the steel for corrosion resistance cannot be used. To overcome this problem we use the following hybrid technique. A thin chromium layer is deposited on steel and subsequently implanted with nitrogen ions. Chromium can be implanted by recoil into the steel surface and thus the formation of iron/chromium nitrides should be possible. Both beam line ion implantation and plasma immersion ion implantation are used. Due to the variation of the process parameters, different implantation profiles and different compounds are produced. The produced layers are characterized by Auger electron spectroscopy, conversion electron Moessbauer spectroscopy and X-ray diffraction. The obtained results show that due to the variation of the implantation parameters, the formation of iron/chromium nitrides can be achieved and that plasma immersion ion implantation is the most suitable technique for the enrichment of chromium in the outermost surface layer of the steel when compared to the beam line implantation.

  1. Fourth-generation plasma immersion ion implantation and deposition facility for hybrid surface modification layer fabrication

    International Nuclear Information System (INIS)

    Wang Langping; Huang Lei; Xie Zhiwen; Wang Xiaofeng; Tang Baoyin

    2008-01-01

    The fourth-generation plasma immersion ion implantation and deposition (PIIID) facility for hybrid and batch treatment was built in our laboratory recently. Comparing with our previous PIIID facilities, several novel designs are utilized. Two multicathode pulsed cathodic arc plasma sources are fixed on the chamber wall symmetrically, which can increase the steady working time from 6 h (the single cathode source in our previous facilities) to about 18 h. Meanwhile, the inner diameter of the pulsed cathodic arc plasma source is increased from the previous 80 to 209 mm, thus, large area metal plasma can be obtained by the source. Instead of the simple sample holder in our previous facility, a complex revolution-rotation sample holder composed of 24 shafts, which can rotate around its axis and adjust its position through revolving around the center axis of the vacuum chamber, is fixed in the center of the vacuum chamber. In addition, one magnetron sputtering source is set on the chamber wall instead of the top cover in the previous facility. Because of the above characteristic, the PIIID hybrid process involving ion implantation, vacuum arc, and magnetron sputtering deposition can be acquired without breaking vacuum. In addition, the PIIID batch treatment of cylinderlike components can be finished by installing these components on the rotating shafts on the sample holder

  2. Fourth-generation plasma immersion ion implantation and deposition facility for hybrid surface modification layer fabrication.

    Science.gov (United States)

    Wang, Langping; Huang, Lei; Xie, Zhiwen; Wang, Xiaofeng; Tang, Baoyin

    2008-02-01

    The fourth-generation plasma immersion ion implantation and deposition (PIIID) facility for hybrid and batch treatment was built in our laboratory recently. Comparing with our previous PIIID facilities, several novel designs are utilized. Two multicathode pulsed cathodic arc plasma sources are fixed on the chamber wall symmetrically, which can increase the steady working time from 6 h (the single cathode source in our previous facilities) to about 18 h. Meanwhile, the inner diameter of the pulsed cathodic arc plasma source is increased from the previous 80 to 209 mm, thus, large area metal plasma can be obtained by the source. Instead of the simple sample holder in our previous facility, a complex revolution-rotation sample holder composed of 24 shafts, which can rotate around its axis and adjust its position through revolving around the center axis of the vacuum chamber, is fixed in the center of the vacuum chamber. In addition, one magnetron sputtering source is set on the chamber wall instead of the top cover in the previous facility. Because of the above characteristic, the PIIID hybrid process involving ion implantation, vacuum arc, and magnetron sputtering deposition can be acquired without breaking vacuum. In addition, the PIIID batch treatment of cylinderlike components can be finished by installing these components on the rotating shafts on the sample holder.

  3. Laser activation of Ultra Shallow Junctions (USJ) doped by Plasma Immersion Ion Implantation (PIII)

    International Nuclear Information System (INIS)

    Vervisch, Vanessa; Larmande, Yannick; Delaporte, Philippe; Sarnet, Thierry; Sentis, Marc; Etienne, Hasnaa; Torregrosa, Frank; Cristiano, Fuccio; Fazzini, Pier Francesco

    2009-01-01

    Today, the main challenges for the realization of the source/drain extensions concern the ultra-low energy implantation and the activation of the maximum amount of dopants with a minimized diffusion. Among the different annealing processes, one solution is the laser thermal annealing. Many studies [F. Torregrosa, C. Laviron, F. Milesi, M. Hernandez, H. Faik, J. Venturini, Proc. 14th International Conference on Ion Implant Technology, 2004; M. Hernandez, J. Venturini, D. Zahorski, J. Boulmer, D. Debarre, G. Kerrien, T. Sarnet, C. Laviron, M.N Semeria, D. Camel, J.L Santailler, Appl. Surf. Sci. 208-209 (2003) 345-351] have shown that the association of Plasma Immersion Ion Implantation (PIII) and Laser Thermal Process (LTP) allows to obtain junctions of a few nanometers with a high electrical activation. All the wafers studied have been implanted by PULSION (PIII implanter developed by Ion Beam Services) with an acceleration voltage of 1 kV and a dose of 6 x 10 15 at./cm 2 . In this paper, we compare the annealing process achieved with three excimer lasers: ArF, KrF and XeCl with a wavelength of respectively 193, 248 and 308 nm. We analyse the results in terms of boron activation and junction depth. To complete this study, we have observed the effect of pre-amorphization implantation (PAI) before PIII process on boron implantation and boron activation. We show that Ge PAI implanted by classical beam line allows a decrease of the junction depth from 20 down to 12 nm in the as-implanted condition. Transmission Electron Microscopy (TEM) analyses were performed in order to study the structure of pre-amorphized silicon and to estimate the thickness of the amorphous layer. In order to determine the sheet resistance (R s ) and the junction depth (X j ), we have used the four-point probe technique (4PP) and secondary ion mass spectrometry (SIMS) analysis. To complete the electrical characterizations some samples have been analyzed by non-contact optical measurements. All the

  4. Amorphous carbon nitrogenated films prepared by plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Rangel, Elidiane C.; Durrant, Steven F.; Rangel, Rita C.C.; Kayama, Milton E.; Landers, Richard; Cruz, Nilson C. da

    2006-01-01

    In this work, an investigation was conducted on amorphous hydrogenated-nitrogenated carbon films prepared by plasma immersion ion implantation and deposition. Glow discharge was excited by radiofrequency power (13.56 MHz, 40 W) whereas the substrate-holder was biased with 25 kV negative pulses. The films were deposited from benzene, nitrogen and argon mixtures. The proportion of nitrogen in the chamber feed (R N ) was varied against that of argon, while keeping the total pressure constant (1.3 Pa). From infrared reflectance-absorbance spectroscopy it was observed that the molecular structure of the benzene is not preserved in the film. Nitrogen was incorporated from the plasma while oxygen arose as a contaminant. X-ray photoelectron spectroscopy revealed that N/C and O/C atomic ratios change slightly with R N . Water wettability decreased as the proportion of N in the gas phase increased while surface roughness underwent just small changes. Nanoindentation measurements showed that film deposition by means of ion bombardment was beneficial to the mechanical properties of the film-substrate interface. The intensity of the modifications correlates well with the degree of ion bombardment

  5. Ion implantation

    International Nuclear Information System (INIS)

    Johnson, E.

    1986-01-01

    It is the purpose of the present paper to give a review of surface alloy processing by ion implantation. However, rather than covering this vast subject as a whole, the survey is confined to a presentation of the microstructures that can be found in metal surfaces after ion implantation. The presentation is limited to alloys processed by ion implantation proper, that is to processes in which the alloy compositions are altered significantly by direct injection of the implanted ions. The review is introduced by a presentation of the processes taking place during development of the fundamental event in ion implantation - the collision cascade, followed by a summary of the various microstructures which can be formed after ion implantation into metals. This is compared with the variability of microstructures that can be achieved by rapid solidification processing. The microstructures are subsequently discussed in the light of the processes which, as the implantations proceed, take place during and immediately after formation of the individual collision cascades. These collision cascades define the volumes inside which individual ions are slowed down in the implanted targets. They are not only centres for vigorous agitation but also the sources for formation of excess concentrations of point defects, which will influence development of particular microstructures. A final section presents a selection of specific structures which have been observed in different alloy systems. (orig./GSCH)

  6. Enhanced Physicochemical and Biological Properties of Ion-Implanted Titanium Using Electron Cyclotron Resonance Ion Sources

    Directory of Open Access Journals (Sweden)

    Csaba Hegedűs

    2016-01-01

    Full Text Available The surface properties of metallic implants play an important role in their clinical success. Improving upon the inherent shortcomings of Ti implants, such as poor bioactivity, is imperative for achieving clinical use. In this study, we have developed a Ti implant modified with Ca or dual Ca + Si ions on the surface using an electron cyclotron resonance ion source (ECRIS. The physicochemical and biological properties of ion-implanted Ti surfaces were analyzed using various analytical techniques, such as surface analyses, potentiodynamic polarization and cell culture. Experimental results indicated that a rough morphology was observed on the Ti substrate surface modified by ECRIS plasma ions. The in vitro electrochemical measurement results also indicated that the Ca + Si ion-implanted surface had a more beneficial and desired behavior than the pristine Ti substrate. Compared to the pristine Ti substrate, all ion-implanted samples had a lower hemolysis ratio. MG63 cells cultured on the high Ca and dual Ca + Si ion-implanted surfaces revealed significantly greater cell viability in comparison to the pristine Ti substrate. In conclusion, surface modification by electron cyclotron resonance Ca and Si ion sources could be an effective method for Ti implants.

  7. Physico-chemical and mechanical modifications of polyethylene and polypropylene by ion implantation, micro-wave plasma, electron beam radiation and gamma ray irradiation; Modifications physico-chimiques et mecaniques du polyethylene et du polypropylene par implantation ionique, plasma micro-ondes, bombardement d`electrons et irradiation gamma

    Energy Technology Data Exchange (ETDEWEB)

    Liao, J D

    1995-03-29

    A polyolefin surface becomes wettable when treated by micro-wave plasma or low-dose nitrogen ion implantation. A short time argon plasma treatment is sufficient to obtain polarizable peroxides on a polyolefin. X-ray photoelectron spectroscopy analyses, paramagnetic electronic resonance analyses, peroxides decomposition, wettability measurements and infrared active spectra analyses have shown that oxidized structures obtained from different treatment techniques play an important role in the interpretation of surface chemical properties of the polymer. Micro-wave plasma treatment, and in particular argon plasma treatment, yields more polarizable groups than ion implantation and is interesting for grafting. Hardness and elasticity modulus, measured by nano-indentation on a polyolefin, increase with an appropriate ion implantation dose. A 1.4 x 10{sup 17} ions.cm{sup -2} dose can multiply by 15 the hardness of high molecular weight polyethylene, and by 7 the elasticity modulus for a 30 nm depth. The viscous-plastic to quasi-elastic transition is shown. The thickness of the modified layer is over 300 nm. The study of friction between a metal sphere and a polyethylene cupula shows that ion implantation in the polymer creates a reticulated hard and elastic layer which improves its mechanical properties and reduces the erosion rate. Surface treatments on polymers used as biomaterials allow to adapt the surface properties to specific applications. 107 refs., 66 figs., 19 tabs., 4 annexes.

  8. Conversion electron Mössbauer spectroscopy of plasma immersion ion implanted H13 tool steel

    Science.gov (United States)

    Terwagne, G.; Collins, G. A.; Hutchings, R.

    1994-12-01

    Conversion electron Mössbauer spectroscopy (CEMS) has been used to investigate nitride formation in AISI-H13 tool steel after treatment by plasma immersion ion implantation (PI3) at 350 °C. With only slight variation in the plasma conditions, it is possible to influence the kinetics of nitride precipitation so as to obtain nitrogen concentrations that range from those associated with ɛ-Fe2N through ɛ-Fe3N to γ'-Fe4N. The CEMS results enable a more definite identification of the nitrides than that obtained by glancing-angle X-ray diffraction and nuclear reaction analysis alone.

  9. Application of nitrogen plasma immersion ion implantation to titanium nasal implants with nanonetwork surface structure

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Ying-Sui; Yang, Wei-En [Department of Dentistry, National Yang-Ming University, Taipei 112, Taiwan (China); Zhang, Lan [State Key Laboratory for Mechanical Behavior of Materials, Xi' an Jiaotong University, Xi' an 710049 (China); Zhu, Hongqin [State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 200050 (China); Lan, Ming-Ying [Division of Rhinology, Department of Otolaryngology Head and Neck Surgery, Taipei Veterans General Hospital, Taipei 112, Taiwan and School of Medicine, National Yang-Ming University, Taipei 112, Taiwan (China); Lee, Sheng-Wei [Institute of Materials Science and Engineering, National Central University, Taoyuan 320, Taiwan (China); Huang, Her-Hsiung, E-mail: hhhuang@ym.edu.tw [Department of Dentistry, National Yang-Ming University, Taipei 112, Taiwan (China); Institute of Oral Biology, National Yang-Ming University, Taipei 112, Taiwan (China); Graduate Institute of Basic Medical Science, China Medical University, Taichung 404, Taiwan (China); Department of Medical Research, China Medical University Hospital, Taichung 407, Taiwan (China); Department of Bioinformatics and Medical Engineering, Asia University, Taichung 413, Taiwan (China); Department of Stomatology, Taipei Veterans General Hospital, Taipei 112, Taiwan (China)

    2016-07-15

    In nasal reconstruction, the response of cells to titanium (Ti) implants is mainly determined by surface features of the implant. In a pilot study, the authors applied electrochemical anodization to Ti surfaces in an alkaline solution to create a network of nanoscale surface structures. This nanonetwork was intended to enhance the responses of primary human nasal epithelial cell (HNEpC) to the Ti surface. In this study, the authors then treated the anodized, nanonetwork-structured Ti surface using nitrogen plasma immersion ion implantation (NPIII) in order to further improve the HNEpC response to the Ti surface. Subsequently, surface characterization was performed to elucidate morphology, roughness, wettability, and chemistry of specimens. Cytotoxicity, blood, and HNEpC responses were also evaluated. Our results demonstrate that NPIII treatment led to the formation of a noncytotoxic TiN-containing thin film (thickness <100 nm) on the electrochemically anodized Ti surface with a nanonetwork-structure. NPIII treatment was shown to improve blood clotting and the adhesion of platelets to the anodized Ti surface as well as the adhesion and proliferation of hNEpC. This research spreads our understanding of the fact that a TiN-containing thin film, produced using NPIII treatment, could be used to improve blood and HNEpC responses to anodized, nanonetwork-structured Ti surfaces in nasal implant applications.

  10. Controlled fabrication of Si nanocrystal delta-layers in thin SiO2 layers by plasma immersion ion implantation for nonvolatile memories

    International Nuclear Information System (INIS)

    Bonafos, C.; Ben-Assayag, G.; Groenen, J.; Carrada, M.; Spiegel, Y.; Torregrosa, F.; Normand, P.; Dimitrakis, P.; Kapetanakis, E.; Sahu, B. S.; Slaoui, A.

    2013-01-01

    Plasma Immersion Ion Implantation (PIII) is a promising alternative to beam line implantation to produce a single layer of nanocrystals (NCs) in the gate insulator of metal-oxide semiconductor devices. We report herein the fabrication of two-dimensional Si-NCs arrays in thin SiO 2 films using PIII and rapid thermal annealing. The effect of plasma and implantation conditions on the structural properties of the NC layers is examined by transmission electron microscopy. A fine tuning of the NCs characteristics is possible by optimizing the oxide thickness, implantation energy, and dose. Electrical characterization revealed that the PIII-produced-Si NC structures are appealing for nonvolatile memories

  11. The effect of ion implantation on the oxidation resistance of vacuum plasma sprayed CoNiCrAlY coatings

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Jie [Key Laboratory of Inorganic Coating Materials, Chinese Academy of Sciences, Shanghai 200050 (China); Shanghai Institute of Ceramic, Chinese Academy of Sciences, Shanghai 200050 (China); Graduate School of the Chinese Academy of Sciences, Beijing 100039 (China); Zhao Huayu; Zhou Xiaming [Key Laboratory of Inorganic Coating Materials, Chinese Academy of Sciences, Shanghai 200050 (China); Shanghai Institute of Ceramic, Chinese Academy of Sciences, Shanghai 200050 (China); Tao Shunyan, E-mail: shunyantao@mail.sic.ac.cn [Key Laboratory of Inorganic Coating Materials, Chinese Academy of Sciences, Shanghai 200050 (China); Shanghai Institute of Ceramic, Chinese Academy of Sciences, Shanghai 200050 (China); Ding Chuanxian [Key Laboratory of Inorganic Coating Materials, Chinese Academy of Sciences, Shanghai 200050 (China); Shanghai Institute of Ceramic, Chinese Academy of Sciences, Shanghai 200050 (China)

    2012-11-15

    Highlights: Black-Right-Pointing-Pointer We used ion implantation to improve the oxidation resistance of CoNiCrAlY coating. Black-Right-Pointing-Pointer The oxidation process of CoNiCrAlY coating at 1100 Degree-Sign C for 1000 h was studied. Black-Right-Pointing-Pointer The Nb ion implanted coating exhibited better oxidation resistance. Black-Right-Pointing-Pointer The influences of Nb and Al ion implantation into CoNiCrAlY coatings were evaluated. - Abstract: CoNiCrAlY coatings prepared by vacuum plasma spraying (VPS) were implanted with Nb and Al ions at a fluence of 10{sup 17} atoms/cm{sup 2}. The effects of ion implantation on the oxidation resistance of CoNiCrAlY coatings were investigated. The thermally grown oxide (TGO) formed on each specimen was characterized by XRD, SEM and EDS, respectively. The results showed that the oxidation process of CoNiCrAlY coatings could be divided into four stages and the key to obtaining good oxidation resistance was to remain high enough amount of Al and promote the lateral growth of TGO. The implantation of Nb resulted in the formation of continuous and dense Al{sub 2}O{sub 3} scale to improve the oxidation resistance. The Al implanted coating could form Al{sub 2}O{sub 3} scale at the initial stage, however, the scale was soon broken and TGO transformed to non-protective spinel.

  12. Operations manual for the plasma source ion implantation economics program

    International Nuclear Information System (INIS)

    Bibeault, M.L.; Thayer, G.R.

    1995-10-01

    Plasma Source Ion Implantation (PSII) is a surface modification technique for metal. PSIICOSTMODEL95 is an EXCEL-based program that estimates the cost for implementing a PSII system in a manufacturing setting where the number of parts to be processed is over 5,000 parts per day and the shape of each part does not change from day to day. Overall, the manufacturing process must be very well defined and should not change. This document is a self-contained manual for PSIICOSTMODEL95. It assumes the reader has some general knowledge of the technical requirements for PSII. Configuration of the PSII process versus design is used as the methodology in PSIICOSTMODEL95. The reason behind this is twofold. First, the design process cannot be programmed into a computer when the relationships between design variables are not understood. Second, the configuration methodology reduces the number of assumptions that must be programmed into our software. Misuse of results are less likely to occur if the user has fewer assumptions to understand

  13. The difference between the metal ion extracted from the R.F. ion source by applying plasma chemistry reaction and by non-plasma range chemistry reaction

    International Nuclear Information System (INIS)

    Bai Gui Bin

    1987-01-01

    The paper introduced the difference between using plasma chemistry reaction draw metal ion and non-plasma range chemistry reaction in the R.F. ion source. By using of the plasma chemistry reaction draw metal ion higher percentage than non-plasma range chemistry reaction in the R.F. ion source. The authors plasma chemistry reaction to R.F. ion source and implanter successfully. The effect is very well, it has its own characteristic

  14. Ion implantation on nickel targets by means of repetitive plasma focus device

    Energy Technology Data Exchange (ETDEWEB)

    Vitulli, S.; Rapezzi, L. [ENEA Brasimone, Camugnano, Bologna (Italy); Apicella, M.L.; Samuelli, M. [ENEA Frascati, Frascati, Roma (Italy)

    2004-07-01

    Some test has been done in order to assess the possible use of a plasma focus as an implanter. The device utilized is the repetitive Plasma Focus operating in the ENEA Brasimone Center. The implanted sample is a sheet of Nickel with a surface of 17 cm{sup 2} inserted in a rigid sample at a variable distance from the top of the anode. After irradiation the sample is analyzed with Auger spectroscopy that provides the surface concentration of the various elements on the sample at different implantation depths. The result of the analysis shows that the Plasma Focus is an effective implantation source, even for metallurgical applications. (orig.)

  15. Composition, structure and morphology of oxide layers formed on austenitic stainless steel by oxygen plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Anandan, C.; Rajam, K.S.

    2007-01-01

    Oxygen ions were implanted in to austenitic stainless steel by plasma immersion ion implantation at 400 deg. C. The implanted samples were characterized by XPS, GIXRD, micro-Raman, AFM, optical and scanning electron microscopies. XPS studies showed the presence of Fe in elemental, as Fe 2+ in oxide form and as Fe 3+ in the form of oxyhydroxides in the substrate. Iron was present in the oxidation states of Fe 2+ and Fe 3+ in the implanted samples. Cr and Mn were present as Cr 3+ and Mn 2+ , respectively, in both the substrate and implanted samples. Nickel remained unaffected by implantation. GIXRD and micro-Raman studies showed the oxide to be a mixture of spinel and corundum structures. Optical and AFM images showed an island structure on underlying oxide. This island structure was preserved at different thicknesses. Further, near the grain boundaries more oxide growth was found. This is explained on the basis of faster diffusion of oxygen in the grain boundary regions. Measurement of total hemispherical optical aborptance, α and emittance, ε of the implanted sample show that it has good solar selective properties

  16. Controlled fabrication of Si nanocrystal delta-layers in thin SiO{sub 2} layers by plasma immersion ion implantation for nonvolatile memories

    Energy Technology Data Exchange (ETDEWEB)

    Bonafos, C.; Ben-Assayag, G.; Groenen, J.; Carrada, M. [CEMES-CNRS and Université de Toulouse, 29 rue J. Marvig, 31055 Toulouse Cedex 04 (France); Spiegel, Y.; Torregrosa, F. [IBS, Rue G Imbert Prolongée, ZI Peynier-Rousset, 13790 Peynier (France); Normand, P.; Dimitrakis, P.; Kapetanakis, E. [NCSRD, Terma Patriarchou Gregoriou, 15310 Aghia Paraskevi (Greece); Sahu, B. S.; Slaoui, A. [ICube, 23 Rue du Loess, 67037 Strasbourg Cedex 2 (France)

    2013-12-16

    Plasma Immersion Ion Implantation (PIII) is a promising alternative to beam line implantation to produce a single layer of nanocrystals (NCs) in the gate insulator of metal-oxide semiconductor devices. We report herein the fabrication of two-dimensional Si-NCs arrays in thin SiO{sub 2} films using PIII and rapid thermal annealing. The effect of plasma and implantation conditions on the structural properties of the NC layers is examined by transmission electron microscopy. A fine tuning of the NCs characteristics is possible by optimizing the oxide thickness, implantation energy, and dose. Electrical characterization revealed that the PIII-produced-Si NC structures are appealing for nonvolatile memories.

  17. Quantitative ion implantation

    International Nuclear Information System (INIS)

    Gries, W.H.

    1976-06-01

    This is a report of the study of the implantation of heavy ions at medium keV-energies into electrically conducting mono-elemental solids, at ion doses too small to cause significant loss of the implanted ions by resputtering. The study has been undertaken to investigate the possibility of accurate portioning of matter in submicrogram quantities, with some specific applications in mind. The problem is extensively investigated both on a theoretical level and in practice. A mathematical model is developed for calculating the loss of implanted ions by resputtering as a function of the implanted ion dose and the sputtering yield. Numerical data are produced therefrom which permit a good order-of-magnitude estimate of the loss for any ion/solid combination in which the ions are heavier than the solid atoms, and for any ion energy from 10 to 300 keV. The implanted ion dose is measured by integration of the ion beam current, and equipment and techniques are described which make possible the accurate integration of an ion current in an electromagnetic isotope separator. The methods are applied to two sample cases, one being a stable isotope, the other a radioisotope. In both cases independent methods are used to show that the implantation is indeed quantitative, as predicted. At the same time the sample cases are used to demonstrate two possible applications for quantitative ion implantation, viz. firstly for the manufacture of calibration standards for instrumental micromethods of elemental trace analysis in metals, and secondly for the determination of the half-lives of long-lived radioisotopes by a specific activity method. It is concluded that the present study has advanced quantitative ion implantation to the state where it can be successfully applied to the solution of problems in other fields

  18. Evolution of arsenic in high fluence plasma immersion ion implanted silicon: Behavior of the as-implanted surface

    Energy Technology Data Exchange (ETDEWEB)

    Vishwanath, V. [Applied Materials, 3225 Oakmead Village Drive, Santa Clara, CA 95052 (United States); Demenev, E. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Department of Molecular Science and Nanosystems, Ca’Foscari University, Dorsoduro 2137, 30123 Venice (Italy); Giubertoni, D., E-mail: giuberto@fbk.eu [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Vanzetti, L. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Koh, A.L. [Stanford Nanocharacterization Laboratory, Stanford University, 476 Lomita Mall, Stanford, CA 94305 (United States); Steinhauser, G. [Colorado State University, Environmental and Radiological Health Sciences, Fort Collins, CO 80523 (United States); Leibniz Universität Hannover, Institut für Radioökologie und Strahlenschutz, 30419 Hannover (Germany); Pepponi, G.; Bersani, M. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Meirer, F., E-mail: f.meirer@uu.nl [Inorganic Chemistry and Catalysis, Utrecht University, Utrecht 3584 CG (Netherlands); Foad, M.A. [Applied Materials, 3225 Oakmead Village Drive, Santa Clara, CA 95052 (United States)

    2015-11-15

    Highlights: • Samples prepared by high fluence, low-energy PIII of AsH{sub 3}{sup +} on Si(1 0 0) were studied. • PIII is of high technological interest for ultra-shallow doping and activation. • We used a multi-technique approach to study the As-implanted surface. • We show that PIII presents a new set of problems that needs to be tackled. • The presented study goes toward understanding the root mechanisms involved. - Abstract: High fluence (>10{sup 15} ions/cm{sup 2}) low-energy (<2 keV) plasma immersion ion implantation (PIII) of AsH{sub 3}{sup +} on (1 0 0) silicon was investigated, with the focus on stability and retention of the dopant. At this dose, a thin (∼3 nm) amorphous layer forms at the surface, which contains about 45% arsenic (As) in a silicon and oxygen matrix. The presence of silicon indicates that the layer is not only a result of deposition, but predominantly ion mixing. High fluence PIII introduces high concentration of arsenic, modifying the stopping power for incoming ions resulting in an increased deposition. When exposed to atmosphere, the arsenic rich layer spontaneously evolves forming arsenolite As{sub 2}O{sub 3} micro-crystals at the surface. The micro-crystal formation was monitored over several months and exhibits typical crystal growth kinetics. At the same time, a continuous growth of native silicon oxide rich in arsenic was observed on the exposed surface, suggesting the presence of oxidation enhancing factors linked to the high arsenic concentration at the surface.

  19. RBS analysis of ions implanted in light substrates exposed to hot plasmas laser-generated at PALS

    Czech Academy of Sciences Publication Activity Database

    Torrisi, L.; Gammino, S.; Picciotto, A.; Wolowski, J.; Krása, Josef; Láska, Leoš; Calcagnile, L.; Quarta, G.

    2005-01-01

    Roč. 160, 10-12 (2005), s. 685-695 ISSN 1042-0150. [Workshop PIBHI 2005 /2./. Giardini Naxos, 08.06.06-11.06.06] R&D Projects: GA MŠk(CZ) LC528 Institutional research plan: CEZ:AV0Z10100523 Keywords : RBS analysis * ion implantation * plasma-generated by lasers Subject RIV: BH - Optics, Masers, Lasers Impact factor: 0.353, year: 2005

  20. Accelerator based synthesis of hydroxyapatite by MeV ion implantation

    International Nuclear Information System (INIS)

    Rautray, Tapash R.; Narayanan, R.; Kwon, Tae-Yub; Kim, Kyo-Han

    2010-01-01

    Accelerator based MeV ion implantation of Ca 2+ and P 2+ into the titanium substrate to form hydroxyapatite (HA) has been carried out. Calcium hydroxide was formed after heating the calcium implanted titanium in air at 80 o C for 3 h. Upon subsequent annealing for 5 min at 600 o C HA was formed on the surface. Penetration depth of the HA layer in this method is much higher as compared to keV ion implantation. By elemental analysis, Ca/P ratio of the HA was found to be 1.76 which is higher than the ideal 1.67. This higher Ca/P ratio is attributed to the higher penetration depth of the MeV technique used.

  1. Nitrogen ion implantation: Barriers to industrial acceptance and prospects for the future

    International Nuclear Information System (INIS)

    Alexander, R.B.

    1989-01-01

    Nitrogen ion implantation has been used to improve the wear and fatigue resistance of metals in industrial applications since the process was developed at the UK Harwell Laboratory in the 1970s. However, implantation service companies like Ion Surface Technology have found so far that the market for nitrogen implantation is limited. Both market and technical barriers exist to more widespread acceptance in industry. Market factors include cost, industrial conservatism, and production priorities in manufacturing. Technical factors include the size of available implanters, the line-of-sight limitation of ion implantation, sputtering, and other process limitations such as shallow penetration depth. Several recent technical developments that should greatly increase market acceptance are described: 1. large-scale nitrogen implanters, 2. the non-line-of-sight plasma source ion implantation process, and 3. ion assisted coating techniques. (orig.)

  2. Structure of ion-implanted ceramics

    International Nuclear Information System (INIS)

    Naramoto, Hiroshi

    1983-01-01

    The variation of structure of LiF, MgO, Al 2 O 3 and TiO 2 accompanying annealing after ion implantation is explained. The analysis of structure is usually made by the perturbed gamma ray angular correlation, the internal electron Moessbauer method, or the ion scattering method. The results of analyses are discussed for alkali ion implantation, Fe-ion implantation, In-ion implantation, Au-ion implantation, Pt-ion implantation, Pb-ion implantation and transition metal ion implantation. The coupling of the implanted elements with lattice defects and matrix elements, and the compatibility between deposited elements and matrix crystal lattice were studied. The variation of physical properties due to ion implantation such as phase transition, volume change, the control of single crystal region, and the variation of hardness near surface were investigated, and the examples are presented. (Kato, T.)

  3. High energy metal ion implantation using 'Magis', a novel, broad-beam, Marx-generator-based ion source

    International Nuclear Information System (INIS)

    Anders, A.; Brown, I.G.; Dickinson, M.R.; MacGill, R.A.

    1996-08-01

    Ion energy of the beam formed by an ion source is proportional to extractor voltage and ion charge state. Increasing the voltage is difficult and costly for extraction voltage over 100 kV. Here we explore the possibility of increasing the charge states of metal ions to facilitate high-energy, broad beam ion implantation at a moderate voltage level. Strategies to enhance the ion charge state include operating in the regimes of high-current vacuum sparks and short pulses. Using a time-of-flight technique we have measured charge states as high as 7+ (73 kA vacuum spark discharge) and 4+ (14 kA short pulse arc discharge), both for copper, with the mean ion charge states about 6.0 and 2.5, respectively. Pulsed discharges can conveniently be driven by a modified Marx generator, allowing operation of ''Magis'' with a single power supply (at ground potential) for both plasma production and ion extraction

  4. Ion beam analysis of metal ion implanted surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P.J.; Chu, J.W.; Johnson, E.P.; Noorman, J.T. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Sood, D.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs.

  5. Ion beam analysis of metal ion implanted surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P J; Chu, J W; Johnson, E P; Noorman, J T [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Sood, D K [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs.

  6. Ion beam analysis of metal ion implanted surfaces

    International Nuclear Information System (INIS)

    Evans, P.J.; Chu, J.W.; Johnson, E.P.; Noorman, J.T.; Sood, D.K.

    1993-01-01

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs

  7. Optical effects of ion implantation

    International Nuclear Information System (INIS)

    Townsend, P.D.

    1987-01-01

    The review concerns the effects of ion implantation that specifically relate to the optical properties of insulators. Topics which are reviewed include: ion implantation, ion range and damage distributions, colour centre production by ion implantation, high dose ion implantation, and applications for integrated optics. Numerous examples are presented of both diagnostic and industrial examples of ion implantation effects in insulators. (U.K.)

  8. Magnetic filtered plasma deposition and implantation technique

    CERN Document Server

    Zhang Hui Xing; Wu Xian Ying

    2002-01-01

    A high dense metal plasma can be produced by using cathodic vacuum arc discharge technique. The microparticles emitted from the cathode in the metal plasma can be removed when the metal plasma passes through the magnetic filter. It is a new technique for making high quality, fine and close thin films which have very widespread applications. The authors describe the applications of cathodic vacuum arc technique, and then a filtered plasma deposition and ion implantation system as well as its applications

  9. Homojunction silicon solar cells doping by ion implantation

    Science.gov (United States)

    Milési, Frédéric; Coig, Marianne; Lerat, Jean-François; Desrues, Thibaut; Le Perchec, Jérôme; Lanterne, Adeline; Lachal, Laurent; Mazen, Frédéric

    2017-10-01

    Production costs and energy efficiency are the main priorities for the photovoltaic (PV) industry (COP21 conclusions). To lower costs and increase efficiency, we are proposing to reduce the number of processing steps involved in the manufacture of N-type Passivated Rear Totally Diffused (PERT) silicon solar cells. Replacing the conventional thermal diffusion doping steps by ion implantation followed by thermal annealing allows reducing the number of steps from 7 to 3 while maintaining similar efficiency. This alternative approach was investigated in the present work. Beamline and plasma immersion ion implantation (BLII and PIII) methods were used to insert n-(phosphorus) and p-type (boron) dopants into the Si substrate. With higher throughput and lower costs, PIII is a better candidate for the photovoltaic industry, compared to BL. However, the optimization of the plasma conditions is demanding and more complex than the beamline approach. Subsequent annealing was performed on selected samples to activate the dopants on both sides of the solar cell. Two annealing methods were investigated: soak and spike thermal annealing. Best performing solar cells, showing a PV efficiency of about 20%, was obtained using spike annealing with adapted ion implantation conditions.

  10. Enhanced osteogenic activity of poly ether ether ketone using calcium plasma immersion ion implantation.

    Science.gov (United States)

    Lu, Tao; Qian, Shi; Meng, Fanhao; Ning, Congqin; Liu, Xuanyong

    2016-06-01

    As a promising implantable material, poly ether ether ketone (PEEK) possesses similar elastic modulus to that of cortical bones yet suffers from bio-inertness and poor osteogenic properties, which limits its application as orthopedic implants. In this work, calcium is introduced onto PEEK surface using calcium plasma immersion ion implantation (Ca-PIII). The results obtained from scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS) confirm the modified layer with varying contents of calcium are formed on PEEK surfaces. Water contact angle measurements reveal the increasing hydrophobicity of both Ca-PIII treated surfaces. In vitro cell adhesion, viability assay, alkaline phosphatase activity and collagen secretion analyses disclose improved the adhesion, proliferation, and osteo-differentiation of rat bone mesenchymal stem cells (bMSCs) on Ca-PIII treated surfaces. The obtained results indicate that PEEK surface with enhanced osteogenic activity can be produced by calcium incorporation. Copyright © 2016 Elsevier B.V. All rights reserved.

  11. Material synthesis for silicon integrated-circuit applications using ion implantation

    Science.gov (United States)

    Lu, Xiang

    As devices scale down into deep sub-microns, the investment cost and complexity to develop more sophisticated device technologies have increased substantially. There are some alternative potential technologies, such as silicon-on-insulator (SOI) and SiGe alloys, that can help sustain this staggering IC technology growth at a lower cost. Surface SiGe and SiGeC alloys with germanium peak composition up to 16 atomic percent are formed using high-dose ion implantation and subsequent solid phase epitaxial growth. RBS channeling spectra and cross-sectional TEM studies show that high quality SiGe and SiGeC crystals with 8 atomic percent germanium concentration are formed at the silicon surface. Extended defects are formed in SiGe and SiGeC with 16 atomic percent germanium concentration. X-ray diffraction experiments confirm that carbon reduces the lattice strain in SiGe alloys but without significant crystal quality improvement as detected by RBS channeling spectra and XTEM observations. Separation by plasma implantation of oxygen (SPIMOX) is an economical method for SOI wafer fabrication. This process employs plasma immersion ion implantation (PIII) for the implantation of oxygen ions. The implantation rate for Pm is considerably higher than that of conventional implantation. The feasibility of SPIMOX has been demonstrated with successful fabrication of SOI structures implementing this process. Secondary ion mass spectrometry (SIMS) analysis and cross-sectional transmission electron microscopy (XTEM) micrographs of the SPIMOX sample show continuous buried oxide under single crystal overlayer with sharp silicon/oxide interfaces. The operational phase space of implantation condition, oxygen dose and annealing requirement has been identified. Physical mechanisms of hydrogen induced silicon surface layer cleavage have been investigated using a combination of microscopy and hydrogen profiling techniques. The evolution of the silicon cleavage phenomenon is recorded by a series

  12. Optical properties and oxidation of carbonized and cross-linked structures formed in polycarbonate by plasma immersion ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Kosobrodova, E., E-mail: elenak@physics.usyd.edu.au [Department of Applied Plasma and Physics, School of Physics, University of Sydney, NSW 2006 (Australia); Kondyurin, A. [Department of Applied Plasma and Physics, School of Physics, University of Sydney, NSW 2006 (Australia); Chrzanowski, W. [Faculty of Pharmacy, University of Sydney, NSW 2006 (Australia); Department of Nanobiomedical Science and BK21 PLUS NBM Global Research, Center for Regenerative Medicine, Dankook University, Cheonan 330-714 (Korea, Republic of); McCulloch, D.G. [School of Applied Sciences, RMIT University, Melbourne, Victoria 3001 (Australia); McKenzie, D.R.; Bilek, M.M.M. [Department of Applied Plasma and Physics, School of Physics, University of Sydney, NSW 2006 (Australia)

    2014-06-01

    Highlights: • Structure and properties of polycarbonate films spin-coated on silicon are studied. • The films have two thicknesses: thicker and thinner than a depth of ion penetration. • Effect of radio frequency plasma and plasma immersion ion implantation is compared. - Abstract: At ion fluences higher than 5 · 10{sup 15} ions/cm{sup 2}, plasma immersion ion implantation (PIII) of polycarbonate (PC) results in a formation of a carbonized surface layer. The thickness of this layer is close to the depth of ion penetration. A comparison of PIII treated, spin-coated PC films with pre-treatment thicknesses designed to match and exceed the carbonized layer thickness is employed to study the properties of the carbonised layer independently from the less modified underlying structure. At ion fluencies higher than 10{sup 16} ions/cm{sup 2}, the thinner PC film is completely transformed into an amorphous carbon-like material with no traces of the initial PC structure. The thicker films, however, incorporated two layers: a top carbonised layer and a cross-linked layer below. Compared to the two-layered PC film, the completely carbonized layer was found to have a much higher concentration of C=O bonds and much lower concentration of O–H bonds after exposure to atmospheric oxygen. The refractive index of the thicker PC films PIII treated with high ion fluencies is close to the refractive index of diamond-like carbon. Anomalous dispersion of the refractive index of the thicker PC films is observed after formation of the carbonised layer. The refractive index of the thinner PC film has normal dispersion at all ion fluences. At ion fluences of 2 · 10{sup 16} ions/cm{sup 2}, both PC films were found to have the same etching rate as polystyrene. Washing in dichloromethane had no effect on the carbonised layer but affected the underlying material in the case of the thicker PC films leading to a wrinkled structure up to ion fluences of 2 · 10{sup 16} ions/cm{sup 2}. At

  13. Plasma immersion ion implantation on 15-5PH stainless steel: influence on fatigue strength and wear resistance

    Science.gov (United States)

    Bonora, R.; Cioffi, M. O. H.; Voorwald, H. J. C.

    2017-05-01

    Surface improvement in steels is of great interest for applications in industry. The aim of this investigation is to study the effect of nitrogen ion implantation on the axial fatigue strength and wear resistance of 15-5 PH stainless steel. It is well know that electroplated coatings, which are used to improve abrasive wear and corrosion properties, affects negatively the fatigue strength. It is also important to consider requirements to reduce the use of coated materials with electroplated chromium and cadmium, that produce waste, which is harmful to health and environment. The HVOF (High velocity oxygen fuel) process provides hardness, wear strength and higher fatigue resistance in comparison to electroplated chromium. Plasma immersion ion implantation has been used to enhance the hardness, wear, fatigue and corrosion properties of metals and alloys. In the present research the fatigue life increased twice for 15-5 PH three hours PIII treated in comparison to base material. From the abrasive wear tests a lower pin mass reduction was observed, associated to the superficial treatments. The improvement of fatigue and mechanical performance is attributed to a combination of nitrides phase structure and compressive residual stresses during the PIII treatment.

  14. Plasma immersion ion implantation on 15-5PH stainless steel: influence on fatigue strength and wear resistance

    International Nuclear Information System (INIS)

    Bonora, R; Cioffi, M O H; Voorwald, H J C

    2017-01-01

    Surface improvement in steels is of great interest for applications in industry. The aim of this investigation is to study the effect of nitrogen ion implantation on the axial fatigue strength and wear resistance of 15-5 PH stainless steel. It is well know that electroplated coatings, which are used to improve abrasive wear and corrosion properties, affects negatively the fatigue strength. It is also important to consider requirements to reduce the use of coated materials with electroplated chromium and cadmium, that produce waste, which is harmful to health and environment. The HVOF (High velocity oxygen fuel) process provides hardness, wear strength and higher fatigue resistance in comparison to electroplated chromium. Plasma immersion ion implantation has been used to enhance the hardness, wear, fatigue and corrosion properties of metals and alloys. In the present research the fatigue life increased twice for 15-5 PH three hours PIII treated in comparison to base material. From the abrasive wear tests a lower pin mass reduction was observed, associated to the superficial treatments. The improvement of fatigue and mechanical performance is attributed to a combination of nitrides phase structure and compressive residual stresses during the PIII treatment. (paper)

  15. Ion implantation control system

    International Nuclear Information System (INIS)

    Gault, R. B.; Keutzer, L. L.

    1985-01-01

    A control system is disclosed for an ion implantation system of the type in which the wafers to be implanted are mounted around the periphery of a disk which rotates and also moves in a radial direction relative to an ion beam to expose successive sections of each wafer to the radiation. The control system senses beam current which passes through one or more apertures in the disk and is collected by a Faraday cup. This current is integrated to obtain a measure of charge which is compared with a calculated value based upon the desired ion dosage and other parameters. The resultant controls the number of incremental steps the rotating disk moves radially to expose the adjacent sections of each wafer. This process is continued usually with two or more traverses until the entire surface of each wafer has been implanted with the proper ion dosage

  16. Cell adhesion control by ion implantation into extra-cellular matrix

    International Nuclear Information System (INIS)

    Suzuki, Yoshiaki; Kusakabe, Masahiro; Kaibara, Makoto; Iwaki, Masaya; Sasabe, Hiroyuki; Nishisaka, Tsuyoshi

    1994-01-01

    Cell adhesion control of polymer surfaces by ion implantation into polymers and extra-cellular matrix has been studied by means of in vitro adhesion measurements of the carcinoma of the cervix (HeLa cell). The specimens used were polystyrene (PS), oxygen plasma treated polystyrene (PS-O), extra-cellular matrix (Collagen: Type I) coated polystyrene (PS-C), and gelatin coated polystyrene (PS-G). Ne + , Na + , and Ar + implantations were performed with a fluence of 1x10 15 ions/cm 2 at energies of 50, 100 and 150 keV. The chemical and physical structures of ion implanted specimens have been investigated by Fourier transform infrared spectroscopy (FT-IR-ATR), X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Ion implanted PS demonstrated a dramatic improvement of adhesion of HeLa cell. HeLa cell adhered only to ion implanted circular domains of a diameter about 0.1 mm on PS. By contrast, ion implanted PS-C, PS-G and PS-O domains inhibited the cell adhesion. These phenomena were observed on Ne + , Na + , and Ar + implanted specimens at energies of 50, 100, and 150 keV. Ion implantation broke the original chemical bonds to form new radicals such as =C=O, condensed rings, C-C, C-O and OH radical. Ion implanted PS had a large amount of new radicals compared with that of PS-C, PS-G and PS-O. Ion implantation broke NH and NH 3 bonds originating from amino acid in PS-C and PS-G. OH and =C=O caused by oxygen treatment in PS-O were also destroyed by ion implantation. It is concluded that cell adhesion to ion implanted PS was caused by carbon structure and new radicals induced by ion implantation. The inhibition of HeLa cell adhesion on PS-C, PS-G and PS-O was caused by the destruction of cell adhesion properties of amino acid, OH and =C=O by radiation effects. ((orig.))

  17. Improved corrosion resistance on biodegradable magnesium by zinc and aluminum ion implantation

    Science.gov (United States)

    Xu, Ruizhen; Yang, Xiongbo; Suen, Kai Wong; Wu, Guosong; Li, Penghui; Chu, Paul K.

    2012-12-01

    Magnesium and its alloys have promising applications as biodegradable materials, and plasma ion implantation can enhance the corrosion resistance by modifying the surface composition. In this study, suitable amounts of zinc and aluminum are plasma-implanted into pure magnesium. The surface composition, phases, and chemical states are determined, and electrochemical tests and electrochemical impedance spectroscopy (EIS) are conducted to investigate the surface corrosion behavior and elucidate the mechanism. The corrosion resistance enhancement after ion implantation is believed to stem from the more compact oxide film composed of magnesium oxide and aluminum oxide as well as the appearance of the β-Mg17Al12 phase.

  18. Low-energy plasma immersion ion implantation to induce DNA transfer into bacterial E. coli

    Energy Technology Data Exchange (ETDEWEB)

    Sangwijit, K. [Biotechnology Unit, University of Phayao, Muang, Phayao 56000 (Thailand); Yu, L.D., E-mail: yuld@thep-center.org [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Sarapirom, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Faculty of Science, Maejo University, Bang Khen, Chiang Mai 50290 (Thailand); Pitakrattananukool, S. [School of Science, University of Phayao, Muang, Phayao 56000 (Thailand); Anuntalabhochai, S. [Biotechnology Unit, University of Phayao, Muang, Phayao 56000 (Thailand)

    2015-12-15

    Plasma immersion ion implantation (PIII) at low energy was for the first time applied as a novel biotechnology to induce DNA transfer into bacterial cells. Argon or nitrogen PIII at low bias voltages of 2.5, 5 and 10 kV and fluences ranging from 1 × 10{sup 12} to 1 × 10{sup 17} ions/cm{sup 2} treated cells of Escherichia coli (E. coli). Subsequently, DNA transfer was operated by mixing the PIII-treated cells with DNA. Successes in PIII-induced DNA transfer were demonstrated by marker gene expressions. The induction of DNA transfer was ion-energy, fluence and DNA-size dependent. The DNA transferred in the cells was confirmed functioning. Mechanisms of the PIII-induced DNA transfer were investigated and discussed in terms of the E. coli cell envelope anatomy. Compared with conventional ion-beam-induced DNA transfer, PIII-induced DNA transfer was simpler with lower cost but higher efficiency.

  19. Ion implantation technology

    CERN Document Server

    Downey, DF; Jones, KS; Ryding, G

    1993-01-01

    Ion implantation technology has made a major contribution to the dramatic advances in integrated circuit technology since the early 1970's. The ever-present need for accurate models in ion implanted species will become absolutely vital in the future due to shrinking feature sizes. Successful wide application of ion implantation, as well as exploitation of newly identified opportunities, will require the development of comprehensive implant models. The 141 papers (including 24 invited papers) in this volume address the most recent developments in this field. New structures and possible approach

  20. Influence of temperature on properties of nitrogen plasma source ion implantation (N-PSII) of Ti6A14V alloy

    CERN Document Server

    Geng Man; Zhao Qing

    2001-01-01

    Specimens of Ti6Al4V alloy were implanted with nitrogen plasma source ion implantation (N-PSII) at temperatures between 100 degree C and 600 degree C to a ion dose of 4 x 10 sup 1 sup 7 cm sup - sup 2. Auger Electron Spectroscopy (AES) was used to determine the nitrogen concentration depth profiles. Microhardness measurements and pin-on-disk wear test were performed to evaluate the improvements of the surface modification. Glancing angle X-ray diffraction (XRD) was employed to determine the phases presented in the surface modified layer. The thickness of implanted layer increased by about an order of magnitude when the temperature was elevated from 100 degree C to 600 degree C. Higher surface hardness and wear resistance was also obtained at higher temperature. Scanning electron microscopy (SEM) showed distinct microstructural changes and the presence of titanium nitrides in the implanted surface

  1. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    Science.gov (United States)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; da Cruz, Nilson C.; Bortoleto, José R. R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-09-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, ETauc, of these films were obtained via transmission spectra in the ultraviolet-visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of ETauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased ETauc. The mechanical properties - hardness, elastic modulus and stiffness - of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  2. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; Cruz, Nilson C. da; Bortoleto, José R.R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-01-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, E Tauc , of these films were obtained via transmission spectra in the ultraviolet–visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of E Tauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased E Tauc . The mechanical properties – hardness, elastic modulus and stiffness – of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  3. Ion implantation and bio-compatibility

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Yoshiaki; Kusakabe, Masahiro [Sony Corp., Tokyo (Japan). Corporate Research Labs.; Iwaki, Masaya

    1992-07-01

    Surface modification of polymers by ion implantation has been carried out to control surface properties such as conductivity, wettability, blood and tissue compatibility. Ion implantation into silicone rubber, polystyrene and segmented polyurethane was performed at 150 keV with doses ranging from 1 x 10[sup 15] to 3 x 10[sup 17] ions/cm[sup 2] to improve bio-compatibility. The platelet accumulation on ion implanted silicone rubber decreased and non-thrombogenicity of ion implanted specimens were improved. The ion implanted polystyrene and segmented polyurethane have been found to exhibit remarkably higher adhesion and spreading of endothelial cells compared to the non-implanted case. It is concluded that ion implantation into polymers is effective in controlling their bio-compatibility. (author).

  4. The reduction of leading- and trailing-edge of high-voltage steep pulse in plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Zhu Zongtao; Gui Gang; Wang Zhijian; Gong Chunzhi; Yang Shiqin; Tian Xiubo

    2010-01-01

    During plasma immersion ion implantation (PIII) processes, due to the capacitance effect of the coaxial cable and plasma load, the output voltage pulse of high-voltage modulator possesses a longer leading- and trailing-edge time. The leading- and trailing-edge of the high voltage (HV) pulse have a critical effect on the ion-energy uniformity, depth and dose distribution during PIII processes. In this work, a tetrode was used as a hard tube to switch the DC high voltage, and a HV pulse modulator with a maximum pulse voltage of 40 kV was built successfully. The effect of the trailing-edge time on the implantation uniformity was simulated by one-dimension PIC method. The potential on the control grids of the tetrode was optimized to obtain a HV pulse with a short rise time. In our system, 200 V potential on grid one is utilized and the leading-edge time of pulse can be as small as 1 μs. The IGBTs in series was utilized to release the remnant charges reserved in the equivalent capacitance of the plasma load and coaxial cable. Thus the trailing-edge time of the HV pulse could be reduced. The effect of the driver signals with different delay time and the absorption parameters of each IGBTs were simulated by PSPICE software to optimize the design the electric circuit. (authors)

  5. Wear and corrosion behaviors of Ti6Al4V alloy biomedical materials by silver plasma immersion ion implantation process

    Energy Technology Data Exchange (ETDEWEB)

    Hongxi, Liu [School of Materials Science and Engineering, Kunming University of Science and Technology, Kunming 650093 (China); Qian, Xu, E-mail: vipliuhx@yahoo.com.cn [Faculty of Adult Education, Kunming University of Science and Technology, Kunming 650051 (China); Xiaowei, Zhang; Chuanqi, Wang [School of Materials Science and Engineering, Kunming University of Science and Technology, Kunming 650093 (China); Baoyin, Tang [State Key Laboratory of Advanced Welding Production Technology, Harbin Institute of Technology, Harbin 150001 (China)

    2012-10-30

    In order to improve the wear resistance and anti-corrosion behaviors of Ti6Al4V (TC4) alloy, polished samples were implanted with silver (Ag) ions by plasma immersion ion implantation (PIII) technique. The phase composition and element concentration-depth distribution in modified layer were characterized by X-ray diffraction (XRD) and Auger electron spectrum (AES). Corrosion resistance, microhardness, friction and wear behaviors of PIII-TC4 alloy changed with the Ag ion implantation dose. XRD analysis reveals that the surface modified layer consists of Ag and a small amount of TiAg phases. AES results show that Ag atomic peak concentration is 9.88%, about 14.4 nm from the surface. The maximum nanohardness and elastic modulus of PIII-TC4 alloy increases by 62.5% and 54.5%, respectively. The lowest friction coefficient reduces from 0.78 to 0.2. The test result of potentiodynamic polarization in 3.5% NaCl saturated solution indicates that the sample of Ag ion dose at 1.0 Multiplication-Sign 10{sup 17} ions/cm{sup 2} has the best corrosion resistance with the lowest corrosion current density and the least porosity.

  6. Surface and corrosion characteristics of carbon plasma implanted and deposited nickel-titanium alloy

    International Nuclear Information System (INIS)

    Poon, R.W.Y.; Liu, X.Y.; Chung, C.Y.; Chu, P.K.; Yeung, K.W.K.; Lu, W.W.; Cheung, K.M.C.

    2005-01-01

    Nickel-titanium shape memory alloys (NiTi) are potentially useful in orthopedic implants on account of their super-elastic and shape memory properties. However, the materials are prone to surface corrosion and the most common problem is out-diffusion of harmful Ni ions from the substrate into body tissues and fluids. In order to improve the corrosion resistance and related surface properties, we used the technique of plasma immersion ion implantation and deposition to deposit an amorphous hydrogenated carbon coating onto NiTi and implant carbon into NiTi. Both the deposited amorphous carbon film and carbon plasma implanted samples exhibit much improved corrosion resistances and surface mechanical properties and possible mechanisms are suggested

  7. Development of long lifetime-high current plasma cathode ion source

    International Nuclear Information System (INIS)

    Yabe, Eiji; Takayama, Kazuo; Fukui, Ryota.

    1987-01-01

    A long lifetime ion source with plasma cathode has been developed for use in ion implantation. In this ion source, a plasma of a nonreactive working gas serves as a cathode in place of a thermionic tungsten filament used in the Freeman ion source. In an applied magnetic field, the plasma cathode is convergent, i.e. filament-like; in zero magnetic field, it turns divergent and spray-like. In the latter case, the plasma exhibits a remarkable ability when the working gas has an ionization potential larger than the feed gas. By any combination of a working gas of either argon or neon and a feed gas of AsF 5 or PF 5 , the lifetime of this ion source was found to be more than 90 hours with an extraction voltage of 40 kV and the corresponding ion current density 20 mA/cm 2 . Mass spectrometry results show that this ion source has an ability of generating a considerable amount of As + and P + ions from AsF 5 and PF 5 , and hence will be useful for realizing a fully cryopumped ion implanter system. This ion source is eminently suitable for use in oxygen ion production. (author)

  8. Titanium and aluminium ions implanted by plasma on polyethylene; lones de titanio y aluminio implantados por plasma sobre polietileno

    Energy Technology Data Exchange (ETDEWEB)

    Cruz, G.J.; Olayo, M.G.; Lopez, R.; Granda, E.; Munoz, A.; Valencia, R. [ININ, 52750 La Marquesa, Estado de Mexico (Mexico); Morales, J. [UAM-I, Apdo. Postal 5534, Iztapalapa, D.F. (Mexico)]. e-mail: gcc@nuclear.inin.mx

    2007-07-01

    The ion implantation by plasma of titanium and aluminum on polyethylene thin films (PE) is presented. The results indicate that the polymers reacted firstly with the oxygen and/or nitrogen carrying gases, and later its received the metallic particles that formed thin films. The stainless steel and the titanium formed a single phase. The metallic layers grew in the interval of 1 to 2 nm/min, its are thin, but enough to change the hardness of the polymer that it is increased in more of 20 times. (Author)

  9. Ion implantation of CdTe single crystals

    International Nuclear Information System (INIS)

    Wiecek, Tomasz; Popovich, Volodymir; Bester, Mariusz; Kuzma, Marian

    2017-01-01

    Ion implantation is a technique which is widely used in industry for unique modification of metal surface for medical applications. In semiconductor silicon technology ion implantation is also widely used for thin layer electronic or optoelectronic devices production. For other semiconductor materials this technique is still at an early stage. In this paper based on literature data we present the main features of the implantation of CdTe single crystals as well as some of the major problems which are likely to occur when dealing with them. The most unexpected feature is the high resistance of these crystals against the amorphization caused by ion implantation even at high doses (10"1"7 1/cm"2). The second property is the disposal of defects much deeper in the sample then it follows from the modeling calculations. The outline of principles of the ion implantation is included in the paper. The data based on RBS measurements and modeling results obtained by using SRIM software were taken into account.

  10. Ion implantation into iron

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1978-01-01

    The distribution of implanted ions in iron, the friction characteristics and the corrosion of iron were studied. The distribution of Ni or Cr ions implanted into mild steel was measured. The accelerated voltage was 150 keV, and the beam current density was about 2 microampere/cm 2 . The measurement was made with an ion microanalyzer. The measured distribution was compared with that of LSS theory. Deep invasion of Ni was seen in the measured distribution. The distribution of Cr ions was different from the distribution calculated by the LSS theory. The relative friction coefficient of mild steel varied according to the dose of implanted Cu or N ions, and to the accelerating voltage. Formation of compound metals on the surfaces of metals by ion-implantation was investigated for the purpose to prevent the corrosion of metals. The resistance of mild steel in which Ni ions were implanted was larger than that of mild steel without any treatment. (Kato, T.)

  11. Tribological behavior of duplex coating improved by ion implantation

    International Nuclear Information System (INIS)

    Kakas, D.; Skoric, B.; Rakita, M.

    2004-01-01

    In the present paper the tribological behavior of the coatings are discussed. Duplex coatings were applied on cold working steel 100Cr6. Samples were plasma nitrided at different thickness of plasma surface layers. TiN was deposited with a classic BALZERS PVD equipment and subsequent ion implantation. Ion implantation was provided with N 5+ ions. The other samples were produced with IBAD technology in DANFYSIK chamber. Wear resistance and exchanges of friction coefficient were measured with on-line test using special designed tribology equipment. Following the tests, the wear zone morphology and characteristics of surface layer structure as well as important properties were investigated by scanning electron microscopy (SEM) and X-ray diffraction analysis (XRD). Scratch adhesion testing was performed using commercially available equipment. Energy dispersive X-ray analysis (EDAX) of the wear-scars on pins provided essential information on the wear characteristics. In this paper some results related to influence of duplex coating production methodology on tribological behavior for cold working steel was presented

  12. Plasma immersion ion implantation of the interior surface of a large cylindrical bore using an auxiliary electrode

    International Nuclear Information System (INIS)

    Zeng, X.C.; Kwok, T.K.; Liu, A.G.; Chu, P.K.; Tang, B.Y.

    1998-01-01

    A model utilizing cold, unmagnetized, and collisionless fluid ions as well as Boltzmann electrons is used to comprehensively investigate the sheath expansion into a translationally invariant large bore in the presence of an auxiliary electrode during plasma immersion ion implantation (PIII) of a cylindrical bore sample. The governing equation of ion continuity, ion motion, and Poisson close-quote s equation are solved by using a numerical finite difference method for different cylindrical bore radii, auxiliary electrode radii, and voltage rise times. The ion density and ion impact energy at the cylindrical inner surface, as well as the ion energy distribution, maximum ion impact energy, and average ion impact energy for the various cases are obtained. Our results show a dramatic improvement in the impact energy when an auxiliary electrode is used and the recommended normalized auxiliary electrode radius is in the range of 0.1 endash 0.3. copyright 1998 American Institute of Physics

  13. Plasma immersion ion implantation of polyurethane shape memory polymer: Surface properties and protein immobilization

    Science.gov (United States)

    Cheng, Xinying; Kondyurin, Alexey; Bao, Shisan; Bilek, Marcela M. M.; Ye, Lin

    2017-09-01

    Polyurethane-type shape memory polymers (SMPU) are promising biomedical implant materials due to their ability to recover to a predetermined shape from a temporary shape induced by thermal activation close to human body temperature and their advantageous mechanical properties including large recovery strains and low recovery stresses. Plasma Immersion Ion Implantation (PIII) is a surface modification process using energetic ions that generates radicals in polymer surfaces leading to carbonisation and oxidation and the ability to covalently immobilise proteins without the need for wet chemistry. Here we show that PIII treatment of SMPU significantly enhances its bioactivity making SMPU suitable for applications in permanent implantable biomedical devices. Scanning Electron Microscopy (SEM), contact angle measurements, surface energy measurements, attenuated total reflection Fourier transform infrared (ATR-FTIR) spectroscopy and X-ray photoelectron spectroscopy (XPS) were used to characterise the PIII modified surface, including its after treatment aging kinetics and its capability to covalently immobilise protein directly from solution. The results show a substantial improvement in wettability and dramatic changes of surface chemical composition dependent on treatment duration, due to the generation of radicals and subsequent oxidation. The SMPU surface, PIII treated for 200s, achieved a saturated level of covalently immobilized protein indicating that a full monolayer coverage was achieved. We conclude that PIII is a promising and efficient surface modification method to enhance the biocompatibility of SMPU for use in medical applications that demand bioactivity for tissue integration and stability in vivo.

  14. Thin polymer films prepared by plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Rangel, Elidiane C.; Silva, Paulo A.F.; Mota, Rogerio P.; Schreiner, Wido H.; Cruz, Nilson C.

    2005-01-01

    This work describes an investigation of the properties of polymer films prepared by plasma immersion ion implantation and deposition. Films were synthesized from low pressure benzene glow discharges, biasing the samples with 25 kV negative pulses. The total energy deposited in the growing layer was varied tailoring simultaneously pulse frequency and duty cycle. The effect of the pulse characteristics on the chemical composition and mechanical properties of the films was studied by X-ray photoelectron spectroscopy (XPS) and nanoindentation, respectively. Analysis of the deconvoluted C 1s XPS peaks demonstrated that oxygen was incorporated in all the samples. The chemical modifications induced structural reorganization, characterized by chain cross-linking and unsaturation, affecting material properties. Hardness and plastic resistance parameter increased under certain bombardment conditions. An interpretation is proposed in terms of the total energy delivered to the growing layer

  15. Mg ion implantation on SLA-treated titanium surface and its effects on the behavior of mesenchymal stem cell

    International Nuclear Information System (INIS)

    Kim, Beom-Su; Kim, Jin Seong; Park, Young Min; Choi, Bo-Young; Lee, Jun

    2013-01-01

    Magnesium (Mg) is one of the most important ions associated with bone osseointegration. The aim of this study was to evaluate the cellular effects of Mg implantation in titanium (Ti) surfaces treated with sand blast using large grit and acid etching (SLA). Mg ions were implanted into the surface via vacuum arc source ion implantation. The surface morphology, chemical properties, and the amount of Mg ion release were evaluated by scanning electron microscopy (SEM), Auger electron spectroscopy (AES), Rutherford backscattering spectroscopy (RBS), and inductively coupled plasma-optical emission spectrometer (ICP-OES). Human mesenchymal stem cells (hMSCs) were used to evaluate cellular parameters such as proliferation, cytotoxicity, and adhesion morphology by MTS assay, live/dead assay, and SEM. Furthermore, osteoblast differentiation was determined on the basis of alkaline phosphatase (ALP) activity and the degree of calcium accumulation. In the Mg ion-implanted disk, 2.3 × 10 16 ions/cm 2 was retained. However, after Mg ion implantation, the surface morphology did not change. Implanted Mg ions were rapidly released during the first 7 days in vitro. The MTS assay, live/dead assay, and SEM demonstrated increased cell attachment and growth on the Mg ion-implanted surface. In particular, Mg ion implantation increased the initial cell adhesion, and in an osteoblast differentiation assay, ALP activity and calcium accumulation. These findings suggest that Mg ion implantation using the plasma source ion implantation (PSII) technique may be useful for SLA-treated Ti dental implants to improve their osseointegration capacity. - Highlights: ► Mg ion was coated onto surface of SLA treated titanium via vacuum arc source ion implantation method. ► The morphological characteristics did not change after Mg ion implantation. ► Mg ion implanted SLA Ti is highly cytocompatible. ► Initial cell adhesion of MSCs is improved by Mg ion implantation. ► Mg ion implantation improved

  16. Surface modification on 304 SS by plasma-immersed ion implantation to improve the adherence of a CVD diamond film

    Energy Technology Data Exchange (ETDEWEB)

    Nono, M.C.A.; Corat, E.J. (Instituto Nacional de Pesquisas Espaciais, Sao Jose dos Campos, SP (Brazil)); Ueda, M.; Stellati, C.; Barroso, J.J.; Conrad, J.R.; Shamim, M.; Fetherston, P.; Sridharan, K.

    1999-02-01

    The weak adherence of chemical vapor deposited (CVD) diamond films on steel substrates is an important factor that limits the technological applications of these materials. We are interested in enhancing the film-to-substrate adherence by using substrate surfaces with a previous modification by plasma-immersed ion implantation (PIII). In this work we present and discuss the preliminary results on phase formation, microstructure and adherence evaluations. CVD diamond films were deposited on 304 SS, the surface of which was modified by implanted carbon ions. The samples were first submitted to implantation with 30 keV carbon ions at different doses. Later, these surfaces were examined by Auger spectroscopy (SAM), scanning electron microscopy (SEM) and X-ray diffraction. We observed a metastable carbide phase formed from carbon and iron, which is considered to be a good polycrystalline material for the nucleation of CVD diamond crystals. The CVD diamond nucleation and film growth were observed by SEM and Raman spectroscopy. These results are discussed with the emphasis on the carbon diffusion barrier on the substrate surfaces. The preliminary results of diamond growth were encouraging. (orig.) 7 refs.

  17. Electrical enhancement of direct methanol fuel cells by metal-plasma ion implantation Pt-Ru/C multilayer catalysts.

    Science.gov (United States)

    Weng, Ko-Wei; Chen, Yung-Lin; Chen, Ya-Chi; Lin, Tai-Nan

    2009-02-01

    Direct methanol fuel cells (DMFC) have been widely studied owing to their simple cell configuration, high volume energy density, short start-up time, high operational reliability and other favorable characteristics. However, major limitations include high production cost, poisoning of the catalyst and methanol crossover. This study adopts a simple technique for preparing Pt-Ru/C multilayer catalysts, including magnetron sputtering (MS) and metal-plasma ion implantation (MPII). The Pt catalysts were sputtered onto the gas diffusion layer (GDL), followed by the implantation of Ru catalysts using MPII (at an accelerating voltage of 20 kV and an implantation dose of 1 x 10(16) ions/cm2). Pt-Ru is repeatedly processed to prepare Pt-Ru/C multilayer catalysts. The catalyst film structure and microstructure were analyzed by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and scanning electronic microscopy (SEM), respectively. The cell performance was tested using a potential stat/galvano-stat. The results reveal that the membrane electrode assembly (MEA) of four multilayer structures enhances the cell performance of DMFC. The measured power density is 2.2 mW/cm2 at a methanol concentration of 2 M, with an OCV of 0.493 V.

  18. A high current metal vapour vacuum arc ion source for ion implantation studies

    International Nuclear Information System (INIS)

    Evans, P.J.; Noorman, J.T.; Watt, G.C.; Cohen, D.D.; Bailey, G.M.

    1989-01-01

    The main features of the metal vapour vacuum arc(MEVA) as an ion source are presented. The technology utilizes the plasma production capabilities of a vacuum arc cathode. Some of the ions produced in this discharge flow through the anode and the 3 extraction grids to form an extracted ion beam. The high beam current and the potential for generating broad beams, make this technology suitable for implantation of large surface areas. The composition of the vacuum arc cathode determines the particular ions obtained from the MEVA source. 3 refs., 1 tab., 2 figs

  19. Ion Implantation of Polymers

    DEFF Research Database (Denmark)

    Popok, Vladimir

    2012-01-01

    The current paper presents a state-of-the-art review in the field of ion implantation of polymers. Numerous published studies of polymers modified by ion beams are analysed. General aspects of ion stopping, latent track formation and changes of structure and composition of organic materials...... are discussed. Related to that, the effects of radiothermolysis, degassing and carbonisation are considered. Specificity of depth distributions of implanted into polymers impurities is analysed and the case of high-fluence implantation is emphasised. Within rather broad topic of ion bombardment, the focus...... is put on the low-energy implantation of metal ions causing the nucleation and growth of nanoparticles in the shallow polymer layers. Electrical, optical and magnetic properties of metal/polymer composites are under the discussion and the approaches towards practical applications are overviewed....

  20. Silicon Heterojunction Solar Cells Using AlOx and Plasma-Immersion Ion Implantation

    Directory of Open Access Journals (Sweden)

    Yu-Hsien Lin

    2014-06-01

    Full Text Available Aluminum oxide (AlOx and plasma immersion ion implantation (PIII were studied in relation to passivated silicon heterojunction solar cells. When aluminum oxide (AlOx was deposited on the surface of a wafer; the electric field near the surface of wafer was enhanced; and the mobility of the carrier was improved; thus reducing carrier traps associated with dangling bonds. Using PIII enabled implanting nitrogen into the device to reduce dangling bonds and achieve the desired passivation effect. Depositing AlOx on the surface of a solar cell increased the short-circuit current density (Jsc; open-circuit voltage (Voc; and conversion efficiency from 27.84 mA/cm2; 0.52 V; and 8.97% to 29.34 mA/cm2; 0.54 V; and 9.68%; respectively. After controlling the depth and concentration of nitrogen by modulating the PIII energy; the ideal PIII condition was determined to be 2 keV and 10 min. As a result; a 15.42% conversion efficiency was thus achieved; and the Jsc; Voc; and fill factor were 37.78 mA/cm2; 0.55 V; and 0.742; respectively.

  1. Effect of ion implantation on thermal shock resistance of magnesia and glass

    International Nuclear Information System (INIS)

    Gurarie, V.N.; Williams, J.S.; Watt, A.J.

    1995-01-01

    Monocrystals of magnesia together with glass samples have been subjected to ion implantation prior to thermal shock testing in an impulse plasma of continuously varied intensity. Measurements of the separation between fragments have been used to estimate the surface temperature. Fracture and deformation characteristics of the surface layer are measured in ion implanted and unimplanted samples using optical and scanning electron microscopy. Implantation-induced near-surface damage is analysed by ion channeling using 2 MeV He + ions. Ion implantation is shown to modify the near-surface structure of magnesia samples by introducing damage, which makes crack initiation easier under thermal stresses. The fracture threshold and maximum crack density are shifted towards the lower temperature range. Ion implanted MgO crystals show a ten fold increase in surface crack density. An increased crack density results in a decreased degree of damage characterised by the depth of crack penetration. The thermal stress resistance parameter of glass samples is increased at relatively small doses and decreased at higher doses. The results suggest that crack density and the degree of fracture damage in brittle ceramics operating under thermal shock conditions can be effectively controlled by ion implantation which provides crack initiating defects in the near-surface region. 23 refs., 7 figs

  2. Ion implantation: an annotated bibliography

    International Nuclear Information System (INIS)

    Ting, R.N.; Subramanyam, K.

    1975-10-01

    Ion implantation is a technique for introducing controlled amounts of dopants into target substrates, and has been successfully used for the manufacture of silicon semiconductor devices. Ion implantation is superior to other methods of doping such as thermal diffusion and epitaxy, in view of its advantages such as high degree of control, flexibility, and amenability to automation. This annotated bibliography of 416 references consists of journal articles, books, and conference papers in English and foreign languages published during 1973-74, on all aspects of ion implantation including range distribution and concentration profile, channeling, radiation damage and annealing, compound semiconductors, structural and electrical characterization, applications, equipment and ion sources. Earlier bibliographies on ion implantation, and national and international conferences in which papers on ion implantation were presented have also been listed separately

  3. Ion implantation and amorphous metals

    International Nuclear Information System (INIS)

    Hohmuth, K.; Rauschenbach, B.

    1981-01-01

    This review deals with ion implantation of metals in the high concentration range for preparing amorphous layers (>= 10 at%, implantation doses > 10 16 ions/cm 2 ). Different models are described concerning formation of amorphous phases of metals by ion implantation and experimental results are given. The study of amorphous phases has been carried out by the aid of Rutherford backscattering combined with the channeling technique and using transmission electron microscopy. The structure of amorphous metals prepared by ion implantation has been discussed. It was concluded that amorphous metal-metalloid compounds can be described by a dense-random-packing structure with a great portion of metal atoms. Ion implantation has been compared with other techniques for preparing amorphous metals and the adventages have been outlined

  4. Ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Gusev, V.; Gusevova, M.

    1980-01-01

    The historical development is described of the method of ion implantation, the physical research of the method, its technological solution and practical uses. The method is universally applicable, allows the implantation of arbitrary atoms to an arbitrary material, ensures high purity of the doping element. It is linked with sample processing at low temperatures. In implantation it is possible to independently change the dose and energy of the ions thereby affecting the spatial distribution of the ions. (M.S.)

  5. Ion implantation in semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Gusev, V; Gusevova, M

    1980-06-01

    The historical development of the method of ion implantation, the physical research of the method, its technological solution and practical uses is described. The method is universally applicable, allows the implantation of arbitrary atoms to an arbitrary material and ensures high purity of the doping element. It is linked with sample processing at low temperatures. In implantation it is possible to independently change the dose and energy of the ions thereby affecting the spatial distribution of the ions.

  6. Improvements of anti-corrosion and mechanical properties of NiTi orthopedic materials by acetylene, nitrogen and oxygen plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Poon, Ray W.Y.; Ho, Joan P.Y.; Liu Xuanyong; Chung, C.Y.; Chu, Paul K.; Yeung, Kelvin W.K.; Lu, William W.; Cheung, Kenneth M.C.

    2005-01-01

    Nickel-titanium shape memory alloys (NiTi) are useful materials in orthopedics and orthodontics due to their unique super-elasticity and shape memory effects. However, the problem associated with the release of harmful Ni ions to human tissues and fluids has been raising safety concern. Hence, it is necessary to produce a surface barrier to impede the out-diffusion of Ni ions from the materials. We have conducted acetylene, nitrogen and oxygen plasma immersion ion implantation (PIII) into NiTi alloys in an attempt to improve the surface properties. All the implanted and annealed samples surfaces exhibit outstanding corrosion and Ni out-diffusion resistance. Besides, the implanted layers are mechanically stronger than the substrate underneath. XPS analyses disclose that the layer formed by C 2 H 2 PIII is composed of mainly TiC x with increasing Ti to C concentration ratios towards the bulk. The nitrogen PIII layer is observed to be TiN, whereas the oxygen PIII layer is composed of oxides of Ti 4+ , Ti 3+ and Ti 2+

  7. Ion beam extraction from a matrix ECR plasma source by discrete ion-focusing effect

    DEFF Research Database (Denmark)

    Stamate, Eugen; Draghici, Mihai

    2010-01-01

    -ECR plasma source [3] with transversal magnetic filter for electron temperature control. 12 ECR plasma cells are placed 7.5 cm apart on the top of a cubic chamber 40x40x40 cm3. Each cell can be controlled independently by tuning the injected microwave power. The discharge is operated at pressures below 1 m......Positive or negative ion beams extracted from plasma are used in a large variety of surface functionalization techniques such as implantation, etching, surface activation, passivation or oxidation. Of particular importance is the surface treatment of materials sensitive to direct plasma exposure...... due to high heath fluxes, the controllability of the ion incidence angle, and charge accumulation when treating insulating materials. Despite of a large variety of plasma sources available for ion beam extraction, there is a clear need for new extraction mechanisms that can make available ion beams...

  8. Nitrogen plasma immersion ion implantation for surface treatment and wear protection of austenitic stainless steel X6CrNiTi1810

    International Nuclear Information System (INIS)

    Blawert, C.; Mordike, B.L.

    1999-01-01

    Plasma immersion ion implantation is an effective surface treatment for stainless steels. The influence of treatment parameters (temperature, plasma density and pressure) on the sliding wear resistance are studied here. At moderate temperatures, nitrogen remains in solid solution without forming nitrides. This increases the surface hardness and the wear resistance without affecting the passivation of the steel. This may allow the use of such steels in applications where their poor wear resistance would normally prohibit their use. (orig.)

  9. Corrosion resistance of uranium with carbon ion implantation

    International Nuclear Information System (INIS)

    Liang Hongwei; Yan Dongxu; Bai Bin; Lang Dingmu; Xiao Hong; Wang Xiaohong

    2008-01-01

    The carbon modified layers prepared on uranium surface by carbon ion implantation, gradient implantation, recoil implantation and ion beam assisted deposition process techniques were studied. Depth profile elements of the samples based on Auger electron spectroscopy, phase composition identified by X-ray diffraction as well as corrosion resistance of the surface modified layers by electrochemistry tester and humid-thermal oxidation test were carried out. The carbon modified layers can be obtained by above techniques. The samples deposited with 45 keV ion bombardment, implanted by 50 keV ions and implanted with gradient energies are of better corrosion resistance properties. The samples deposited carbon before C + implantation and C + assisted deposition exhibit worse corrosion resistance properties. The modified layers are dominantly dot-corraded, which grows from the dots into substructure, however, the assisted deposition samples have comparatively high carbon composition and are corraded weakly. (authors)

  10. Ion Implantation and Synthesis of Materials

    CERN Document Server

    Nastasi, Michael

    2006-01-01

    Ion implantation is one of the key processing steps in silicon integrated circuit technology. Some integrated circuits require up to 17 implantation steps and circuits are seldom processed with less than 10 implantation steps. Controlled doping at controlled depths is an essential feature of implantation. Ion beam processing can also be used to improve corrosion resistance, to harden surfaces, to reduce wear and, in general, to improve materials properties. This book presents the physics and materials science of ion implantation and ion beam modification of materials. It covers ion-solid interactions used to predict ion ranges, ion straggling and lattice disorder. Also treated are shallow-junction formation and slicing silicon with hydrogen ion beams. Topics important for materials modification, such as ion-beam mixing, stresses, and sputtering, are also described.

  11. An experiment on the dynamics of ion implantation and sputtering of surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wright, G. M.; Barnard, H. A.; Kesler, L. A.; Peterson, E. E.; Stahle, P. W.; Sullivan, R. M.; Whyte, D. G.; Woller, K. B. [Plasma Science and Fusion Center, MIT, 77 Massachusetts Avenue, Cambridge, Massachusetts 02139 (United States)

    2014-02-15

    A major impediment towards a better understanding of the complex plasma-surface interaction is the limited diagnostic access to the material surface while it is undergoing plasma exposure. The Dynamics of ION Implantation and Sputtering Of Surfaces (DIONISOS) experiment overcomes this limitation by uniquely combining powerful, non-perturbing ion beam analysis techniques with a steady-state helicon plasma exposure chamber, allowing for real-time, depth-resolved in situ measurements of material compositions during plasma exposure. Design solutions are described that provide compatibility between the ion beam analysis requirements in the presence of a high-intensity helicon plasma. The three primary ion beam analysis techniques, Rutherford backscattering spectroscopy, elastic recoil detection, and nuclear reaction analysis, are successfully implemented on targets during plasma exposure in DIONISOS. These techniques measure parameters of interest for plasma-material interactions such as erosion/deposition rates of materials and the concentration of plasma fuel species in the material surface.

  12. An experiment on the dynamics of ion implantation and sputtering of surfaces

    International Nuclear Information System (INIS)

    Wright, G. M.; Barnard, H. A.; Kesler, L. A.; Peterson, E. E.; Stahle, P. W.; Sullivan, R. M.; Whyte, D. G.; Woller, K. B.

    2014-01-01

    A major impediment towards a better understanding of the complex plasma-surface interaction is the limited diagnostic access to the material surface while it is undergoing plasma exposure. The Dynamics of ION Implantation and Sputtering Of Surfaces (DIONISOS) experiment overcomes this limitation by uniquely combining powerful, non-perturbing ion beam analysis techniques with a steady-state helicon plasma exposure chamber, allowing for real-time, depth-resolved in situ measurements of material compositions during plasma exposure. Design solutions are described that provide compatibility between the ion beam analysis requirements in the presence of a high-intensity helicon plasma. The three primary ion beam analysis techniques, Rutherford backscattering spectroscopy, elastic recoil detection, and nuclear reaction analysis, are successfully implemented on targets during plasma exposure in DIONISOS. These techniques measure parameters of interest for plasma-material interactions such as erosion/deposition rates of materials and the concentration of plasma fuel species in the material surface

  13. Adherent zirconia films by reactive ion implantation

    International Nuclear Information System (INIS)

    Bunker, S.N.; Armini, A.J.

    1993-01-01

    Conventional methods of forming ceramic coatings on metal substrates, such as CVD or plasma spray, typically retain a sharp interface and may have adhesion problems. In order to produce a completely mixed interface for better adhesion, a method using reactive ion implantation was used which can grow a thick stoichiometric film of an oxide ceramic starting from inside the substrate. Zirconium oxide ceramic films have been produced by this technique using a high-energy zirconium ion beam in an oxygen gas ambient. Compositional data are shown based on Auger electron spectroscopy of the film. Tribological properties of the layer were determined from wear and friction measurements using a pin-on-disk test apparatus. The adhesion was measured both by a scratch technique as well as by thermal shock. Results show an extremely adherent ZrO 2 film with good tribological properties

  14. Ion implantation for microelectronics

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1977-01-01

    Ion implantation has proved to be a versatile and efficient means of producing microelectronic devices. This review summarizes the relevant physics and technology and assesses the advantages of the method. Examples are then given of widely different device structures which have been made by ion implantation. While most of the industrial application has been in silicon, good progress continues to be made in the more difficult field of compound semiconductors. Equipment designed for the industrial ion implantation of microelectronic devices is discussed briefly. (Auth.)

  15. Improvement of the corrosion property of Cr4Mo4V bearing steel using plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Wang, S.Y.; Chu, P.K.

    1997-01-01

    The working conditions of aerospace bearings such as engine bearings are quite harsh and prolonging the life span of these components is thus very important to the aerospace industry. Previous results have shown that the main failure mechanism of aerospace bearings is corrosion, and enhancing their corrosion resistance is a key. Cr4Mo4V, which is equivalent to AISI M50 bearing steel, is usually used in aerospace bearings in China. In this study, Cr4Mo4V components are treated in a new generation plasma immersion ion implanter in which ion implantation and sputter deposition can be carried out in the same chamber without breaking vacuum. Three treatment processes involving Cr, Mo, and N are evaluated. Our test results indicate that Cr is the main element enhancing the corrosion resistance and the addition of nitrogen improves the properties further. The non line-of-sight advantage of PIII is important to the processing of complex-shaped samples such as engine bearings. (orig.)

  16. Shallow nitrogen ion implantation: Evolution of chemical state and defect structure in titanium

    Energy Technology Data Exchange (ETDEWEB)

    Manojkumar, P.A., E-mail: manoj@igcar.gov.in [Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Chirayath, V.A.; Balamurugan, A.K.; Krishna, Nanda Gopala; Ilango, S.; Kamruddin, M.; Amarendra, G.; Tyagi, A.K. [Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Raj, Baldev [National Institute of Advanced Studies, Bangalore 560 012 (India)

    2016-09-15

    Highlights: • Low energy nitrogen ion implantation in titanium was studied. • Chemical and defect states were analyzed using SIMS, XPS and PAS. • SIMS and depth resolved XPS data showed good agreement. • Depth resolved defect and chemical states information were revealed. • Formation of 3 layers of defect states proposed to fit PAS results. - Abstract: Evolution of chemical states and defect structure in titanium during low energy nitrogen ion implantation by Plasma Immersion Ion Implantation (PIII) process is studied. The underlying process of chemical state evolution is investigated using secondary ion mass spectrometry and X-ray photoelectron spectroscopy. The implantation induced defect structure evolution as a function of dose is elucidated using variable energy positron annihilation Doppler broadening spectroscopy (PAS) and the results were corroborated with chemical state. Formation of 3 layers of defect state was modeled to fit PAS results.

  17. Plasma immersion ion implantation (and deposition) inside metallic tubes of different dimensions and configurations

    Science.gov (United States)

    Ueda, M.; Silva, C.; Santos, N. M.; Souza, G. B.

    2017-10-01

    There is a strong need for developing methods to coat or implant ions inside metallic tubes for many practical contemporary applications, both for industry and science. Therefore, stainless steel tubes with practical diameters of 4, 11 and 16 cm, but short lengths of 20 cm, were internally treated by nitrogen plasma immersion ion implantation (PIII). Different configurations as tube with lid in one of the ends or both sides open were tested for better PIII performance, in the case of smallest diameter tube. Among these PIII tests in tubes, using the 4 cm diameter one with a lid, it was possible to achieve tube temperatures of more than 700 °C in 15 min and maintain it during the whole treatment time (typically 2 h). Samples made of different materials were placed at the interior of the tube, as the monitors for posterior analysis, and the tube was solely pulsed by high voltage pulser producing high voltage glow discharge and hollow cathode discharge both driven by a moderate power source. In this experiment, samples of SS 304, pure Ti, Ti6Al4V and Si were used for the tests of the above methods. Results on the analysis of the surface of these nitrogen PIII treated materials, as well as on their processing methods, are presented and discussed in the paper.

  18. Operation of low-energy ion implanters for Si, N, C ion implantation into silicon and glassy carbon

    International Nuclear Information System (INIS)

    Carder, D.A.; Markwitz, A.

    2009-01-01

    This report details the operation of the low-energy ion implanters at GNS Science for C, N and Si implantations. Two implanters are presented, from a description of the components through to instructions for operation. Historically the implanters have been identified with the labels 'industrial' and 'experimental'. However, the machines only differ significantly in the species of ions available for implantation and sample temperature during implantation. Both machines have been custom designed for research purposes, with a wide range of ion species available for ion implantation and the ability to implant two ions into the same sample at the same time from two different ion sources. A fast sample transfer capability and homogenous scanning profiles are featured in both cases. Samples up to 13 mm 2 can be implanted, with the ability to implant at temperatures down to liquid nitrogen temperatures. The implanters have been used to implant 28 Si + , 14 N + and 12 C + into silicon and glassy carbon substrates. Rutherford backscattering spectroscopy has been used to analyse the implanted material. From the data a Si 30 C 61 N 9 layer was measured extending from the surface to a depth of about 77 ± 2 nm for (100) silicon implanted with 12 C + and 14 N + at multiple energies. Silicon and nitrogen ion implantation into glassy carbon produced a Si (40.5 %), C (38 %), N (19.5 %) and O (2%) layer centred around a depth of 50 ± 2 nm from the surface. (author). 8 refs., 20 figs

  19. Electrochemical properties of ion implanted silicon

    International Nuclear Information System (INIS)

    Pham minh Tan.

    1979-11-01

    The electrochemical behaviour of ion implanted silicon in contact with hydrofluoric acid solution was investigated. It was shown that the implanted layer on silicon changes profoundly its electrochemical properties (photopotential, interface impedance, rest potential, corrosion, current-potential behaviour, anodic dissolution of silicon, redox reaction). These changes depend strongly on the implantation parameters such as ion dose, ion energy, thermal treatment and ion mass and are weakly dependent on the chemical nature of the implantation ion. The experimental results were evaluated and interpreted in terms of the semiconductor electrochemical concepts taking into account the interaction of energetic ions with the solid surface. The observed effects are thus attributed to the implantation induced damage of silicon lattice and can be used for profiling of the implanted layer and the electrochemical treatment of the silicon surface. (author)

  20. High-energy ion implantation of materials

    International Nuclear Information System (INIS)

    Williams, J.M.

    1991-11-01

    High-energy ion implantation is an extremely flexible type of surface treatment technique, in that it offers the possibility of treating almost any type of target material or product with ions of almost any chemical species, or combinations of chemical species. In addition, ion implantations can be combined with variations in temperature during or after ion implantation. As a result, the possibility of approaching a wide variety of surface-related materials science problems exists with ion implantation. This paper will outline factors pertinent to application of high-energy ion implantation to surface engineering problems. This factors include fundamental advantages and limitations, economic considerations, present and future equipment, and aspects of materials science

  1. Changes in surface properties caused by ion implantation

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1987-01-01

    This report outlines various aspects of ion implantation. Major features of ion implantation are described first, focusing on the structure of ion implantation equipment and some experimental results of ion implantation into semiconductors. Distribution of components in ion-implantated layers is then discussed. The two major features of ion implantation in relation to the distribution of implanted ions are: (1) high controllability of addition of ions to a surface layer and (2) formation of a large number of lattice defects in a short period of time. Application of ion implantation to metallic materials is expected to permit the following: (1) formation of a semi-stable alloy surface layer by metallic ion implantation, (2) formation of a semi-stable ceramic surface layer or buried layer by non-metallic ion implantation, and (3) formation of a buried layer by combined implementation of a different metallic ion and non-metallic ion. Ion implantation in carbon materials, polymers and ceramics is discussed next. The last part of the report is dedicated to macroscopic properties of an ion-implanted layer, centering on surface modification, formation of a conductive surface layer, and tribology. (Nogami, K.) 60 refs

  2. Surface modification of metals by ion implantation

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1988-01-01

    Ion implantation in metals has attracted the attention as a useful technology for the formation of new metastable alloys and compounds in metal surface layers without thermal equilibrium. Current studies of metal surface modification by ion implantation with high fluences have expanded from basic research areas and to industrial applications for the improvement of life time of tools. Many results suggest that the high fluence implantation produces the new surface layers with un-expected microscopic characteristics and macroscopic properties due to implant particles, radiation damage, sputtering, and knock-on doping. In this report, the composition, structure and chemical bonding state in surface layers of iron, iron-based alloy and aluminum sheets implanted with high fluences have been investigated by means of secondary ion mass spectroscopy (SIMS), Auger electron spectroscopy (AES), X-ray photoelectron spectroscopy (XPS) and transmission electron microscopy (TEM). Tribological properties such as hardness, friction and wear are introduced. (author)

  3. Long range implantation by MEVVA metal ion source

    International Nuclear Information System (INIS)

    Zhang Tonghe; Wu Yuguang; Ma Furong; Liang Hong

    2001-01-01

    Metal vapor vacuum arc (MEVVA) source ion implantation is a new technology used for achieving long range ion implantation. It is very important for research and application of the ion beam modification of materials. The results show that the implanted atom diffusion coefficient increases in Mo implanted Al with high ion flux and high dose. The implanted depth is 311.6 times greater than that of the corresponding ion range. The ion species, doses and ion fluxes play an important part in the long-range implantation. Especially, thermal atom chemistry have specific effect on the long-range implantation during high ion flux implantation at transient high target temperature

  4. Study of the plasma immersion implantation of titanium in stainless steel

    International Nuclear Information System (INIS)

    Nikitenkov, N N; Sutygina, A N; Shulepov, I A; Sivin, D O; Kashkarov, E B

    2015-01-01

    The results of the study of the pulsed plasma-immersion ion implantation of titanium in steel Cr18Ni10Ti depending on the time (dose) implantation are presented. It is shown that the change of the element and the phase composition of the surface layers and their microscopic characteristics and mechanical properties (hardness, wear resistance) depending on the implantation time is not monotonic, but follows to a certain rule. The possibility of interpretation of the obtained results in the thermal spike concept of the generation on the surface by the stable (magic) clusters is discussed. This concept follows logically from the recent studies on the plasma arc composition and from a polyatomic clusters-surface interaction. (paper)

  5. Study of the plasma immersion implantation of titanium in stainless steel

    Science.gov (United States)

    Nikitenkov, N. N.; Sutygina, A. N.; Shulepov, I. A.; Sivin, D. O.; Kashkarov, E. B.

    2015-04-01

    The results of the study of the pulsed plasma-immersion ion implantation of titanium in steel Cr18Ni10Ti depending on the time (dose) implantation are presented. It is shown that the change of the element and the phase composition of the surface layers and their microscopic characteristics and mechanical properties (hardness, wear resistance) depending on the implantation time is not monotonic, but follows to a certain rule. The possibility of interpretation of the obtained results in the thermal spike concept of the generation on the surface by the stable (magic) clusters is discussed. This concept follows logically from the recent studies on the plasma arc composition and from a polyatomic clusters-surface interaction.

  6. Pulse generator for bias materials in the plasma immerse ion implantation process; Generador de pulsos para polarizar materiales en el proceso de implantacion de iones inmersos en plasma

    Energy Technology Data Exchange (ETDEWEB)

    Lopez Callegas, Regulo; Valencia Alvarado, Raul; Munoz Castro, Arturo Eduardo; Godoy Cabrera, Oscar Gerardo [Instituto Nacional de Investigaciones Nucleares, Mexico D.F. (Mexico); Moreno Saavedra, Hilda; Gonzalez Colin, Mireya; Mariano Escamilla, Hector Fernando [Instituto Tecnologico de Toluca, Estado de Mexico (Mexico)

    2003-10-15

    The 0-10 kV pulse generator has been designed, with 100 {mu}s duration and 1-100 Hz frequencies ranges. The use of the pulse generator is in the plasma immersed ion implantation technique (P III). The process was realized in 304 austenitic stainless steel, the results were analyzed by: SEM, X-rays diffraction and hardness Vickers. The hardness was increased due to the efficiency of the pulse generator and P III process, the results obtained showed that the nitrogen inside the stainless steel was implanted and besides some nitrides was formed and therefore the hardness was increased. Also, the more adequate work pressure was determined to carry out the P III process. [Spanish] Se presenta el diseno de un generador de pulsos de alto voltaje con amplitudes controladas de hasta 10 kV, la duracion maxima de los pulsos es del orden de los 100 {mu}s y frecuencia de repeticion en el intervalo de 1-100 Hz. La aplicacion de este generador de pulsos es para el proceso de implantacion de iones en materiales inmersos en plasmas (PIII). Los analisis hechos a los aceros austeniticos cedula 304 mediante microscopia electronica de barrido, difraccion de rayos X y dureza Vickers, muestran la eficiencia obtenida con el generador de pulsos en el proceso PIII, debido a que en el acero inoxidable se presenta un incremento en el nitrogeno y conformacion de algunos nitruros, lo cual da lugar al incremento de la dureza. Asimismo, se determino la presion de trabajo mas adecuada para llevar a cabo el proceso PIII.

  7. Biocompatibility of titanium based implants treated with plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Maendl, S.; Sader, R.; Thorwarth, G.; Krause, D.; Zeilhofer, H.-F.; Horch, H.H.; Rauschenbach, B.

    2003-01-01

    In this work, the biocompatibility of titanium before and after oxygen PIII is investigated using a rat animal model. Pure titanium (grade 2) and pre-anodized titanium were implanted with oxygen at elevated temperatures between 200 and 550 deg. C and subsequently analyzed for oxygen content and phase composition. No deterioration of the tensile strength and the yield strength was detected after the implantation. The mechanical stability of the osseointegration was determined with a pull-out test, where an increased shear strength was measured after PIII treatment. Only a slight improvement of the bone contact area, from an already excellent starting value, was observed for pure titanium. In contrast, a significant improvement was found for anodized titanium after PIII treatment. This astonishing difference can be explained with the surface topography and the phase composition of the anodized titanium samples

  8. Biodegradable radioactive implants for glaucoma filtering surgery produced by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Assmann, W. [Department fuer Physik, Ludwig-Maximilians-Universitaet Muenchen, 85748 Garching (Germany)]. E-mail: walter.assmann@lmu.de; Schubert, M. [Department fuer Physik, Ludwig-Maximilians-Universitaet Muenchen, 85748 Garching (Germany); Held, A. [Augenklinik, Technische Universitaet Muenchen, 81675 Munich (Germany); Pichler, A. [Augenklinik, Technische Universitaet Muenchen, 81675 Muenchen (Germany); Chill, A. [Zentralinstitut fuer Medizintechnik, Technische Universitaet Muenchen, 85748 Garching (Germany); Kiermaier, S. [Zentralinstitut fuer Medizintechnik, Technische Universitaet Muenchen, 85748 Garching (Germany); Schloesser, K. [Forschungszentrum Karlsruhe, 76021 Karlsruhe (Germany); Busch, H. [NTTF GmbH, 53619 Rheinbreitbach (Germany); Schenk, K. [NTTF GmbH, 53619 Rheinbreitbach (Germany); Streufert, D. [Acri.Tec GmbH, 16761 Hennigsdorf (Germany); Lanzl, I. [Augenklinik, Technische Universitaet Muenchen, 81675 Munich (Germany)

    2007-04-15

    A biodegradable, {beta}-emitting implant has been developed and successfully tested which prevents fresh intraocular pressure increase after glaucoma filtering surgery. Ion implantation has been used to load the polymeric implants with the {beta}-emitter {sup 32}P. The influence of ion implantation and gamma sterilisation on degradation and {sup 32}P-fixation behavior has been studied by ion beam and chemical analysis. Irradiation effects due to the applied ion fluence (10{sup 15} ions/cm{sup 2}) and gamma dose (25 kGy) are found to be tolerable.

  9. Ion Implantation of Calcium and Zinc in Magnesium for Biodegradable Implant Applications

    Directory of Open Access Journals (Sweden)

    Sahadev Somasundaram

    2018-01-01

    Full Text Available In this study, magnesium was implanted with calcium-ion and zinc-ion at fluences of 1015, 1016, and 1017 ion·cm−2, and its in vitro degradation behaviour was evaluated using electrochemical techniques in simulated body fluid (SBF. Rutherford backscattering spectrometry (RBS revealed that the implanted ions formed layers within the passive magnesium-oxide/hydroxide layers. Electrochemical impedance spectroscopy (EIS results demonstrated that calcium-ion implantation at a fluence of 1015 ions·cm−2 increased the polarisation resistance by 24%, but higher fluences showed no appreciable improvement. In the case of zinc-ion implantation, increase in the fluence decreased the polarisation resistance. A fluence of 1017 ion·cm−2 decreased the polarisation resistance by 65%, and fluences of 1015 and 1016 showed only marginal effect. Similarly, potentiodynamic polarisation results also suggested that low fluence of calcium-ion decreased the degradation rate by 38% and high fluence of zinc-ion increased the degradation rate by 61%. All the post-polarized ion-implanted samples and the bare metal revealed phosphate and carbonate formation. However, the improved degradative behaviour in calcium-ion implanted samples can be due to a relatively better passivation, whereas the reduction in degradation resistance in zinc-ion implanted samples can be attributed to the micro-galvanic effect.

  10. EFECT OF PLASMA IMMERSION ION IMPLANTATION TREATEMENT IN THE WEAR RESISTANCE OF Ti-6Al-4V ALLOY

    Directory of Open Access Journals (Sweden)

    Zepka, Susana

    2015-07-01

    Full Text Available The objective of this work was the evaluation of wear resistance of Ti-6Al-4V alloy after plasma immersion ion implantation (PIII in different immersion times. The goal of this process was the modification of surface properties of the alloy to obtain better tribology properties. In this process, atoms can be injected on the material´s surface changing the mechanical properties in the region near the surface independently of thermodynamics variables, as solubility and difusivity. The samples were submitted to 120 e 180 minutes of implantation at 250°C in the three samples for each condition. The wear analyses were made by pin-on-disk process, where the lost volumes and wear coefficients were compared in the samples. It was observed the decreasing of attrite coefficient and the lost volume of the material during wear test. The implanted sample by 180 minutes has showed the wear coefficient 35.12% lower in comparison of the sample without treatment, and 11.09% lower in comparison of implanted sample by 120 minutes. It can be observed that the sample implanted by 180 minutes showed lower wear coefficient.

  11. Corrosion behavior of AZ91 magnesium alloy treated by plasma immersion ion implantation and deposition in artificial physiological fluids

    International Nuclear Information System (INIS)

    Liu Chenglong; Xin Yunchang; Tian Xiubo; Chu, Paul K.

    2007-01-01

    Due to the good biocompatibility and tensile yield strength, magnesium alloys are promising in degradable prosthetic implants. The objective of this study is to investigate the corrosion behavior of surgical AZ91 magnesium alloy treated by aluminum, zirconium, and titanium plasma immersion ion implantation and deposition (PIII and D) at 10 kV in artificial physiological fluids. The surface layers show a characteristic intermixed layer and the outer surface are mainly composed of aluminum, zirconium or titanium oxide with a lesser amount of magnesium oxide. Comparing the three sets of samples, aluminum PIII and D significantly shifts the open circuit potential (OCP) to a more positive potential and improves the corrosion resistance at OCP

  12. Study on Energetic Ions Behavior in Plasma Facing Materials at Lower Temperature

    International Nuclear Information System (INIS)

    Morimoto, Y.; Sugiyama, T.; Akahori, S.; Kodama, H.; Tega, E.; Sasaki, M.; Oyaidu, M.; Kimura, H.; Okuno, K.

    2003-01-01

    An apparatus equipped with X-ray Photoelectron Spectroscopy (XPS) and Thermal Desorption Spectroscopy (TDS) was constructed to study interactions of energetic hydrogen isotopes with plasma facing materials. It is a remarkable feature of the apparatus that energetic ion implantation is carried out at around 150K to study reactions of energetic ions with matrix by suppressing the reactions of thermalized ions. Using this apparatus, TDS experiments for pyrolytic graphite implanted with energetic D 2 ions at 173 and 373K were carried out. The experimental results suggest that the deuterium implanted was released through a four-step release processes, involving three D 2 and one CD x (x = 2, 3 and 4) desorption processes. Two deuterium and CD x desorption processes were observed in the temperature range from 700 to 1200 K. In addition, a new deuterium desorption process was observed for the deuterium-implanted sample at 173 K. This has never been observed for deuterium-implanted graphite implanted at temperatures higher than room temperature

  13. Endothelial cell adhesion to ion implanted polymers

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Y; Kusakabe, M [SONY Corp., Tokyo (Japan); Lee, J S; Kaibara, M; Iwaki, M; Sasabe, H [RIKEN (Inst. of Physical and Chemical Research), Saitama (Japan)

    1992-03-01

    The biocompatibility of ion implanted polymers has been studied by means of adhesion measurements of bovine aorta endothelial cells in vitro. The specimens used were polystyrene (PS) and segmented polyurethane (SPU). Na{sup +}, N{sub 2}{sup +}, O{sub 2}{sup +} and Kr{sup +} ion implantations were performed at an energy of 150 keV with fluences ranging from 1x10{sup 15} to 3x10{sup 17} ions/cm{sup 2} at room temperature. The chemical and physical structures of ion-implanted polymers have been investigated in order to analyze their tissue compatibility such as improvement of endothelial cell adhesion. The ion implanted SPU have been found to exhibit remarkably higher adhesion and spreading of endothelial cells than unimplanted specimens. By contrast, ion implanted PS demonstrated a little improvement of adhesion of cells in this assay. Results of FT-IR-ATR showed that ion implantation broke the original chemical bond to form new radicals such as OH, ....C=O, SiH and condensed rings. The results of Raman spectroscopy showed that ion implantation always produced a peak near 1500 cm{sup -1}, which indicated that these ion implanted PS and SPU had the same carbon structure. This structure is considered to bring the dramatic increase in the extent of cell adhesion and spreading to these ion implanted PS and SPU. (orig.).

  14. Retention of neon in graphite after ion beam implantation or exposures to the scrape-off layer plasma in the TEXTOR tokamak

    International Nuclear Information System (INIS)

    Kim, Y.M.; Philipps, V.; Rubel, M.; Vietzke, E.; Pospieszczyk, A.; Unterberg, B.; Jaspers, R.

    2002-01-01

    The interaction of neon ions with graphite was investigated for targets either irradiated with ion beams (2-10 keV range) or exposed to the scrape-off layer plasma in the TEXTOR tokamak during discharges with neon edge cooling. The emphasis was on the influence of the target temperature (300-1200 K) and the implantation dose on the neon retention and reemission. The influence of deuterium impact on the retention of neon implanted into graphite has also been addressed. In ion beam experiments saturation is observed above a certain ion dose with a saturation level, which decreases with increasing target temperature. The temperature dependence of the thermal desorption corresponds to an apparent binding energy of about 2.06 eV. The retention of neon (C Ne /C C ) decreases with increasing ion energy with values from 0.55 to 0.15 following irradiation with 2 and 10 keV ions, respectively. The reemission yield during the irradiation increases with target temperature and above 1200 K all impinging ions are reemitted instantaneously. The retention densities measured using the sniffer probe at the TEXTOR tokamak are less than 1% of the total neon fluence and are over one order of magnitude smaller than those observed in ion beam experiments. The results are discussed in terms of different process decisive for ion deposition and release under the two experimental conditions

  15. Anti-corrosion performance of oxidized and oxygen plasma-implanted NiTi alloys

    International Nuclear Information System (INIS)

    Poon, Ray W.Y.; Ho, Joan P.Y.; Liu, Xuanyong; Chung, C.Y.; Chu, Paul K.; Yeung, Kelvin W.K.; Lu, William W.; Cheung, Kenneth M.C.

    2005-01-01

    Nickel-titanium shape memory alloys are useful orthopedic biomaterials on account of its super-elastic and shape memory properties. However, the problem associated with out-diffusion of harmful nickel ions in prolonged use inside the human body raises a critical safety concern. Titanium oxide films are deemed to be chemically inert and biocompatible and hence suitable to be the barrier layers to impede the leaching of Ni from the NiTi substrate to biological tissues and fluids. In the work reported in this paper, we compare the anti-corrosion efficacy of oxide films produced by atmospheric-pressure oxidation and oxygen plasma ion implantation. Our results show that the oxidized samples do not possess improved corrosion resistance and may even fare worse than the untreated samples. On the other hand, the plasma-implanted surfaces exhibit much improved corrosion resistance. Our work also shows that post-implantation annealing can further promote the anti-corrosion capability of the samples

  16. Ion accelerator based on plasma vircator

    CERN Document Server

    Onishchenko, I N

    2001-01-01

    The conception of a collective ion accelerator is proposed to be developed in the frameworks of STCU project 1569 (NSC KIPT, Ukraine) in coordination with the ISTC project 1629 (VNIEF, Russia). The main processes of acceleration are supposed to be consisted of two stages.First one is the plasma assistance virtual cathode (VC) in which plasma ions are accelerated in a potential well of VC. Along with ion acceleration the relaxation oscillations, caused by diminishing the potential well due to ion compensation, arise that provides the low-frequency (inverse ion transit time) temporal modulation of an intense relativistic electron beam (IREB) current. At the second stage temporally modulated IREB is injected into the spatially periodic magnetic field. The further ion acceleration is realized by the slow space charge wave that arises in IREB due to its simultaneous temporal and spatial modulation.

  17. Enhanced osteoblast responses to poly ether ether ketone surface modified by water plasma immersion ion implantation.

    Science.gov (United States)

    Wang, Heying; Lu, Tao; Meng, Fanhao; Zhu, Hongqin; Liu, Xuanyong

    2014-05-01

    Poly ether ether ketone (PEEK) offers a set of characteristics superior for human implants; however, its application is limited by the bio-inert surface property. In this work, PEEK surface was modified using single step plasma immersion ion implantation (PIII) treatment with a gas mixture of water vapor as a plasma resource and argon as an ionization assistant. Field emission scanning electron microscopy, atomic force microscopy and X-ray photoelectron spectroscopy were used to investigate the microstructure and composition of the modified PEEK surface. The water contact angle and zeta-potential of the surfaces were also measured. Osteoblast precursor cells MC3T3-E1 and rat bone mesenchymal stem cells were cultured on the PEEK samples to evaluate their cytocompatibility. The obtained results show that the hydroxyl groups as well as a "ravined structure" are constructed on water PIII modified PEEK. Compared with pristine PEEK, the water PIII treated PEEK is more favorable for osteoblast adhesion, spreading and proliferation, besides, early osteogenic differentiation indicated by the alkaline phosphatase activity is also up-regulated. Our study illustrates enhanced osteoblast responses to the PEEK surface modified by water PIII, which gives positive information in terms of future biomedical applications. Copyright © 2014 Elsevier B.V. All rights reserved.

  18. Single-Ion Implantation for the Development of Si-Based MOSFET Devices with Quantum Functionalities

    Directory of Open Access Journals (Sweden)

    Jeffrey C. McCallum

    2012-01-01

    Full Text Available Interest in single-ion implantation is driven in part by research into development of solid-state devices that exhibit quantum behaviour in their electronic or optical characteristics. Here, we provide an overview of international research work on single ion implantation and single ion detection for development of electronic devices for quantum computing. The scope of international research into single ion implantation is presented in the context of our own research in the Centre for Quantum Computation and Communication Technology in Australia. Various single ion detection schemes are presented, and limitations on dopant placement accuracy due to ion straggling are discussed together with pathways for scale-up to multiple quantum devices on the one chip. Possible future directions for ion implantation in quantum computing and communications are also discussed.

  19. Surface modification of NiTi by plasma based ion implantation for application in harsh environments

    Energy Technology Data Exchange (ETDEWEB)

    Oliveira, R.M., E-mail: rogerio@plasma.inpe.br [Instituto Nacional de Pesquisas Espaciais (INPE), S. J. Campos, SP (Brazil); Fernandes, B.B.; Carreri, F.C.; Goncalves, J.A.N.; Ueda, M.; Silva, M.M.N.F. [Instituto Nacional de Pesquisas Espaciais (INPE), S. J. Campos, SP (Brazil); Silva, M.M. [Instituto Tecnologico de Aeronautica (ITA), S. J. Campos, SP (Brazil); Pichon, L. [Laboratoire de Metallurgie Physique, University of Poitiers, Poitiers (France); Camargo, E.N.; Otubo, J. [Instituto Tecnologico de Aeronautica (ITA), S. J. Campos, SP (Brazil)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer New nitrogen PBII set up was used to treat samples of NiTi in moderate temperature of 450 Degree-Sign C. Black-Right-Pointing-Pointer A very rich nitrogen atomic concentration was achieved on the top surface. Black-Right-Pointing-Pointer Nitrogen diffused at least for 11 {mu}m depth. Black-Right-Pointing-Pointer Improved tribological and corrosion properties were achieved. Black-Right-Pointing-Pointer A concentration dependent diffusion coefficient was calculated. - Abstract: The substitution of conventional components for NiTi in distinct devices such as actuators, valves, connectors, stents, orthodontic arc-wires, e.g., usually demands some kind of treatment to be performed on the surface of the alloy. A typical case is of biomaterials made of NiTi, in which the main drawback is the Ni out-diffusion, an issue that has been satisfactorily addressed by plasma based ion implantation (PBII). Even though PBII can tailor selective surface properties of diverse materials, usually, only thin modified layers are attained. When NiTi alloys are to be used in the harsh space environment, as is the case of devices designed to remotely release the solar panels and antenna arrays of satellites, e.g., superior mechanical and tribological properties are demanded. For this case the thickness of the modified layer must be larger than the one commonly achieved by conventional PBII. In this paper, new nitrogen PBII set up was used to treat samples of NiTi in moderate temperature of 450 Degree-Sign C, with negative voltage pulses of 7 kV/250 Hz/20 {mu}s, in a process lasting 1 h. A rich nitrogen atomic concentration of 85 at.% was achieved on the near surface and nitrogen diffused at least for 11 {mu}m depth. Tribological properties as well as corrosion resistance were evaluated.

  20. Transition Metal Ion Implantation into Diamond-Like Carbon Coatings: Development of a Base Material for Gas Sensing Applications

    Directory of Open Access Journals (Sweden)

    Andreas Markwitz

    2015-01-01

    Full Text Available Micrometre thick diamond-like carbon (DLC coatings produced by direct ion deposition were implanted with 30 keV Ar+ and transition metal ions in the lower percentage (<10 at.% range. Theoretical calculations showed that the ions are implanted just beneath the surface, which was confirmed with RBS measurements. Atomic force microscope scans revealed that the surface roughness increases when implanted with Ar+ and Cu+ ions, whereas a smoothing of the surface from 5.2 to 2.7 nm and a grain size reduction from 175 to 93 nm are measured for Ag+ implanted coatings with a fluence of 1.24×1016 at. cm−2. Calculated hydrogen and carbon depth profiles showed surprisingly significant changes in concentrations in the near-surface region of the DLC coatings, particularly when implanted with Ag+ ions. Hydrogen accumulates up to 32 at.% and the minimum of the carbon distribution is shifted towards the surface which may be the cause of the surface smoothing effect. The ion implantations caused an increase in electrical conductivity of the DLC coatings, which is important for the development of solid-state gas sensors based on DLC coatings.

  1. Plasma synthesis of hard materials with energetic ions

    International Nuclear Information System (INIS)

    Monteiro, Othon R.

    1999-01-01

    Recent developments in plasma synthesis of hard materials using metal plasma immersion ion implantation and deposition are described. We have produced and characterized a variety of films including doped and undoped DLC (diamond-like carbon) and metal carbides. By using multiple plasma sources operated either synchronously or asynchronously, different metal plasma species can be either blended or linked so as to form mixed-composition films or multilayer structures, and by control of the depositing ion energy, interfaces can be made sharp or graded and the film morphology and microstructure can be widely tailored. Plasma compositional uniformity is important to produce homogeneous films, and therefore effective mixing of plasma streams produced by the filtered cathodic vacuum arcs is very important. Specific systems described here include amorphic diamond, and TiC. We outline the deposition technique employed in this investigation, and summarize the results of the characterization of the films

  2. Improve the corrosion and cytotoxic behavior of NiTi implants with use of the ion beam technologies

    Energy Technology Data Exchange (ETDEWEB)

    Meisner, L. L., E-mail: llm@isps.tsc.ru; Meisner, S. N. [Institute of Strength Physics and Materials Science SB RAS, 2/4 Akademichesky Ave., Tomsk, 634021 (Russian Federation); National Research Tomsk State University, 36, Lenina Avenue, Tomsk, 634050 (Russian Federation); Matveeva, V. A.; Matveev, A. L. [Institute of Chemical Biology and Fundamental Medicine, Siberian Branch,Russian Academy of Sciences, Novosibirsk, 630090 (Russian Federation)

    2015-11-17

    The corrosion resistance behavior and cytotoxicity of binary NiTi-base alloy specimens subjected to surface modification by silicon ion beams and the proliferative ability of mesenchymal stem cells (MSC) of rat marrow on an ion-implanted surface of the alloy have been studied. The silicon ion beam processing of specimen surfaces is shown to bring about a nearly two-fold improvement in the corrosion resistance of the material to attack by acqueous solutions of NaCl and human plasma and a drastic decrease in the nickel concentration after immersion of the specimens into the solutions for ∼3400 and ∼6000 h, respectively. It is found that MSC proliferation strongly depends on the surface structure, roughness and chemical condition of NiTi implants.

  3. Electrochemical investigations of ion-implanted oxide films

    International Nuclear Information System (INIS)

    Schultze, J.W.; Danzfuss, B.; Meyer, O.; Stimming, U.

    1985-01-01

    Oxide films (passive films) of 40-50 nm thickness were prepared by anodic polarization of hafnium and titanium electrodes up to 20 V. Multiple-energy ion implantation of palladium, iron and xenon was used in order to obtain modified films with constant concentration profiles of the implanted ions. Rutherford backscattering, X-ray photoelectron spectroscopy measurements and electrochemical charging curves prove the presence of implanted ions, but electrochemical and photoelectrochemical measurements indicate that the dominating effect of ion implantation is the disordering of the oxide film. The capacity of hafnium electrodes increases as a result of an increase in the dielectric constant D. For titanium the Schottky-Mott analysis shows that ion implantation causes an increase in D and the donor concentration N. Additional electronic states in the band gap which are created by the implantation improve the conductivity of the semiconducting or insulating films. This is seen in the enhancement of electron transfer reactions and its disappearance during repassivation and annealing. Energy changes in the band gap are derived from photoelectrochemical measurements; the absorption edge of hafnium oxide films decreases by approximately 2 eV because of ion implantation, but it stays almost constant for titanium oxide films. All changes in electrochemical behavior caused by ion implantation show little variation with the nature of the implanted ion. Hence the dominating effect seems to be a disordering of the oxide. (Auth.)

  4. Wettability control of polystyrene by ion implantation

    International Nuclear Information System (INIS)

    Suzuki, Yoshiaki; Kusakabe, Masahiro; Iwaki, Masaya

    1994-01-01

    The permanent effects of ion implantation on the improvement of wettability of polystyrene is investigated in relation to ion species and fluences. The He + , Ne + , Na + , N 2 + , O 2 + , Ar + , K + and Kr + ion implantations were performed at energies of 50 and 150 keV at room temperature. The fluences ranged from 1x10 15 to 1x10 17 ions/cm 2 . The results showed that the contact angle of water for Na + and K + implanted polystyrene decreased from 87 to 0 , as the fluences increased to 1x10 17 ions/cm 2 at an energy of 50 keV. The contact angle for Na + and K + implanted polystyrene did not change under ambient room conditions, even when time elapsed. However, the contact an gle for He + , C + , O + , Ne + , N 2 + , O 2 + , Ar + , and Kr + ion implanted specimens decreased slightly immediately after ion implantation. Results of X-ray photoelectron spectroscopy showed that the increase in the Na content in the surface of Na + implanted specimens were observed with increasing fluence. It is concluded that permanent improvement in wettability was caused by doping effects rather than by radiation effects from Na + and K + ion implantation. ((orig.))

  5. Graphitic structure formation in ion implanted polyetheretherketone

    Energy Technology Data Exchange (ETDEWEB)

    Tavenner, E., E-mail: tazman1492@gmail.com [Creative Polymers Pty. Ltd., 41 Wilkinson Street, Toowoomba, Queensland 4350 (Australia); Chemical Committee, Surface Chemical Analysis, Standards (Australia); Wood, B. [Centre for Microscopy and Microanalysis, University of Queensland, St. Lucia, Queensland 4072 (Australia); Chemical Committee, Surface Chemical Analysis, Standards (Australia); Curry, M.; Jankovic, A.; Patel, R. [Center for Applied Science and Engineering, Missouri State University, 524 North Boonville Avenue, Springfield, MO 65806 (United States)

    2013-10-15

    Ion implantation is a technique that is used to change the electrical, optical, hardness and biocompatibility of a wide range of inorganic materials. This technique also imparts similar changes to organic or polymer based materials. With polymers, ion implantation can produce a carbon enriched volume. Knowledge as to the nature of this enrichment and its relative concentration is necessary to produce accurate models of the physical properties of the modified material. One technique that can achieve this is X-ray photoelectron spectroscopy. In this study the formation of graphite like structures in the near surface of polyetheretherketone by ion implantation has been elucidated from detailed analysis of the C 1s and valence band peak structures generated by X-ray photoelectron spectroscopy. Further evidence is given by both Rutherford backscatter spectroscopy and elastic recoil detection.

  6. Plasma immersion ion implantation and deposition of DLC coating for modification of orthodontic magnets

    International Nuclear Information System (INIS)

    Wongsarat, W.; Sarapirom, S.; Aukkaravittayapun, S.; Jotikasthira, D.; Boonyawan, D.; Yu, L.D.

    2012-01-01

    This study was aimed to use the plasma immersion ion implantation and deposition (PIII-D) technique to form diamond-like carbon (DLC) thin films on orthodontic magnets to solve the corrosion problem. To search for the optimal material modification effect, PIII-D conditions including gases, processing time, and pulsing mode were varied. The formation of DLC films was confirmed and characterized with Raman spectra. The intensity of the remnant magnetic field of the magnets and the hardness, adhesion and thickness of the thin films were then measured. A corrosion test was carried out using clinic dental fluid. Improved benefits including a satisfying hardness, adhesion, remnant magnetic strength and corrosion resistance of the DLC coating could be achieved by using a higher interrupting time ratio and shorter processing time.

  7. Plasma immersion ion implantation and deposition of DLC coating for modification of orthodontic magnets

    Energy Technology Data Exchange (ETDEWEB)

    Wongsarat, W. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Sarapirom, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); National Metal and Materials Technology Center, 114 Thailand Science Park, Paholyothin Road, Klong 1, Klong Luang, Pathumthani, Bangkok 12120 (Thailand); Aukkaravittayapun, S. [National Metal and Materials Technology Center, 114 Thailand Science Park, Paholyothin Road, Klong 1, Klong Luang, Pathumthani, Bangkok 12120 (Thailand); Jotikasthira, D. [Department of Odontology-Oral Pathology, Faculty of Dentistry, Chiang Mai University, Chiang Mai 50200 (Thailand); Boonyawan, D. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D., E-mail: yuld@fnrf.science.cmu.ac.th [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2012-02-01

    This study was aimed to use the plasma immersion ion implantation and deposition (PIII-D) technique to form diamond-like carbon (DLC) thin films on orthodontic magnets to solve the corrosion problem. To search for the optimal material modification effect, PIII-D conditions including gases, processing time, and pulsing mode were varied. The formation of DLC films was confirmed and characterized with Raman spectra. The intensity of the remnant magnetic field of the magnets and the hardness, adhesion and thickness of the thin films were then measured. A corrosion test was carried out using clinic dental fluid. Improved benefits including a satisfying hardness, adhesion, remnant magnetic strength and corrosion resistance of the DLC coating could be achieved by using a higher interrupting time ratio and shorter processing time.

  8. Ion implantation of metals

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1976-01-01

    In this part of the paper descriptions are given of the effects of ion implantation on (a) friction and wear in metals; and (b) corrosion of metals. In the study of corrosion, ion implantation can be used either to introduce a constituent that is known to convey corrosion resistance, or more generally to examine the parameters which control corrosion. (U.K.)

  9. Effects of O2 and H2O plasma immersion ion implantation on surface chemical composition and surface energy of poly vinyl chloride

    International Nuclear Information System (INIS)

    Zhang Wei; Chu, Paul K.; Ji Junhui; Zhang, Yihe; Jiang Zhimin

    2006-01-01

    Oxygen and water plasma immersion ion implantation (PIII) was used to modify poly vinyl chloride (PVC) to enhance oxygen-containing surface functional groups for more effective grafting. The modified surfaces were characterized by X-ray photoelectron spectroscopy (XPS), attenuated total reflection Fourier transform infrared spectroscopy (ATR-FTIR), and contact angle measurements. Our experimental results show that both oxygen and water PIII can greatly improve the O to C ratios on the surface. The optimal plasma processing conditions differ for the two treatments. The hydrophilicity and surface energy of the plasma-implanted PVC are also improved significantly. Our results indicate that O 2 and H 2 O PIII increase both the polar and dispersion interactions and consequently the surface energy. It can be explained by the large amount of oxygen introduced to the surface and that many C-C bonds are transformed into more polar oxygen containing functional groups

  10. Development of intense pulsed heavy ion beam diode using gas puff plasma gun as ion source

    International Nuclear Information System (INIS)

    Ito, H.; Higashiyama, M.; Takata, S.; Kitamura, I.; Masugata, K.

    2006-01-01

    A magnetically insulated ion diode with an active ion source of a gas puff plasma gun has been developed in order to generate a high-intensity pulsed heavy ion beam for the implantation process of semiconductors and the surface modification of materials. The nitrogen plasma produced by the plasma gun is injected into the acceleration gap of the diode with the external magnetic field system. The ion diode is operated at diode voltage approx. =200 kV, diode current approx. =2 kA and pulse duration approx. =150 ns. A new acceleration gap configuration for focusing ion beam has been designed in order to enhance the ion current density. The experimental results show that the ion current density is enhanced by a factor of 2 and the ion beam has the ion current density of 27 A/cm 2 . In addition, the coaxial type Marx generator with voltage 200 kV and current 15 kA has been developed and installed in the focus type ion diode. The ion beam of ion current density approx. =54 A/cm 2 is obtained. To produce metallic ion beams, an ion source by aluminum wire discharge has been developed and the aluminum plasma of ion current density ∼70 A/cm 2 is measured. (author)

  11. Survey of high-voltage pulse technology suitable for large-scale plasma source ion implantation processes

    International Nuclear Information System (INIS)

    Reass, W.A.

    1994-01-01

    Many new plasma processes ideas are finding their way from the research lab to the manufacturing plant floor. These require high voltage (HV) pulse power equipment, which must be optimized for application, system efficiency, and reliability. Although no single HV pulse technology is suitable for all plasma processes, various classes of high voltage pulsers may offer a greater versatility and economy to the manufacturer. Technology developed for existing radar and particle accelerator modulator power systems can be utilized to develop a modern large scale plasma source ion implantation (PSII) system. The HV pulse networks can be broadly defined by two classes of systems, those that generate the voltage directly, and those that use some type of pulse forming network and step-up transformer. This article will examine these HV pulse technologies and discuss their applicability to the specific PSII process. Typical systems that will be reviewed will include high power solid state, hard tube systems such as crossed-field ''hollow beam'' switch tubes and planar tetrodes, and ''soft'' tube systems with crossatrons and thyratrons. Results will be tabulated and suggestions provided for a particular PSII process

  12. Ion implantation in compound semiconductors for high-performance electronic devices

    International Nuclear Information System (INIS)

    Zolper, J.C.; Baca, A.G.; Sherwin, M.E.; Klem, J.F.

    1996-01-01

    Advanced electronic devices based on compound semiconductors often make use of selective area ion implantation doping or isolation. The implantation processing becomes more complex as the device dimensions are reduced and more complex material systems are employed. The authors review several applications of ion implantation to high performance junction field effect transistors (JFETs) and heterostructure field effect transistors (HFETs) that are based on compound semiconductors, including: GaAs, AlGaAs, InGaP, and AlGaSb

  13. Hardness of ion implanted ceramics

    International Nuclear Information System (INIS)

    Oliver, W.C.; McHargue, C.J.; Farlow, G.C.; White, C.W.

    1985-01-01

    It has been established that the wear behavior of ceramic materials can be modified through ion implantation. Studies have been done to characterize the effect of implantation on the structure and composition of ceramic surfaces. To understand how these changes affect the wear properties of the ceramic, other mechanical properties must be measured. To accomplish this, a commercially available ultra low load hardness tester has been used to characterize Al 2 O 3 with different implanted species and doses. The hardness of the base material is compared with the highly damaged crystalline state as well as the amorphous material

  14. Ion implantation as an efficient surface treatment

    International Nuclear Information System (INIS)

    Straede, C.A.

    1992-01-01

    Ion beam processing has for several years been well established in the semiconductor industry. In recent years ion implantation of tool steels, ceramics and even plastics has gained increasing industrial awareness. The development of ion implantation to a commercially viable surface treatment of tools and spare parts working in production type environments is very dependent on technical merits, economic considerations, competing processes and highly individual barriers to acceptance for each particular application. Some examples of this will be discussed. The development of the process is very closely linked with the development of high current accelerators and their ability to efficiently manipulate the samples being treated, or to make sample manipulation superfluous by using special beam systems like the PSII. Furthermore, the ability to produce high beam currents (mA) of a wide variety of ions is crucial. Previously, it was broadly accepted that ion implantation of tools on a commercial basis generally had to be limited to nitrogen implantation. The development of implanters which can produce high beam currents of ions like B + , C + , Ti + , Cr + and others is rapidly changing this situation, and today an increasing number of commercial implantations are performed with these ions although nitrogen is still successfully used in the majority of commercial implantation. All in all, the recent development of equipment makes it possible to a higher extent than before to tailor the implantation to a specific situation. The emerging new possibilities in this direction will be discussed, and a broad selection of practical examples of ion implantation at standard low temperatures of tools and spare parts will be given. Furthermore, very interesting results have been obtained recently by implanting nitrogen at elevated temperatures, which yields a relatively deep penetration of the implanted ions. (orig./WL)

  15. Ion implantation for semiconductors

    International Nuclear Information System (INIS)

    Grey-Morgan, T.

    1995-01-01

    Full text: Over the past two decades, thousands of particle accelerators have been used to implant foreign atoms like boron, phosphorus and arsenic into silicon crystal wafers to produce special embedded layers for manufacturing semiconductor devices. Depending on the device required, the atomic species, the depth of implant and doping levels are the main parameters for the implantation process; the selection and parameter control is totally automated. The depth of the implant, usually less than 1 micron, is determined by the ion energy, which can be varied between 2 and 600 keV. The ion beam is extracted from a Freeman or Bernas type ion source and accelerated to 60 keV before mass analysis. For higher beam energies postacceleration is applied up to 200 keV and even higher energies can be achieved by mass selecting multiplycharged ions, but with a corresponding reduction in beam output. Depending on the device to be manufactured, doping levels can range from 10 10 to 10 15 atoms/cm 2 and are controlled by implanter beam currents in the range up to 30mA; continuous process monitoring ensures uniformity across the wafer of better than 1 % . As semiconductor devices get smaller, additional sophistication is required in the design of the implanter. The silicon wafers charge electrically during implantation and this charge must be dissipated continuously to reduce the electrical stress in the device and avoid destructive electrical breakdown. Electron flood guns produce low energy electrons (below 10 electronvolts) to neutralize positive charge buildup and implanter design must ensure minimum contamination by other isotopic species and ensure low internal sputter rates. The pace of technology in the semiconductor industry is such that implanters are being built now for 256 Megabit circuits but which are only likely to be widely available five years from now. Several specialist companies manufacture implanter systems, each costing around US$5 million, depending on the

  16. Statistical 3D damage accumulation model for ion implant simulators

    CERN Document Server

    Hernandez-Mangas, J M; Enriquez, L E; Bailon, L; Barbolla, J; Jaraiz, M

    2003-01-01

    A statistical 3D damage accumulation model, based on the modified Kinchin-Pease formula, for ion implant simulation has been included in our physically based ion implantation code. It has only one fitting parameter for electronic stopping and uses 3D electron density distributions for different types of targets including compound semiconductors. Also, a statistical noise reduction mechanism based on the dose division is used. The model has been adapted to be run under parallel execution in order to speed up the calculation in 3D structures. Sequential ion implantation has been modelled including previous damage profiles. It can also simulate the implantation of molecular and cluster projectiles. Comparisons of simulated doping profiles with experimental SIMS profiles are presented. Also comparisons between simulated amorphization and experimental RBS profiles are shown. An analysis of sequential versus parallel processing is provided.

  17. Statistical 3D damage accumulation model for ion implant simulators

    International Nuclear Information System (INIS)

    Hernandez-Mangas, J.M.; Lazaro, J.; Enriquez, L.; Bailon, L.; Barbolla, J.; Jaraiz, M.

    2003-01-01

    A statistical 3D damage accumulation model, based on the modified Kinchin-Pease formula, for ion implant simulation has been included in our physically based ion implantation code. It has only one fitting parameter for electronic stopping and uses 3D electron density distributions for different types of targets including compound semiconductors. Also, a statistical noise reduction mechanism based on the dose division is used. The model has been adapted to be run under parallel execution in order to speed up the calculation in 3D structures. Sequential ion implantation has been modelled including previous damage profiles. It can also simulate the implantation of molecular and cluster projectiles. Comparisons of simulated doping profiles with experimental SIMS profiles are presented. Also comparisons between simulated amorphization and experimental RBS profiles are shown. An analysis of sequential versus parallel processing is provided

  18. Surface microhardening by ion implantation

    International Nuclear Information System (INIS)

    Singh, Amarjit

    1986-01-01

    The paper discusses the process and the underlying mechanism of surface microhardening by implanting suitable energetic ions in materials like 4145 steel, 304 stainless steel, aluminium and its 2024-T351 alloy. It has been observed that boron and nitrogen implantation in materials like 4145 steel and 304 stainless steel can produce a significant increase in surface hardness. Moreover the increase can be further enhanced with suitable overlay coatings such as aluminium (Al), Titanium (Ti) and carbon (C). The surface hardening due to implantation is attributed to precipitation hardening or the formation of stable/metastable phase or both. The effect of lithium implantation in aluminium and its alloy on microhardness with increasing ion dose and ion beam energy is also discussed. (author)

  19. Effects of zirconium and nitrogen plasma immersion ion implantation on the electrochemical corrosion behavior of Mg–Y–RE alloy in simulated body fluid and cell culture medium

    International Nuclear Information System (INIS)

    Jamesh, Mohammed Ibrahim; Wu, Guosong; Zhao, Ying; Jin, Weihong; McKenzie, David R.; Bilek, Marcela M.M.; Chu, Paul K.

    2014-01-01

    Highlights: • Dual Zr and N plasma ion implantation are conducted on WE43Mg alloy. • Zr and N implanted WE43 (ZrN-WE43) enhanced corrosion resistance in cell culture medium. • ZrN-WE43 enhanced corrosion resistance in simulated body fluid (SBF). • ZrN-WE43 shows near capacitive impedance spectra in cell culture medium. • Calcium phosphate is formed on the corrosion product. - Abstract: The effects of dual Zr and N plasma immersion ion implantation (PIII) on the corrosion behavior of WE43Mg alloy are evaluated in simulated body fluid (SBF) and cell culture medium (cDMEM). Zr and N PIII improves the corrosion resistance of WE43 which exhibits smaller i corr , larger R 1 and R 2 , smaller CPE 2 , and larger phase angle maxima in SBF and cDMEM. The Zr and N PIII WE43 samples exhibit 12-folds decrease in i corr in SBF and 71-folds decrease in i corr with near capacitive EIS in cDMEM. Analysis of the corrosion products reveals calcium phosphate

  20. Development of industrial ion implantation technology

    International Nuclear Information System (INIS)

    Choi, Byung Hoh; Jung, Kee Suk; Kim, Wan; Song, Woo Sub; Hwang, Chul Kyoo

    1994-02-01

    We developed an ion implanter fitted for the treatment of 12 inch or larger wafers to make 256 or higher Mega D-Ram wafers. Design features are dual usage of gas/solid for the ion source loading, production of multi-balanced ions, and the possible oxygen ion implantation. BOSII program was used for the ion optics calculation. Beams are triangularly scanned to wafers for the even implantation by a proper magnetic field application. More than 10 mA ion current is produced. For the efficient implantation to be made, target is made to rotate with tilted angle at a displaced axis. High speed tools, diamond tools, precision dies, and razor blades were implanted and the performance was evaluated after two or three times of line application. Of those materials studied, PCB drills and end mills are on the commercial treatment stages. Industrial materials as SKD-11, WC-Co, NAK-55 was compositely treated with ion beam and coating. Resultant properties were analyzed using AES, XRD, and TEM. For the case of xenon ions, excellent TiN coating resulted and its application to microcircuit lead frame increased the performance to more than 30 percent. 94 figs, 29 pix, 19 tabs, 50 refs. (Author)

  1. Development of industrial ion implantation technology

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Byung Hoh; Jung, Kee Suk; Kim, Wan; Song, Woo Sub; Hwang, Chul Kyoo [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1994-02-01

    We developed an ion implanter fitted for the treatment of 12 inch or larger wafers to make 256 or higher Mega D-Ram wafers. Design features are dual usage of gas/solid for the ion source loading, production of multi-balanced ions, and the possible oxygen ion implantation. BOSII program was used for the ion optics calculation. Beams are triangularly scanned to wafers for the even implantation by a proper magnetic field application. More than 10 mA ion current is produced. For the efficient implantation to be made, target is made to rotate with tilted angle at a displaced axis. High speed tools, diamond tools, precision dies, and razor blades were implanted and the performance was evaluated after two or three times of line application. Of those materials studied, PCB drills and end mills are on the commercial treatment stages. Industrial materials as SKD-11, WC-Co, NAK-55 was compositely treated with ion beam and coating. Resultant properties were analyzed using AES, XRD, and TEM. For the case of xenon ions, excellent TiN coating resulted and its application to microcircuit lead frame increased the performance to more than 30 percent. 94 figs, 29 pix, 19 tabs, 50 refs. (Author).

  2. Structure-property and composition-property relationships for poly(ethylene terephthalate) surfaces modified by helium plasma-based ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Toth, A., E-mail: totha@chemres.hu [Institute of Materials and Environmental Chemistry, Chemical Research Center, Hungarian Academy of Sciences, H-1525 Budapest, P.O. Box 17 (Hungary); Veres, M. [Research Institute for Solid State Physics and Optics of the Hungarian Academy of Sciences, H-1525 Budapest, P.O. Box 49 (Hungary); Kereszturi, K.; Mohai, M.; Bertoti, I.; Szepvoelgyi, J. [Institute of Materials and Environmental Chemistry, Chemical Research Center, Hungarian Academy of Sciences, H-1525 Budapest, P.O. Box 17 (Hungary)

    2011-10-01

    The surfaces of untreated and helium plasma-based ion implantation (He PBII) treated poly(ethylene terephthalate) (PET) samples were characterised by reflectance colorimetry, contact angle studies and measurements of surface electrical resistance. The results were related to the structural and compositional data obtained by the authors earlier on parallel samples by XPS and Raman spectroscopy. Inverse correlations between lightness and I{sub D}/I{sub G} ratio and between chroma and I{sub D}/I{sub G} ratio were obtained, suggesting that the PBII-treated PET samples darken and their colourfulness decreases with the increase of the portion of aromatic sp{sup 2} carbon rings in the chemical structure of the modified layer. Direct correlation between water contact angle and the I{sub D}/I{sub G} ratio and inverse correlations between surface energy and I{sub D}/I{sub G} ratio and between dispersive component of surface energy and I{sub D}/I{sub G} ratio were found, reflecting that surface wettability, surface energy and its dispersive component decrease with the formation of surface structure, characterised again by enhanced portion of aromatic sp{sup 2} carbon rings. The surface electrical resistance decreased with the increase of the surface C-content determined by XPS and also with the increase of the surface concentration of conjugated double bonds, reflected by the increase of the {pi} {yields} {pi}* shake-up satellite of the C 1s peak.

  3. Evaluation of stabilization techniques for ion implant processing

    Science.gov (United States)

    Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Narcy, Mark E.; Livesay, William R.

    1999-06-01

    With the integration of high current ion implant processing into volume CMOS manufacturing, the need for photoresist stabilization to achieve a stable ion implant process is critical. This study compares electron beam stabilization, a non-thermal process, with more traditional thermal stabilization techniques such as hot plate baking and vacuum oven processing. The electron beam processing is carried out in a flood exposure system with no active heating of the wafer. These stabilization techniques are applied to typical ion implant processes that might be found in a CMOS production process flow. The stabilization processes are applied to a 1.1 micrometers thick PFI-38A i-line photoresist film prior to ion implant processing. Post stabilization CD variation is detailed with respect to wall slope and feature integrity. SEM photographs detail the effects of the stabilization technique on photoresist features. The thermal stability of the photoresist is shown for different levels of stabilization and post stabilization thermal cycling. Thermal flow stability of the photoresist is detailed via SEM photographs. A significant improvement in thermal stability is achieved with the electron beam process, such that photoresist features are stable to temperatures in excess of 200 degrees C. Ion implant processing parameters are evaluated and compared for the different stabilization methods. Ion implant system end-station chamber pressure is detailed as a function of ion implant process and stabilization condition. The ion implant process conditions are detailed for varying factors such as ion current, energy, and total dose. A reduction in the ion implant systems end-station chamber pressure is achieved with the electron beam stabilization process over the other techniques considered. This reduction in end-station chamber pressure is shown to provide a reduction in total process time for a given ion implant dose. Improvements in the ion implant process are detailed across

  4. Friction and wear study of diamond-like carbon gradient coatings on Ti6Al4V substrate prepared by plasma source ion implant-ion beam enhanced deposition

    International Nuclear Information System (INIS)

    Jiang, Shuwen; Jiang Bin; Li Yan; Li Yanrong; Yin Guangfu; Zheng Changqiong

    2004-01-01

    DLC gradient coatings had been deposited on Ti6Al4V alloy substrate by plasma source ion implantation-ion beam enhanced deposition method and their friction and wear behavior sliding against ultra high molecular weight polyethylene counterpart were investigated. The results showed that DLC gradient coated Ti6Al4V had low friction coefficient, which reduced 24, 14 and 10% compared with non-coated Ti6Al4V alloy under dry sliding, lubrication of bovine serum and 0.9% NaCl solution, respectively. DLC gradient coated Ti6Al4V showed significantly improved wear resistance, the wear rate was about half of non-coated Ti6Al4V alloy. The wear of ultra high molecular weight polyethylene counterpart was also reduced. High adhesion to Ti6Al4V substrate of DLC gradient coatings and surface structure played important roles in improved tribological performance, serious oxidative wear was eliminated when DLC gradient coating was applied to the Ti6Al4V alloy

  5. Aligned ion implantation using scanning probes

    International Nuclear Information System (INIS)

    Persaud, A.

    2006-01-01

    A new technique for precision ion implantation has been developed. A scanning probe has been equipped with a small aperture and incorporated into an ion beamline, so that ions can be implanted through the aperture into a sample. By using a scanning probe the target can be imaged in a non-destructive way prior to implantation and the probe together with the aperture can be placed at the desired location with nanometer precision. In this work first results of a scanning probe integrated into an ion beamline are presented. A placement resolution of about 120 nm is reported. The final placement accuracy is determined by the size of the aperture hole and by the straggle of the implanted ion inside the target material. The limits of this technology are expected to be set by the latter, which is of the order of 10 nm for low energy ions. This research has been carried out in the context of a larger program concerned with the development of quantum computer test structures. For that the placement accuracy needs to be increased and a detector for single ion detection has to be integrated into the setup. Both issues are discussed in this thesis. To achieve single ion detection highly charged ions are used for the implantation, as in addition to their kinetic energy they also deposit their potential energy in the target material, therefore making detection easier. A special ion source for producing these highly charged ions was used and their creation and interactions with solids of are discussed in detail. (orig.)

  6. Mutagenic effects of ion implantation on stevia

    International Nuclear Information System (INIS)

    Wang Cailian; Shen Mei; Chen Qiufang; Lu Ting; Shu Shizhen

    1998-01-01

    Dry seeds of Stevia were implanted by 75 keV nitrogen and carbon ions with various doses. The biological effects in M 1 and mutation in M 2 were studied. The results showed that ion beam was able to induce variation on chromosome structure in root tip cells. The rate of cells with chromosome aberration was increased with ion beam dose. The rate of cells with chromosomal aberration was lower than that induced with γ-rays. Frequency of the mutation induced by implantation of N + and C + ions were higher than those induced by γ-rays. The rate of cell with chromosome aberration and in M 2 useful mutation induced by implantation of C + ion was higher than those induced by implantation of N + ion. Mutagenic effects Feng 1 x Riyuan and Riyuan x Feng 2 by implantation of N + and C + were higher than that of Jining and Feng 2

  7. Ion implantation methods for semiconductor substrates

    International Nuclear Information System (INIS)

    Matsushita, T.; Mamine, T.; Hayashi, H.; Nishiyama, K.

    1980-01-01

    A method of ion implantation for controlling the life time of minority carriers in a semiconductor substrate and hence to reduce the temperature dependency of the life time, comprises implanting iron ions into an N type semiconductor substrate with a dosage of 10 10 to 10 15 ions cm -2 , and then heat-treating the implanted substrate at 850 0 to 1250 0 C. The method is applicable to the production of diodes, transistors, Si controlled rectifiers and gate controlled switching devices. (author)

  8. Ion acceleration in non-equilibrium plasmas driven by fast drifting electron

    Energy Technology Data Exchange (ETDEWEB)

    Castro, G. [INFN- Laboratori Nazionali del Sud, via S.Sofia 62, 95123 Catania (Italy); Università degli Studi di Catania, Dipartimento di Fisica e Astronomia, V. S.Sofia 64, 95123 Catania (Italy); Di Bartolo, F., E-mail: fdibartolo@unime.it [Università di Messina, V.le F. Stagno D’Alcontres 31, 98166, Messina (Italy); Gambino, N. [INFN- Laboratori Nazionali del Sud, via S.Sofia 62, 95123 Catania (Italy); Università degli Studi di Catania, Dipartimento di Metodologie Fisiche e Chimiche per L’ingegneria, Viale A.Doria 6, 95125 Catania (Italy); Mascali, D. [INFN- Laboratori Nazionali del Sud, via S.Sofia 62, 95123 Catania (Italy); CSFNSM, Viale A. Doria 6, 95125 Catania (Italy); Romano, F.P. [INFN- Laboratori Nazionali del Sud, via S.Sofia 62, 95123 Catania (Italy); CNR-IBAM Via Biblioteca 4, 95124 Catania (Italy); Anzalone, A.; Celona, L.; Gammino, S. [INFN- Laboratori Nazionali del Sud, via S.Sofia 62, 95123 Catania (Italy); Di Giugno, R. [INFN- Laboratori Nazionali del Sud, via S.Sofia 62, 95123 Catania (Italy); Università degli Studi di Catania, Dipartimento di Fisica e Astronomia, V. S.Sofia 64, 95123 Catania (Italy); Lanaia, D. [INFN- Laboratori Nazionali del Sud, via S.Sofia 62, 95123 Catania (Italy); Miracoli, R. [INFN- Laboratori Nazionali del Sud, via S.Sofia 62, 95123 Catania (Italy); Università degli Studi di Catania, Dipartimento di Fisica e Astronomia, V. S.Sofia 64, 95123 Catania (Italy); Serafino, T. [CSFNSM, Viale A. Doria 6, 95125 Catania (Italy); Tudisco, S. [INFN- Laboratori Nazionali del Sud, via S.Sofia 62, 95123 Catania (Italy); CSFNSM, Viale A. Doria 6, 95125 Catania (Italy)

    2013-05-01

    We hereby present results on ion acceleration mechanisms in non equilibrium plasmas generated by microwaves or high intensity laser pulses. Experiments point out that in magnetized plasmas X–B conversion takes place for under resonance values of the magnetic field, i.e. an electromagnetic mode is converted into an electrostatic wave. The strong self-generated electric field, of the order of 10{sup 7} V/m, causes a E × B drift which accelerates both ions and electrons, as it is evident by localized sputtering in the plasma chamber. These fields are similar (in magnitude) to the ones obtainable in laser generated plasmas at intensity of 10{sup 12} W/cm{sup 2}. In this latter case, we observe that the acceleration mechanism is driven by electrons drifting much faster than plasma bulk, thus generating an extremely strong electric field ∼10{sup 7} V/m. The two experiments confirm that ions acceleration at low energy is possible with table-top devices and following complementary techniques: i.e. by using microwave-driven (producing CW beams) plasmas, or non-equilibrium laser-driven plasmas (producing pulsed beams). Possible applications involve ion implantation, materials surface modifications, ion beam assisted lithography, etc.

  9. Surface engineering by ion implantation

    International Nuclear Information System (INIS)

    Nielsen, Bjarne Roger

    1995-01-01

    Awidespread commercial applica tion iof particle accelerators is for ion implantation. Accelerator beams are used for ion implantation into metals, alloying a thin surface layer with foreign atoms to concentrations impossible to achieve by thermal processes, making for dramatic improvements in hardness and in resistance to wear and corrosion. Traditional hardening processes require high temperatures causing deformation; ion implantation on the other hand is a ''cold process'', treating the finished product. The ionimplanted layer is integrated in the substrate, avoiding the risk of cracking and delamination from normal coating processes. Surface properties may be ''engineered'' independently of those of the bulk material; the process does not use environmentally hazardous materials such as chromium in the surface coating. The typical implantation dose required for the optimum surface properties of metals is around 2 x 10 17 ion/cm 2 , a hundred times the typical doses for semiconductor processing. When surface areas of more than a few square centimetres have to be treated, the implanter must therefore be able to produce high beam currents (5 to 10 mA) to obtain an acceptable treatment time. Ion species used include nitrogen, boron, carbon, titanium, chromium and tantalum, and beam energies range from 50 to 200 keV. Since most components are three dimensional, it must be possible to rotate and tilt them in the beam, and control beam position over a large area. Examples of industrial applications are: - surface treatment of prostheses (hip and knee joints) to reduce wear of the moving parts, using biocompatible materials; - ion implantation into high speed ball bearings to protect against the aqueous corrosion in jet engines (important for service helicopters on oil rigs); - hardening of metal forming and cutting tools; - reduction of corrosive wear of plastic moulding tools, which are expensive to produce

  10. Ion implantation - an introduction

    International Nuclear Information System (INIS)

    Townsend, P.D.

    1986-01-01

    Ion implantation is a widely used technique with a literature that covers semiconductor production, surface treatments of steels, corrosion resistance, catalysis and integrated optics. This brief introduction outlines advantages of the technique, some aspects of the underlying physics and examples of current applications. Ion implantation is already an essential part of semiconductor technology while in many other areas it is still in an early stage of development. The future scope of the subject is discussed. (author)

  11. Prospects of ion implantation and ion beam mixing for corrosion protection

    International Nuclear Information System (INIS)

    Wolf, G.K.; Munn, P.; Ensinger, W.

    1985-01-01

    Ion implantation is very useful new low temperature treatment for improving the mechanical surface properties of materials without any dimensional changes. In addition also the corrosion properties of metals can be modified considerably by this technique. The long term corrosion behaviour of implanted metals, however, has been studied only for a very limited number of cases. In this contribution a survey of attempts to do this will be presented. As examples of promising systems for corrosion protection by ion beams iron, steel and titanium were examined with and without pretreatment by ion implantation and ion beam mixing. The corrosion rates of the systems have been obtained by neutron activation analysis and by electrochemical methods. Experimental results are presented on: Palladium implanted in titanium - crevice corrosion in salt solution; Palladium implanted in and deposited on titanium -corrosion in sulfuric acid; Platinum implanted in stainless steel -corrosion in sulfuric acid. (author)

  12. Oxygen and sodium plasma-implanted nickel-titanium shape memory alloy: A novel method to promote hydroxyapatite formation and suppress nickel leaching

    International Nuclear Information System (INIS)

    Chan, Y.L.; Yeung, K.W.K.; Lu, W.W.; Ngan, A.H.W.; Luk, K.D.K.; Chan, D.; Wu, S.L.; Liu, X.M.; Chu, Paul K.; Cheung, K.M.C.

    2007-01-01

    This study aims at modifying the surface bioactivity of NiTi by sodium and oxygen plasma immersion ion implantation (PIII). Sodium ions were implanted into oxygen plasma-implanted NiTi and untreated NiTi. X-ray photoelectron spectroscopy (XPS) revealed that more sodium was implanted into the oxygen pre-implanted sample in comparison with the untreated surface. Scanning electron microscopy (SEM) coupled with energy dispersive X-ray analysis (EDX) detected calcium and phosphorus rich deposits on both samples after immersion in simulated body fluids for 7 and 21 days. Inductively-coupled plasma mass spectrometry (ICPMS) conducted on the deposits dissolved in diluted hydrochloric acid showed more calcium on the oxygen PIII samples. The improved corrosion resistance of the oxygen PIII NiTi was retained after sodium PIII as evaluated by potentiodynamic polarization tests. Better spreading and proliferation of osteoblasts were also observed on the treated samples

  13. Development of industrial ion implantation and ion assisted coating processes: A perspective

    International Nuclear Information System (INIS)

    Legg, K.O.; Solnick-Legg, H.

    1989-01-01

    Ion beam processes have gone through a series of developmental stages, from being the mainstay of the semiconductor industry for production of integrated circuits, to new commercial processes for biomedical, aerospace and other industries. Although research is still continuing on surface modification using ion beam methods, ion implantation and ion assisted coatings for treatment of metals, ceramics, polymers and composites must now be considered viable industrial processes of benefit in a wide variety of applications. However, ion implantation methods face various barriers to acceptability, in terms not only of other surface treatment processes, but for implantation itself. This paper will discuss some of the challenges faced by a small company whose primary business is development and marketing of ion implantation and ion-assisted coating processes. (orig.)

  14. Ion implantation planar in targets with semi-cylindrical grooves

    International Nuclear Information System (INIS)

    Filiz, Y.; Demokan, O.

    2002-01-01

    The experimental and numerical investigations suggest that the ion-matrix phase of the sheath evolution plays a crucial role in determining the ion flux to the target surfaces . It can easily be realized that conformal mapping of the target's surface by the sheath is questionable, or even inapplicable in the case of surfaces with fine irregularities or this continuities. The theoretical analysis of such cases is evidently quite complicated. On the other hand, most actual targets fall into this category, and hence, the understanding of the corresponding sheath behavior remains vital for accomplishing uniform implantation. The ion- matrix sheaths have been treated analytically by Conrad for planar, cylindrical and spherical targets successfully. Similar y, Sheridan and Zang et al. have investigated the ion matrix sheath in cylindrical bores, without and with axial electrodes, respectively. All these works assumed targets with infinite areas or length, Zeng et al. and Kwok et al. have started studying implantation into grooves, by carrying out simulations for the inner and outer races of bearings, which are modeled as semi- cylinders of infinite length. Finally, Demokan has presented the first analytic treatment of on matrix sheaths in two- dimensions, by considering targets with rectangular grooves of infinite length, representing a broad range of industrial items. In this work, ion-matrix sheath near infinite length are theoretically analysed. Understanding the sheath formation near such targets is essential for achieving successful ion implantation on the surfaces of a broad range of industrial products, including all types of bearings. The potential profiles both inside and outside the groove are derived and the consequent ion velocity higher plasma densities may improve the uniformity of implantation on the surfaces of such grooves. Furthermore, the sheath edge deformation due to the grooves, the variation of the angle of incidence on the surface of the groove

  15. IBC c-Si solar cells based on ion-implanted poly-silicon passivating contacts

    NARCIS (Netherlands)

    Yang, G.; Ingenito, A.; Isabella, O.; Zeman, M.

    2016-01-01

    Ion-implanted poly-crystalline silicon (poly-Si), in combination with a tunnel oxide layer, is investigated as a carrier-selective passivating contact in c-Si solar cells based on an interdigitated back contact (IBC) architecture. The optimized poly-Si passivating contacts enable low interface

  16. Preparation of targets by ion implantation

    International Nuclear Information System (INIS)

    Santry, D.C.

    1976-01-01

    Various factors are described which are involved in target preparation by direct ion implantation and the limitations and pitfalls of the method are emphasized. Examples are given of experiments for which ion implanted targets are well suited. (author)

  17. Ion-substituted calcium phosphate coatings deposited by plasma-assisted techniques: A review.

    Science.gov (United States)

    Graziani, Gabriela; Bianchi, Michele; Sassoni, Enrico; Russo, Alessandro; Marcacci, Maurilio

    2017-05-01

    One of the main critical aspects behind the failure or success of an implant resides in its ability to fast bond with the surrounding bone. To boost osseointegration, the ideal implant material should exhibit composition and structure similar to those of biological apatite. To this aim, the most common approach is to coat the implant surface with a coating of hydroxyapatite (HA), resembling the main component of mineralized tissues. However, bone apatite is a non-stoichiometric, multi-substituted poorly-crystalline apatite, containing significant amounts of foreign ions, with high biological relevance. Ion-substituted HAs can be deposited by so called "wet methods", which are however poorly reproducible and hardly industrially feasible; at the same time bioactive coatings realized by plasma assisted method, interesting for industrial applications, are generally made of stoichiometric (i.e. un-substituted) HA. In this work, the literature concerning plasma-assisted deposition methods used to deposit ion-substituted HA was reviewed and the last advances in this field discussed. The ions taken into exam are those present in mineralized tissues and possibly having biological relevance. Notably, literature about this topic is scarce, especially relating to in vivo animal and clinical trials; further on, available studies evaluate the performance of substituted coatings from different points of view (mechanical properties, bone growth, coating dissolution, etc.) which hinders a proper evaluation of the real efficacy of ion-doped HA in promoting bone regeneration, compared to stoichiometric HA. Moreover, results obtained for plasma sprayed coatings (which is the only method currently employed for deposition at the industrial scale) were collected and compared to those of novel plasma-assisted techniques, that are expected to overcome its limitations. Data so far available on the topic were discussed to highlight advantages, limitations and possible perspectives of these

  18. Plasma heating and hot ion sustaining in mirror based hybrids

    International Nuclear Information System (INIS)

    Moiseenko, V. E.; Ågren, O.

    2012-01-01

    Possibilities of plasma heating and sloshing ion sustaining in mirror based hybrids are briefly reviewed. Sloshing ions, i.e. energetic ions with a velocity distribution concentrated to a certain pitch-angle, play an important role in plasma confinement and generation of fusion neutrons in mirror machines. Neutral beam injection (NBI) is first discussed as a method to generate sloshing ions. Numerical results of NBI modeling for a stellarator-mirror hybrid are analyzed. The sloshing ions could alternatively be sustained by RF heating. Fast wave heating schemes, i.e. magnetic beach, minority and second harmonic heating, are addressed and their similarities and differences are described. Characteristic features of wave propagation in mirror hybrid devices including both fundamental harmonic minority and second harmonic heating are examined. Minority heating is efficient for a wide range of minority concentration and plasma densities; it allows one to place the antenna aside from the hot ion location. A simple-design strap antenna suitable for this has good performance. However, this scenario is appropriate only for light minority ions. The second harmonic heating can be applied for the heavy ion component. Arrangements are similar for minority and second harmonic heating. The efficiency of second harmonic heating is influenced by a weaker wave damping than for minority heating. Numerical calculations show that in a hybrid reactor scaled mirror machine the deuterium sloshing ions could be heated within the minority heating scheme, while the tritium ions could be sustained by second harmonic heating.

  19. Ion implantation and diamond-like coatings of aluminum alloys

    Science.gov (United States)

    Malaczynski, G. W.; Hamdi, A. H.; Elmoursi, A. A.; Qiu, X.

    1997-04-01

    In an attempt to increase the wear resistance of some key automotive components, General Motors Research and Development Center initiated a study to determine the potential of surface modification as a means of improving the tribological properties of automotive parts, and to investigate the feasibility of mass producing such parts. This paper describes the plasma immersion ion implantation system that was designed for the study of various options for surface treatment, and it discusses bench testing procedures used for evaluating the surface-treated samples. In particular, both tribological and microstructural analyses are discussed for nitrogen implants and diamond-like hydrocarbon coatings of some aluminum alloys.

  20. Ion implantation to improve mechanical and electrical properties of resistive materials based on ruthenium dioxide

    International Nuclear Information System (INIS)

    Byeli, A.V.; Shykh, S.K.; Beresina, V.P.

    1996-01-01

    This paper reports the influence of ion implantation, using different chemical species, on the surface micromorphology, wear resistance, coefficient of friction and electrical resistivity, and its variation during friction for resistive materials based on ruthenium dioxide. It is demonstrated that nitrogen and hydrogen ions are the most effective for modifying surface properties. (Author)

  1. Ion-Ion Plasmas Produced by Electron Beams

    Science.gov (United States)

    Fernsler, R. F.; Leonhardt, D.; Walton, S. G.; Meger, R. A.

    2001-10-01

    The ability of plasmas to etch deep, small-scale features in materials is limited by localized charging of the features. The features charge because of the difference in electron and ion anisotropy, and thus one solution now being explored is to use ion-ion plasmas in place of electron-ion plasmas. Ion-ion plasmas are effectively electron-free and consist mainly of positive and negative ions. Since the two ion species behave similarly, localized charging is largely eliminated. However, the only way to produce ion-ion plasmas at low gas pressure is to convert electrons into negative ions through two-body attachment to neutrals. While the electron attachment rate is large at low electron temperatures (Te < 1 eV) in many of the halogen gases used for processing, these temperatures occur in most reactors only during the afterglow when the heating fields are turned off and the plasma is decaying. By contrast, Te is low nearly all the time in plasmas produced by electron beams, and therefore electron beams can potentially produce ion-ion plasmas continuously. The theory of ion-ion plasmas formed by pulsed electron beams is examined in this talk and compared with experimental results presented elsewhere [1]. Some general limitations of ion-ion plasmas, including relatively low flux levels, are discussed as well. [1] See the presentation by D. Leonhardt et al. at this conference.

  2. Negative-ion current density dependence of the surface potential of insulated electrode during negative-ion implantation

    International Nuclear Information System (INIS)

    Tsuji, Hiroshi; Okayama, Yoshio; Toyota, Yoshitaka; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki; Tanjyo, Masayasu; Matsuda, Kouji.

    1994-01-01

    Positive ion implantation has been utilized as the method of impurity injection in ultra-LSI production, but the problem of substrate charging cannot be resolved by conventional charge compensation method. It was forecast that by negative ion implantation, this charging problem can be resolved. Recently the experiment on the negative ion implantation into insulated electrodes was carried out, and the effect of negative ion implantation to this problem was proved. However, the dependence of charged potential on the increase of negative ion current at the time of negative ion implantation is a serious problem in large current negative ion implantation hereafter. The charged potential of insulated conductor substrates was measured by the negative ion implantation using the current up to several mA/cm 2 . The experimental method is explained. Medium current density and high current density negative ion implantation and charged potential are reported. Accordingly in negative ion implantation, if current density is optimized, the negative ion implantation without charging can be realized. (K.I.)

  3. A simple ion implanter for material modifications in agriculture and gemmology

    Science.gov (United States)

    Singkarat, S.; Wijaikhum, A.; Suwannakachorn, D.; Tippawan, U.; Intarasiri, S.; Bootkul, D.; Phanchaisri, B.; Techarung, J.; Rhodes, M. W.; Suwankosum, R.; Rattanarin, S.; Yu, L. D.

    2015-12-01

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X-Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  4. A simple ion implanter for material modifications in agriculture and gemmology

    International Nuclear Information System (INIS)

    Singkarat, S.; Wijaikhum, A.; Suwannakachorn, D.; Tippawan, U.; Intarasiri, S.; Bootkul, D.; Phanchaisri, B.; Techarung, J.; Rhodes, M.W.; Suwankosum, R.; Rattanarin, S.; Yu, L.D.

    2015-01-01

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X–Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  5. A simple ion implanter for material modifications in agriculture and gemmology

    Energy Technology Data Exchange (ETDEWEB)

    Singkarat, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Wijaikhum, A. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Department of Physics, University of York, Heslington, York YO10 5DD (United Kingdom); Suwannakachorn, D.; Tippawan, U. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Intarasiri, S. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Bootkul, D. [Department of General Science, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Phanchaisri, B.; Techarung, J. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Rhodes, M.W.; Suwankosum, R.; Rattanarin, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D., E-mail: yuld@thep-center.org [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2015-12-15

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X–Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  6. 1020 steel coated with Ti/TiN by Cathodic Arc and Ion Implantation

    International Nuclear Information System (INIS)

    Bermeo, F; Quintana, J P; Kleiman, A; Márquez, A; Sequeda, F

    2017-01-01

    TiN coatings have been widely studied in order to improve mechanical properties of steels. In this work, thin Ti/TiN films were prepared by plasma based immersion ion implantation and deposition (PBII and D) with a cathodic arc on AISI 1020 steel substrates. Substrates were exposed to the discharge during 1 min in vacuum for the deposition of a Tiunderlayer with the aim of improving the adhesion to the substrate. Then, a TiN layer was deposited during 6 min in a nitrogen environment at a pressure of 3xl0 -4 mbar. Samples were obtained at room temperature and at 300 °C, and with or without ion implantation in order to analyze differences between the effects of each treatment on the tribological properties. The mechanical and tribological properties of the films were characterized. The coatings deposited by PBII and D at 300 °C presented the highest hardness and young modulus, the best wear resistance and corrosion performance. (paper)

  7. Surface modification by nitrogen plasma immersion ion implantation into new steel 460Li–21Cr in a capacitively coupled radio frequency discharge

    International Nuclear Information System (INIS)

    Bhuyan, H.; Mändl, S.; Bora, B.; Favre, M.; Wyndham, E.; Maze, J.R.; Walczak, M.; Manova, D.

    2014-01-01

    Highlights: • Nitriding of a novel steel has been done in a RF plasma by PIII technique. • Improved hardness and wear behavior have been observed. • Hardness was improved by a factor 4 and the wear by 2 orders of magnitude. • Fast, anomalous diffusion, similar to nitrogen in expanded austenite is observed. - Abstract: A novel steel 460Li–21Cr belonging to a new generation of superferritic grade steel has been implanted with nitrogen in a low power 13.56 MHz radio frequency plasma by the plasma immersion ion implantation (PIII) technique in order to study its physical and chemical properties under different experimental conditions. We observed improved hardness and wear behavior of 460Li–21Cr steel with a layer thickness between 1.5 and 4.0 μm after 60 min implantation in the temperature range from 350 to 550 °C. The modified surface layer containing nitrogen does not show CrN in X-ray diffraction (XRD). Compared to untreated substrates, the hardness can be increased by a factor of 4, depending on the experimental conditions, and the wear behavior was also improved by two orders of magnitude. The results are very similar to those for austenitic stainless steel with a similar pronounced increase in wear resistance and plateau-like nitrogen depth profiles

  8. Low energy implantation of boron with decaborane ions

    Science.gov (United States)

    Albano, Maria Angela

    The goal of this dissertation was to determine the feasibility of a novel approach to forming ultra shallow p-type junctions (tens of nm) needed for future generations of Si MOS devices. In the new approach, B dopant atoms are implanted by cluster ions obtained by ionization of decaborane (B 10H14) vapor. An experimental ion implanter with an electron impact ion source and magnetic mass separation was built at the Ion Beam and Thin Film Research Laboratory at NJIT. Beams of B10Hx+ ions with currents of a few microamperes and energies of 1 to 12 keV were obtained and used for implantation experiments. Profiles of B and H atoms implanted in Si were measured by Secondary Ion Mass Spectroscopy (SIMS) before and after rapid thermal annealing (RTA). From the profiles, the junction depth of 57 nm (at 1018 cm-3 B concentration) was obtained with 12 keV decaborane ions followed by RTA. The dose of B atoms that can be implanted at low energy into Si is limited by sputtering as the ion beam sputters both the matrix and the implanted atoms. As the number of sputtered B atoms increases with the implanted dose and approaches the number of the implanted atoms, equilibrium of B in Si is established. This effect was investigated by comparison of the B dose calculated from the ion beam integration with B content in the sample measured by Nuclear Reaction Analysis (NRA). Maximum (equilibrium) doses of 1.35 x 1016 B cm -2 and 2.67 x 1016 B cm-2 were obtained at the beam energies of 5 and 12 keV, respectively. The problem of forming shallow p-type junctions in Si is related not only to implantation depth, but also to transient enhanced diffusion (TED). TED in Si implanted with B10Hx+ was measured on boron doping superlattice (B-DSL) marker layers. It was found that TED, following decaborane implantation, is the same as with monomer B+ ion implantation of equivalent energy and that it decreases with the decreasing ion energy. (Abstract shortened by UMI.)

  9. Fatigue and wear of metalloid-ion-implanted metals

    International Nuclear Information System (INIS)

    Hohmuth, K.; Richter, E.; Rauschenbach, B.; Blochwitz, C.

    1985-01-01

    The effect of metalloid ion implantation on the fatigue behaviour and wear of nickel and two steels has been investigated. These metals were implanted with boron, carbon and nitrogen ions at energies from 30 to 60 keV and with doses from 1 X 10 16 to 1 X 10 18 ions cm -2 at room temperature. The mechanical behaviour of fatigued nickel was studied in push-pull tests at room temperature. Wear measurements were made using a pin-and-disc technique. The surface structure, dislocation arrangement and modification of the implantation profile resulting from mechanical tests on metals which had been implanted with metalloid ions were examined using high voltage electron microscopy, transmission high energy electron diffraction, scanning electron microscopy and Auger electron spectroscopy. It is reported that nitrogen and boron ion implantation improves the fatigue lifetime, changes the number and density of the slip bands and modifies the dislocation arrangements in nickel. The cyclic deformation leads to recrystallization of the boron-ion-induced amorphous structure of nickel and to diffusion of the boron and nitrogen in the direction of the surface. The wear behaviour of steels was improved by implantation of mass-separated ions and by implantation of ions without mass separation. (Auth.)

  10. Application of ion implantation in stevia breeding

    International Nuclear Information System (INIS)

    Wang Cailian; Chen Qiufang; Jin Wei; Lu Ting; Shu Shizhen

    1999-08-01

    Dry seed of stevia were implanted with 60-100 keV nitrogen ion and 75 keV carbon ion of various doses, and the effects of the composition and yield of stevioside were studied. The results showed that ion beam could induce variation in total stevioside yield and the composition of the plant. The best treatment was 75 keV nitrogen ion with 5 x 10 14 N + /cm 2 , the stevioside yield and Rebaudioside A (R-A) content were increased by 4.74% and 14.08% respectively. The effects induced by implantation of carbon ion were higher than those induced by implantation of nitrogen ion. Effects of Feng 1 x Ri Yuan and Ri Yuan x Feng 2 are higher than those of Ji Ning and Feng 2 . Seven mutation lines were selected from the mutation progenies. The stevioside composition of these lines were previously improved. The results suggest a potential application of ion implantation in stevia breeding

  11. Cathodoluminescence and ion beam analysis of ion-implanted combinatorial materials libraries on thermally grown SiO2

    International Nuclear Information System (INIS)

    Chen, C.-M.; Pan, H.C.; Zhu, D.Z.; Hu, J.; Li, M.Q.

    1999-01-01

    A method combining ion implantation and physical masking technique has been used to generate material libraries of various ion-implanted samples. Ion species of C, Ga, N, Pb, Sn, Y have been sequentially implanted to an SiO 2 film grown on a silicon wafer through combinatorial masks and consequently a library of 64 (2 6 ) samples is generated by 6 masking combinations. This approach offers rapid synthesis of samples with potential new compounds formed in the matrix, which may have specific luminescent properties. The depth-resolved cathodoluminescence (CL) measurements revealed some specific optical property in the samples correlated with implanted ion distributions. A marker-based technique is developed for the convenient location of sample site in the analysis of Rutherford backscattering spectrometry (RBS) and proton elastic scattering (PES), intended to characterize rapidly the ion implanted film libraries. These measurements demonstrate the power of nondestructively and rapidly characterizing composition and the inhomogeneity of the combinatorial film libraries, which may determine their physical properties

  12. Polyatomic ions from a high current ion implanter driven by a liquid metal ion source

    Science.gov (United States)

    Pilz, W.; Laufer, P.; Tajmar, M.; Böttger, R.; Bischoff, L.

    2017-12-01

    High current liquid metal ion sources are well known and found their first application as field emission electric propulsion thrusters in space technology. The aim of this work is the adaption of such kind of sources in broad ion beam technology. Surface patterning based on self-organized nano-structures on, e.g., semiconductor materials formed by heavy mono- or polyatomic ion irradiation from liquid metal (alloy) ion sources (LMAISs) is a very promising technique. LMAISs are nearly the only type of sources delivering polyatomic ions from about half of the periodic table elements. To overcome the lack of only very small treated areas by applying a focused ion beam equipped with such sources, the technology taken from space propulsion systems was transferred into a large single-end ion implanter. The main component is an ion beam injector based on high current LMAISs combined with suited ion optics allocating ion currents in the μA range in a nearly parallel beam of a few mm in diameter. Different types of LMAIS (needle, porous emitter, and capillary) are presented and characterized. The ion beam injector design is specified as well as the implementation of this module into a 200 kV high current ion implanter operating at the HZDR Ion Beam Center. Finally, the obtained results of large area surface modification of Ge using polyatomic Bi2+ ions at room temperature from a GaBi capillary LMAIS will be presented and discussed.

  13. The emittance and brightness characteristics of negative ion sources suitable for MeV ion implantation

    International Nuclear Information System (INIS)

    Alton, G.D.

    1987-01-01

    This paper provides the description and beam properties of ion sources suitable for use with ion implantation devices. Particular emphasis is placed on the emittance and brightness properties of state-of-the-art, high intensity, negative ion sources based on the cesium ion sputter principle

  14. Effects of ion implantation on the microstructure and residual stress of filter arc CrN films

    International Nuclear Information System (INIS)

    Weng, K.-W.; Chen, Y.-C.; Han Sheng; Hsu, C.-S.; Chen, Y.-L.; Wang, D.-Y.

    2008-01-01

    Chromium nitride coatings were deposited using a hybrid physical vapor deposition (PVD) system containing a filter arc deposition (FAD) and a metal plasma ion implantation source (MPII). Exactly how surface residual stress affects film characteristics is investigated using glancing incident X-ray diffraction (GIXRD) and pole figure analyses. Compared with unimplanted CrN, implanted carbon typically increases compressive residual stress and hardness. Wear resistance was also improved by implanted carbon

  15. Electrical properties of polymer modified by metal ion implantation

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Huixing; Zhang Xiaoji; Deng Zhiwei; Zhou Gu

    2000-01-01

    Polyethylene terephthalate (PET) has been modified by Ag, Cr, Cu and Si ion implantation with a dose range from 1x10 16 to 2x10 17 ions cm -2 using a metal vapor vacuum arc (MEVVA) source. The electrical properties of PET have been changed after metal ion implantation. The resistivity of implanted PET decreased obviously with an increase of ion dose. When metal ion dose of 2x10 17 cm -2 was selected, the resistivity of PET could be less than 10 Ω cm, but when Si ions are implanted, the resistivity of PET would be up to several hundred Ω cm. The results show that the conductive behavior of a metal ion implanted sample is obviously different from Si implantation one. The changes of the structure and composition have been observed with transmission electron microscope (TEM) and X-ray diffraction (XRD). The surface structure is varying after ion implantation and it is believed that the change would cause the improvement of the conductive properties. The mechanism of electrical conduction will be discussed

  16. Synthesis of graphene by MEVVA source ion implantation

    International Nuclear Information System (INIS)

    Ying, J.J.; Xiao, X.H.; Dai, Z.G.; Wu, W.; Li, W.Q.; Mei, F.; Cai, G.X.; Ren, F.; Jiang, C.Z.

    2013-01-01

    Ion implantation provides a new synthesis route for graphene, and few-layered graphene synthesis by ion implantation has been reported. Here we show the synthesis of a single layer of high-quality graphene by Metal Vapor Vacuum Arc (MEVVA) source ion implantation. Polycrystalline nickel and copper thin films are implanted with MEVVA source carbon ions at 40 kV, followed by high-temperature thermal annealing and quenching. A Raman spectrum is applied to probe the quality and thickness of the prepared graphene. A single layer of high-quality graphene is grown on the nickel films, but not on the copper films. The growth mechanisms on the nickel and copper films are explained. MEVVA source ion implantation has been widely applied in industrial applications, demonstrating that this synthesis method can be generalized for industrial production

  17. Synthesis of aluminum nitride films by plasma immersion ion implantation-deposition using hybrid gas-metal cathodic arc gun

    International Nuclear Information System (INIS)

    Shen Liru; Fu, Ricky K.Y.; Chu, Paul K.

    2004-01-01

    Aluminum nitride (AlN) is of interest in the industry because of its excellent electronic, optical, acoustic, thermal, and mechanical properties. In this work, aluminum nitride films are deposited on silicon wafers (100) by metal plasma immersion ion implantation and deposition (PIIID) using a modified hybrid gas-metal cathodic arc plasma source and with no intentional heating to the substrate. The mixed metal and gaseous plasma is generated by feeding the gas into the arc discharge region. The deposition rate is found to mainly depend on the Al ion flux from the cathodic arc source and is only slightly affected by the N 2 flow rate. The AlN films fabricated by this method exhibit a cubic crystalline microstructure with stable and low internal stress. The surface of the AlN films is quite smooth with the surface roughness on the order of 1/2 nm as determined by atomic force microscopy, homogeneous, and continuous, and the dense granular microstructures give rise to good adhesion with the substrate. The N to Al ratio increases with the bias voltage applied to the substrates. A fairly large amount of O originating from the residual vacuum is found in the samples with low N:Al ratios, but a high bias reduces the oxygen concentration. The compositions, microstructures and crystal states of the deposited films are quite stable and remain unchanged after annealing at 800 deg. C for 1 h. Our hybrid gas-metal source cathodic arc source delivers better AlN thin films than conventional PIIID employing dual plasmas

  18. Modification of medical metals by ion implantation of copper

    Science.gov (United States)

    Wan, Y. Z.; Xiong, G. Y.; Liang, H.; Raman, S.; He, F.; Huang, Y.

    2007-10-01

    The effect of copper ion implantation on the antibacterial activity, wear performance and corrosion resistance of medical metals including 317 L of stainless steels, pure titanium, and Ti-Al-Nb alloy was studied in this work. The specimens were implanted with copper ions using a MEVVA source ion implanter with ion doses ranging from 0.5 × 10 17 to 4 × 10 17 ions/cm 2 at an energy of 80 keV. The antibacterial effect, wear rate, and inflexion potential were measured as a function of ion dose. The results obtained indicate that copper ion implantation improves the antibacterial effect and wear behaviour for all the three medical materials studied. However, corrosion resistance decreases after ion implantation of copper. Experimental results indicate that the antibacterial property and corrosion resistance should be balanced for medical titanium materials. The marked deteriorated corrosion resistance of 317 L suggests that copper implantation may not be an effective method of improving its antibacterial activity.

  19. High energy ion implantation

    International Nuclear Information System (INIS)

    Ziegler, J.F.

    1985-01-01

    High energy ion implantation offers the oppertunity for unique structures in semiconductor processing. The unusual physical properties of such implantations are discussed as well as the special problems in masking and damage annealing. A review is made of proposed circuit structures which involve deep implantation. Examples are: deep buried bipolar collectors fabricated without epitaxy, barrier layers to reduce FET memory sensitivity to soft-fails, CMOS isolation well structures, MeV implantation for customization and correction of completed circuits, and graded reach-throughs to deep active device components. (orig.)

  20. Microstructure evolution in carbon-ion implanted sapphire

    International Nuclear Information System (INIS)

    Orwa, J. O.; McCallum, J. C.; Jamieson, D. N.; Prawer, S.; Peng, J. L.; Rubanov, S.

    2010-01-01

    Carbon ions of MeV energy were implanted into sapphire to fluences of 1x10 17 or 2x10 17 cm -2 and thermally annealed in forming gas (4% H in Ar) for 1 h. Secondary ion mass spectroscopy results obtained from the lower dose implant showed retention of implanted carbon and accumulation of H near the end of range in the C implanted and annealed sample. Three distinct regions were identified by transmission electron microscopy of the implanted region in the higher dose implant. First, in the near surface region, was a low damage region (L 1 ) composed of crystalline sapphire and a high density of plateletlike defects. Underneath this was a thin, highly damaged and amorphized region (L 2 ) near the end of range in which a mixture of i-carbon and nanodiamond phases are present. Finally, there was a pristine, undamaged sapphire region (L 3 ) beyond the end of range. In the annealed sample some evidence of the presence of diamond nanoclusters was found deep within the implanted layer near the projected range of the C ions. These results are compared with our previous work on carbon implanted quartz in which nanodiamond phases were formed only a few tens of nanometers from the surface, a considerable distance from the projected range of the ions, suggesting that significant out diffusion of the implanted carbon had occurred.

  1. Retardation of surface corrosion of biodegradable magnesium-based materials by aluminum ion implantation

    Science.gov (United States)

    Wu, Guosong; Xu, Ruizhen; Feng, Kai; Wu, Shuilin; Wu, Zhengwei; Sun, Guangyong; Zheng, Gang; Li, Guangyao; Chu, Paul K.

    2012-07-01

    Aluminum ion implantation is employed to modify pure Mg as well as AZ31 and AZ91 magnesium alloys and their surface degradation behavior in simulated body fluids is studied. Polarization tests performed in conjunction with scanning electron microscopy (SEM) reveal that the surface corrosion resistance after Al ion implantation is improved appreciably. This enhancement can be attributed to the formation of a gradient surface structure with a gradual transition from an Al-rich oxide layer to Al-rich metal layer. Compared to the high Al-content magnesium alloy (AZ91), a larger reduction in the degradation rate is achieved from pure magnesium and AZ31. Our results reveal that the surface corrosion resistance of Mg alloys with no or low Al content can be improved by Al ion implantation.

  2. Retardation of surface corrosion of biodegradable magnesium-based materials by aluminum ion implantation

    International Nuclear Information System (INIS)

    Wu Guosong; Xu Ruizhen; Feng Kai; Wu Shuilin; Wu Zhengwei; Sun Guangyong; Zheng Gang; Li Guangyao; Chu, Paul K.

    2012-01-01

    Aluminum ion implantation is employed to modify pure Mg as well as AZ31 and AZ91 magnesium alloys and their surface degradation behavior in simulated body fluids is studied. Polarization tests performed in conjunction with scanning electron microscopy (SEM) reveal that the surface corrosion resistance after Al ion implantation is improved appreciably. This enhancement can be attributed to the formation of a gradient surface structure with a gradual transition from an Al-rich oxide layer to Al-rich metal layer. Compared to the high Al-content magnesium alloy (AZ91), a larger reduction in the degradation rate is achieved from pure magnesium and AZ31. Our results reveal that the surface corrosion resistance of Mg alloys with no or low Al content can be improved by Al ion implantation.

  3. Ion-implantation dense cascade data

    International Nuclear Information System (INIS)

    Winterbon, K.B.

    1983-04-01

    A tabulation is given of data useful in estimating various aspects of ion-implantation cascades in the nuclear stopping regime, particularly with respect to nonlinearity of the cascade at high energy densities. The tabulation is restricted to self-ion implantation. Besides power-cross-section cascade dimensions, various material properties are included. Scaling of derived quantities with input data is noted, so one is not limited to the values assumed by the author

  4. Versatile high current metal ion implantation facility

    International Nuclear Information System (INIS)

    Brown, I.G.; Dickinson, M.R.; Galvin, J.E.; Godechot, X.; MacGill, R.A.

    1992-01-01

    A metal ion implantation facility has been developed with which high current beams of practically all the solid metals of the periodic table can be produced. A multicathode, broad-beam, metal vapor vacuum arc ion source is used to produce repetitively pulsed metal ion beams at an extraction voltage of up to 100 kV, corresponding to an ion energy of up to several hundred kiloelectronvolts because of the ion charge state multiplicity, and with a beam current of up to several amps peak pulsed and several tens of milliamps time averaged delivered onto a downstream target. Implantation is done in a broad-beam mode, with a direct line of sight from ion source to target. Here we summarize some of the features of the ion source and the implantation facility that has been built up around it. (orig)

  5. Copper-base alloys processed by rapid solidification and ion implantation

    International Nuclear Information System (INIS)

    Wood, J.V.; Elvidge, C.J.; Johnson, E.; Johansen, A.; Sarholt-Kristensen, L.; Henriksen, O.

    1985-01-01

    Alloys of Cu-Sn and Cu-B have been processed by both melt spinning and ion implantation. In some instances (e.g. Cu-Sn alloys) rapidly solidified ribbons have been subjected to further implantation. This paper describes the similarities and differences in structure of materials subjected to a dynamic and contained process. For example in Cu-B alloys (up to 2wt% Boron) extended solubility is found in implanted alloys which is not present to the same degree in rapidly solidified alloys of the same composition. Likewise the range and nature of the reversible martensitic transformation is different in both cases as examined by electron microscopy and differential scanning calorimetry. (orig.)

  6. Tribological properties of ion-implanted steels

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1987-01-01

    The tribological properties such as surface hardness, friction and wear have been studied for low carbon steels and tool steels implanted with many types of ion including metallic elements. The hardness measured by Vickers or Knoop hardness testers as a function of normal load is dependent on the implanted species, fluence and substrate. The friction coefficients measured by Bowden-Leben type of friction tests or detected during wear tests also depend on the implantation conditions. The improvement in the wear resistance, which is most important for industrial use of implanted materials, has been investigated for AISI H13 prehardened and tool steels implanted with nitrogen and boron ions. The relationship between hardness, friction and wear is discussed in comparison with the microcharacteristics such as composition and chemical bonding states measured by means of secondary ion mass spectrometry and X-ray photoelectron spectroscopy. It is concluded that the increase in hardness and/or the decrease in friction coefficient play(s) an important role in improving the wear resistance, and the relationship between relative wear volume and relative hardness is correlated for boron and nitrogen implantation. (orig.)

  7. Amorphization of metals by ion implantation and ion beam mixing

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Heera, V.

    1988-01-01

    Amorphous metallic systems can be formed either by high-fluence ion implantation of glassforming species or by irradiation of layered metal systems with inert gas ions. Both techniques and experimental examples are presented. Empirical rules are discussed which predict whether a given system can be transformed into an amorphous phase. Influence of temperature, implantation dose and pre-existing crystalline metal composition on amorphization is considered. Examples are given of the implantation induced amorphous structure, recrystallization and formation of quasicrystalline structures. (author)

  8. Ion implantation of boron in germanium

    International Nuclear Information System (INIS)

    Jones, K.S.

    1985-05-01

    Ion implantation of 11 B + into room temperature Ge samples leads to a p-type layer prior to any post implant annealing steps. Variable temperature Hall measurements and deep level transient spectroscopy experiments indicate that room temperature implantation of 11 B + into Ge results in 100% of the boron ions being electrically active as shallow acceptor, over the entire dose range (5 x 10 11 /cm 2 to 1 x 10 14 /cm 2 ) and energy range (25 keV to 100 keV) investigated, without any post implant annealing. The concentration of damage related acceptor centers is only 10% of the boron related, shallow acceptor center concentration for low energy implants (25 keV), but becomes dominant at high energies (100 keV) and low doses ( 12 /cm 2 ). Three damage related hole traps are produced by ion implantation of 11 B + . Two of these hole traps have also been observed in γ-irradiated Ge and may be oxygen-vacancy related defects, while the third trap may be divacancy related. All three traps anneal out at low temperatures ( 0 C). Boron, from room temperature implantation of BF 2 + into Ge, is not substitutionally active prior to a post implant annealing step of 250 0 C for 30 minutes. After annealing additional shallow acceptors are observed in BF 2 + implanted samples which may be due to fluorine or flourine related complexes which are electrically active

  9. Practical applications of ion beam and plasma processing for improving corrosion and wear protection

    CERN Document Server

    Klingenberg, M L; Wei, R; Demaret, J; Hirvonen, J

    2002-01-01

    A multi-year project for the US Army has been investigating the use of various ion beam and plasma-based surface treatments to improve the corrosion and wear properties of military hardware. These processes are intended to be complementary to, rather than competing with, other promising macro scale coating processes such high velocity oxy-fuel (HVOF) deposition, particularly in non-line-of- sight and flash chrome replacement applications. It is believed that these processes can improve the tribological and corrosion behavior of parts without significantly altering the dimensions of the part, thereby eliminating the need for further machining operations and reducing overall production costs. The ion beam processes chosen are relatively mature, low-cost processes that can be scaled-up. The key methods that have been considered under this program include nitrogen ion implantation into electroplated hard chrome, ion beam assisted chromium and chromium nitride coatings, and plasma-deposited diamond- like carbon an...

  10. Channeling effect for low energy ion implantation in Si

    International Nuclear Information System (INIS)

    Cho, K.; Allen, W.R.; Finstad, T.G.; Chu, W.K.; Liu, J.; Wortman, J.J.

    1985-01-01

    Ion implantation is one of the most important processes in semiconductor device fabrication. Due to the crystalline nature of Si, channeling of implanted ions occurs during this process. Modern devices become smaller and shallower and therefore require ion implantation at lower energies. The effect of channeling on ion implantation becomes a significant problem for low energy ion implantation. The critical angle for axial and planar channeling increases with decreasing energy. This corresponds to an increased probability for channeling with lowering of ion energy. The industry approach to avoid the channeling problem is to employ a tilt angle of 7 0 between the ion implantation direction and the surface normal. We approach the problem by mapping major crystalline axes and planes near the [100] surface normal. Our analysis indicates that a 7 0 tilt is not an optimum selection in channeling reduction. Tilt angles in the range 5 0 to 6 0 combined with 7 0 +- 0.5 0 rotation from the (100) plane are better selections for the reduction of the channeling effect. The range of suitable angles is a function of the implantation energy. Implantations of boron along well specified crystallographic directions have been carried out by careful alignment and the resulting boron profiles measured by SIMS. (orig.)

  11. Characterization of nitrogen-ion-implanted aluminium

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Breuer, K.; Leonhardt, G.

    1990-01-01

    Aluminium has been implanted with nitrogen ions at different temperatures. The implanted samples have been characterized by Auger electron spectroscopy (AES), X-ray photoelectron spectroscopy (XPS) and electron energy-loss spectroscopy (EELS). Deconvolution procedures are needed to separate the influence of the ion sputter profiling by AES and XPS from the nitrogen-ion-beam-induced effects. The chemical state of Al, N, O and C was identified by deconvolution of the measured spectra. In general, there were double-peak structures observed for N 1s and O 1s, identified as contributions from nitrides and weakly bound nitrogen, and oxides and weakly bound oxygen, respectively. Auger analysis confirms the influence of the nitrogen ion fluence on the shape of the concentration distribution. The influence of temperature on the chemical state of implanted aluminium and on the concentration distribution is discussed. (orig.)

  12. Modification of polyvinyl alcohol surface properties by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Pukhova, I.V., E-mail: ivpuhova@mail.ru [National Research Tomsk State University, 36 Lenin Ave, Tomsk 634050 (Russian Federation); Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation); Kurzina, I.A. [National Research Tomsk State University, 36 Lenin Ave, Tomsk 634050 (Russian Federation); Savkin, K.P. [Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation); Laput, O.A. [National Research Tomsk Polytechnic University, 30 Lenin Ave, Tomsk 634050 (Russian Federation); Oks, E.M. [Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation)

    2017-05-15

    We describe our investigations of the surface physicochemical properties of polyvinyl alcohol modified by silver, argon and carbon ion implantation to doses of 1 × 10{sup 14}, 1 × 10{sup 15} and 1 × 10{sup 16} ion/cm{sup 2} and energies of 20 keV (for C and Ar) and 40 keV (for Ag). Infrared spectroscopy (IRS) indicates that destructive processes accompanied by chemical bond (−C=O) generation are induced by implantation, and X-ray photoelectron spectroscopy (XPS) analysis indicates that the implanted silver is in a metallic Ag3d state without stable chemical bond formation with polymer chains. Ion implantation is found to affect the surface energy: the polar component increases while the dispersion part decreases with increasing implantation dose. Surface roughness is greater after ion implantation and the hydrophobicity increases with increasing dose, for all ion species. We find that ion implantation of Ag, Ar and C leads to a reduction in the polymer microhardness by a factor of five, while the surface electrical resistivity declines modestly.

  13. Mass and energy analysis of the ions in a plasma flood system

    International Nuclear Information System (INIS)

    Wooding, A.C.; Armour, D.G.; Berg, J.A. van den; Holmes, A.J.T.; Burgess, C.; Goldberg, R.D.

    2005-01-01

    Plasma flood systems, capable of providing a copious supply of electrons are used in ion implanters to control wafer charging and provide effective space charge neutralisation of the ion beam in the post-analysis/post-deceleration section of the beamline. Under appropriate conditions the plasma from the flood system interacts with the ion beam and this bridging leads to an enhanced beam transport efficiency in the final critical stage of the beamline. The effectiveness of this process depends on the properties of the plasma emanating from the system. In this study, a plasma analyser comprising a double hemi-spherical electrostatic energy analyser and a quadrupole mass spectrometer, was used to measure the energy distributions of all the ion species leaving a magnetically confined argon plasma, generated in the discharge chamber of a conventional flood neutraliser. The energy distributions extended to surprisingly high energies and the peak structures depended strongly on discharge voltage, discharge current and gas pressure. The nature of these dependencies was complex with both the pressure and arc current affecting the way in which the ion energy distributions depended on arc voltage. In all cases, multiply charged ions played a significant role in determining the nature of the ion energy distributions

  14. Mass and energy analysis of the ions in a plasma flood system

    Energy Technology Data Exchange (ETDEWEB)

    Wooding, A.C. [Institute of Materials Research, University of Salford, Salford M54WT (United Kingdom); Armour, D.G. [Institute of Materials Research, University of Salford, Salford M54WT (United Kingdom); Berg, J.A. van den [Institute of Materials Research, University of Salford, Salford M54WT (United Kingdom)]. E-mail: j.a.vandenberg@salford.ac.uk; Holmes, A.J.T. [Marcham Scientific, Hungerford, Berks RG17 0LH (United Kingdom); Burgess, C. [Applied Materials UK Ltd., Foundry Lane, Horsham, West Sussex RH13 5PX (United Kingdom); Goldberg, R.D. [Applied Materials UK Ltd., Foundry Lane, Horsham, West Sussex RH13 5PX (United Kingdom)

    2005-08-01

    Plasma flood systems, capable of providing a copious supply of electrons are used in ion implanters to control wafer charging and provide effective space charge neutralisation of the ion beam in the post-analysis/post-deceleration section of the beamline. Under appropriate conditions the plasma from the flood system interacts with the ion beam and this bridging leads to an enhanced beam transport efficiency in the final critical stage of the beamline. The effectiveness of this process depends on the properties of the plasma emanating from the system. In this study, a plasma analyser comprising a double hemi-spherical electrostatic energy analyser and a quadrupole mass spectrometer, was used to measure the energy distributions of all the ion species leaving a magnetically confined argon plasma, generated in the discharge chamber of a conventional flood neutraliser. The energy distributions extended to surprisingly high energies and the peak structures depended strongly on discharge voltage, discharge current and gas pressure. The nature of these dependencies was complex with both the pressure and arc current affecting the way in which the ion energy distributions depended on arc voltage. In all cases, multiply charged ions played a significant role in determining the nature of the ion energy distributions.

  15. Hip implants - Paper VI - Ion concentrations

    Energy Technology Data Exchange (ETDEWEB)

    Sargeant, A. [Department of Biological Sciences, Ohio Northern University, Ada, OH 45810 (United States); Goswami, T. [Department of Mechanical Engineering, Ohio Northern University, Ada, OH 45810 (United States)]. E-mail: t-goswami@onu.edu

    2007-07-01

    Total hip-joint arthroplasty is performed in increasing numbers where it translates to about 0.16-0.2% of population per year in industrial countries. In most cases, an implant is a metallic component articulating with a metal, ceramic or poly-ethylene liner as seen in the case of hip, knee and spine. The metal implants release ions in vivo. Therefore, there is a need to study metallic implants and ions released as a result. Toxic concentrations of ions can lead to many adverse physiological effects, including cytotoxicity, genotoxicity, carcinogenicity, and metal sensitivity. There is a need to map ion concentrations establishing boundaries between normal and toxic levels; which however, does not exist. Reference levels of ion concentrations in body fluids and tissues determined by many studies are compiled, reviewed, and presented in this paper. The concentrations of ions released from different alloys, including cobalt, chromium, nickel, molybdenum titanium, aluminum, and vanadium, are presented in this paper. This paper reviews the literature pertaining to clinical data on metal ion concentrations in patients with metal joint prostheses, and laboratory data on the physiological effects of the metals.

  16. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S.P.; Jamieson, D.N.; Nugent, K.W.; Prawer, S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  17. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S P; Jamieson, D N; Nugent, K W; Prawer, S [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  18. Multifunctions of dual Zn/Mg ion co-implanted titanium on osteogenesis, angiogenesis and bacteria inhibition for dental implants.

    Science.gov (United States)

    Yu, Yiqiang; Jin, Guodong; Xue, Yang; Wang, Donghui; Liu, Xuanyong; Sun, Jiao

    2017-02-01

    In order to improve the osseointegration and long-term survival of dental implants, it is urgent to develop a multifunctional titanium surface which would simultaneously have osteogeneic, angiogeneic and antibacterial properties. In this study, a potential dental implant material-dual Zn/Mg ion co-implanted titanium (Zn/Mg-PIII) was developed via plasma immersion ion implantation (PIII). The Zn/Mg-PIII surfaces were found to promote initial adhesion and spreading of rat bone marrow mesenchymal stem cells (rBMSCs) via the upregulation of the gene expression of integrin α1 and integrin β1. More importantly, it was revealed that Zn/Mg-PIII could increase Zn 2+ and Mg 2+ concentrations in rBMSCs by promoting the influx of Zn 2+ and Mg 2+ and inhibiting the outflow of Zn 2+ , and then could enhance the transcription of Runx2 and the expression of ALP and OCN. Meanwhile, Mg 2+ ions from Zn/Mg-PIII increased Mg 2+ influx by upregulating the expression of MagT1 transporter in human umbilical vein endothelial cells (HUVECs), and then stimulated the transcription of VEGF and KDR via activation of hypoxia inducing factor (HIF)-1α, thus inducing angiogenesis. In addition to this, it was discovered that zinc in Zn/Mg-PIII had certain inhibitory effects on oral anaerobic bacteria (Pg, Fn and Sm). Finally, the Zn/Mg-PIII implants were implanted in rabbit femurs for 4 and 12weeks with Zn-PIII, Mg-PIII and pure titanium as controls. Micro-CT evaluation, sequential fluorescent labeling, histological analysis and push-out test consistently demonstrated that Zn/Mg-PIII implants exhibit superior capacities for enhancing bone formation, angiogenesis and osseointegration, while consequently increasing the bonding strength at bone-implant interfaces. All these results suggest that due to the multiple functions co-produced by zinc and magnesium, rapid osseointegration and sustained biomechanical stability are enhanced by the novel Zn/Mg-PIII implants, which have the potential

  19. High energy ion implantation for IC processing

    International Nuclear Information System (INIS)

    Oosterhoff, S.

    1986-01-01

    In this thesis the results of fundamental research on high energy ion implantation in silicon are presented and discussed. The implantations have been carried out with the 500 kV HVEE ion implantation machine, that was acquired in 1981 by the IC technology and Electronics group at Twente University of Technology. The damage and anneal behaviour of 1 MeV boron implantations to a dose of 10 13 /cm 2 have been investigated as a function of anneal temperature by sheet resistance, Hall and noise measurements. (Auth.)

  20. Modifying the conductivity of polypyrrole through low-energy lead ion implantation

    International Nuclear Information System (INIS)

    Booth, Marsilea Adela; Leveneur, Jérôme; Costa, Alexsandro Santos; Kennedy, John; Harbison, SallyAnn; Travas-Sejdic, Jadranka

    2012-01-01

    Interest lies in the creation of novel nanocomposite materials obtained through mixing, impregnation or incorporation techniques. One such technique is ion implantation which possesses the potential for retaining properties from the base material and implanted material as well as any effects observed from combining the two. To this end low-energy (15 keV) implantation of lead ions of various fluences was performed in conducting polypyrrole films. The presence of lead-rich particles was evidenced through transmission electron microscopy. An interesting trend was observed between fluence and conductivity. Of the fluences tested, the optimum fluences of lead ion implantation in polypyrrole films for enhanced conductivity are 5 × 10 14 at. cm −2 and 2 × 10 15 at. cm −2 . The conductivity and stability appear to result from a combination of effects: polymer degradation arising from ion beam damage, an increase in charge-carriers (dications) present after implantation and precipitation of Pb-rich nanoparticles. Monitoring conductivity over time showed increased retention of conductivity levels after lead implantation. Improvements in stability for polypyrrole open avenues for application and bring polypyrrole one step closer to practical use. A mechanism is suggested for this advantageous retained conductivity. -- Highlights: ► Implanted and characterized polypyrrole films with Pb ions at different fluences. ► Samples indicate high conductivity when implanted with particular fluences. ► Increase in charge carriers and precipitation of conductive Pb-rich phase. ► Conductivity stability is higher for some implanted fluences than for pristine polypyrrole.

  1. The KFKI 150 kV ion-implanter

    International Nuclear Information System (INIS)

    Pasztor, E.

    1976-09-01

    The description of the ion-implanter of 150 keV maximum energy designed and built in the Central Research Institute for Physics, Budapest is given. The implanter fulfils all technological and safety requirements of the industry. In addition to B,P and As other elements up to mass-number 76 can also be implanted by help of the Danfysik 911 type ion source. The 3x10 -6 Torr operational pressure is provided by three turbomolecular pumps. The maximum dose is 1 μCb/cm 2 min and to ensure uniformity of the implantation on the 100x105 mm 2 target area the ion beam is swept electrostatically. According to the testing experiments the inhomogenity can be taken to be +-1.3%. (Sz.N.Z.)

  2. Ion implantation in metals

    International Nuclear Information System (INIS)

    Vook, F.L.

    1977-02-01

    The application of ion beams to metals is rapidly emerging as a promising area of research and technology. This report briefly describes some of the recent advances in the modification and study of the basic properties of metals by ion implantation techniques. Most of the research discussed illustrates some of the new and exciting applications of ion beams to metals which are under active investigation at Sandia Laboratories, Albuquerque

  3. Effects of phosphorus doping by plasma immersion ion implantation on the structural and optical characteristics of Zn0.85Mg0.15O thin films

    International Nuclear Information System (INIS)

    Saha, S.; Nagar, S.; Chakrabarti, S.

    2014-01-01

    ZnMgO thin films deposited on 〈100〉 Si substrates by RF sputtering were annealed at 800, 900, and 1000 °C after phosphorus plasma immersion ion implantation. X-ray diffraction spectra confirmed the presence of 〈101 ¯ 0〉 and 〈101 ¯ 3〉 peaks for all the samples. However, in case of the annealed samples, the 〈0002〉 peak was also observed. Scanning electron microscopy images revealed the variation in surface morphology caused by phosphorus implantation. Implanted and non-implanted samples were compared to examine the effects of phosphorus implantation on the optical properties of ZnMgO. Optical characteristics were investigated by low-temperature (15 K) photoluminescence experiments. Inelastic exciton–exciton scattering and localized, and delocalized excitonic peaks appeared at 3.377, 3.42, and 3.45 eV, respectively, revealing the excitonic effect resulting from phosphorus implantation. This result is important because inelastic exciton–exciton scattering leads to nonlinear emission, which can improve the performance of many optoelectronic devices

  4. Current trends in ion implantation

    International Nuclear Information System (INIS)

    Gwilliam, R.M.

    2001-01-01

    As semiconductor device dimensions continue to shrink, the drive beyond 250 nm is creating significant problems for the device processor. In particular, trends toward shallower-junctions, lower thermal budgets and simplified processing steps present severe challenges to ion implantation. In parallel with greater control of the implant process goes the need for a better understanding of the physical processes involved during implantation and subsequent activation annealing. For instance, the need for an understanding of dopant-defect interaction is paramount as defects mediate a number of technologically important phenomena such as transient enhanced diffusion and impurity gettering. This paper will outline the current trends in the ion implantation and some of the challenges it faces in the next decade, as described in the semiconductor roadmap. It will highlight some recent positron annihilation work that has made a contribution to addressing one of these challenges, namely the need for tighter control of implant uniformity and dose. Additionally, some vacancy-mediated processes are described with the implication that these may provide areas in which positron annihilation spectroscopy could make a significant contribution. (orig.)

  5. Effects of cesium ion-implantation on mechanical and electrical properties of organosilicate low-k films

    Energy Technology Data Exchange (ETDEWEB)

    Li, W.; Pei, D.; Guo, X.; Cheng, M. K.; Lee, S.; Shohet, J. L. [Plasma Processing and Technology Laboratory, Department of Electrical and Computer Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Lin, Q. [IBM T.J. Watson Research Center, Yorktown Heights, New York 10598 (United States); King, S. W. [Intel Corporation, Hillsboro, Oregon 97124 (United States)

    2016-05-16

    The effects of cesium (Cs) ion-implantation on uncured plasma-enhanced chemical-vapor-deposited organosilicate low dielectric constant (low-k) (SiCOH) films have been investigated and compared with an ultraviolet (UV) cured film. The mechanical properties, including the elastic modulus and hardness, of the SiCOH low-k films are improved by up to 30% with Cs implantation, and further up to 52% after annealing at 400 °C in a N{sub 2} ambient for 1 h. These improvements are either comparable to or better than the effects of UV-curing. They are attributed to an enhancement of the Si-O-Si network structure. The k-value of the SiCOH films increased slightly after Cs implantation, and increased further after annealing. These increases are attributed to two carbon-loss mechanisms, i.e., the carbon loss due to Si-CH{sub 3} bond breakage from implanted Cs ions, and the carbon loss due to oxidation during the annealing. The time-zero dielectric breakdown strength was improved after the Cs implantation and the annealing, and was better than the UV-cured sample. These results indicate that Cs ion implantation could be a supplement to or a substitution for the currently used UV curing method for processing SiCOH low-k films.

  6. Some aspects of ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Klose, H.

    1982-01-01

    The advantages and disadvantages of ion implantation in the application of semiconductor technology are reviewed in short. This article describes some aspects of the state of the art and current developments of nonconventional annealing procedures, ion beam gettering of deep impurities, special applications of ion implantation using low or high energy ions and GaAs-electronics, respectively. Radiation defects in Si and the nonexponential emission and capture processes in GaAsP are discussed. Final future trends of ion beam methods in semiconductor production technology are summarized. (author)

  7. Current transport studies of ZnO/p-Si heterostructures grown by plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Chen, X.D.; Ling, C.C.; Fung, S.; Beling, C.D.; Mei, Y.F.; Fu, Ricky K.Y.; Siu, G.G.; Chu, Paul K.

    2006-01-01

    Rectifying undoped and nitrogen-doped ZnO/p-Si heterojunctions were fabricated by plasma immersion ion implantation and deposition. The undoped and nitrogen-doped ZnO films were n type (n∼10 19 cm -3 ) and highly resistive (resistivity ∼10 5 Ω cm), respectively. While forward biasing the undoped-ZnO/p-Si, the current follows Ohmic behavior if the applied bias V forward is larger than ∼0.4 V. However, for the nitrogen-doped-ZnO/p-Si sample, the current is Ohmic for V forward 2 for V forward >2.5 V. The transport properties of the undoped-ZnO/p-Si and the N-doped-ZnO/p-Si diodes were explained in terms of the Anderson model and the space charge limited current model, respectively

  8. Development of vertical compact ion implanter for gemstones applications

    Science.gov (United States)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L. D.; Singkarat, S.

    2014-08-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  9. Development of vertical compact ion implanter for gemstones applications

    Energy Technology Data Exchange (ETDEWEB)

    Intarasiri, S., E-mail: saweat@gmail.com [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Wijaikhum, A. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Bootkul, D., E-mail: mo_duangkhae@hotmail.com [Department of General Science (Gems and Jewelry), Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2014-08-15

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  10. Development of vertical compact ion implanter for gemstones applications

    International Nuclear Information System (INIS)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S.

    2014-01-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented

  11. Lithium ion implantation effects in MgO (100)

    NARCIS (Netherlands)

    van Huis, MA; Fedorov, AV; van Veen, A; Labohm, F; Schut, H; Mijnarends, PE; Kooi, BJ; De Hosson, JTM; Triftshauser, W; Kogel, G; Sperr, P

    2001-01-01

    Single crystals of MgO (100) were implanted with 10(16) (6)Li ions cm(-2) at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical

  12. Semiconductor Ion Implanters

    International Nuclear Information System (INIS)

    MacKinnon, Barry A.; Ruffell, John P.

    2011-01-01

    In 1953 the Raytheon CK722 transistor was priced at $7.60. Based upon this, an Intel Xeon Quad Core processor containing 820,000,000 transistors should list at $6.2 billion! Particle accelerator technology plays an important part in the remarkable story of why that Intel product can be purchased today for a few hundred dollars. Most people of the mid twentieth century would be astonished at the ubiquity of semiconductors in the products we now buy and use every day. Though relatively expensive in the nineteen fifties they now exist in a wide range of items from high-end multicore microprocessors like the Intel product to disposable items containing 'only' hundreds or thousands like RFID chips and talking greeting cards. This historical development has been fueled by continuous advancement of the several individual technologies involved in the production of semiconductor devices including Ion Implantation and the charged particle beamlines at the heart of implant machines. In the course of its 40 year development, the worldwide implanter industry has reached annual sales levels around $2B, installed thousands of dedicated machines and directly employs thousands of workers. It represents in all these measures, as much and possibly more than any other industrial application of particle accelerator technology. This presentation discusses the history of implanter development. It touches on some of the people involved and on some of the developmental changes and challenges imposed as the requirements of the semiconductor industry evolved.

  13. Behavior of PET implanted by Ti, Ag, Si and C ion using MEVVA implantation

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Yanwen; Zhang Huixing; Zhang Xiaoji; Zhou Gu

    2001-01-01

    Polyethylene terephthalane (PET) has been modified with Ti, Ag, Si and C ions from a metal vapor arc source (MEVVA). Ti, Ag, Si and C ions were implanted with acceleration voltage 40 kV to fluences ranging from 1x10 16 to 2x10 17 cm -2 . The surface of implanted PET darkened with increasing ion dose, when the metal ion dose was greater than 1x10 17 cm -2 the color changed to metallic bright. The surface resistance decreases by 5-6 orders of magnitude with increasing dose. The resistivity is stable after long-term storage. The depth of Ti- and Ag-implanted layer is approximately 150 and 80 nm measured by Rutherford backscattering (RBS), respectively. TEM photos revealed the presence of Ti and Ag nano-meter particles on the surface resulting from the high-dose implantation. Ti and Ag ion implantations improved conductivity and wear resistance significantly. The phase and structural changes were obtained by X-ray diffraction (XRD). It can be seen that nano-meter particles of Ti precipitation, TiO 2 and Ti-carbides have been formed in implanted layer. Nano-hardness of implanted PET has been measured by a nano-indenter. The results show that the surface hardness, modulus and wear resistance could be increased

  14. Channeling ion implantation through palladium films

    International Nuclear Information System (INIS)

    Ishiwara, H.; Furukawa, S.

    1975-01-01

    The possibility of channeling ion implantation into semiconductors through polycrystalline metallic layers is studied. Minimum values and standard deviations of channeling angular yield in polycrystalline Pd 2 Si layers formed on Si have been measured by protons and 4 He, and 14 N ion backscattering and channeling measurements. Depth distributions of the spread of crystallite orientations and scattering centers such as lattice defects have been separately derived by using the above two quantities. It has been concluded that the channeling-ion-implantation technique will become a practical one by using the parallel scanning system

  15. Gold nanoparticle formation in diamond-like carbon using two different methods: Gold ion implantation and co-deposition of gold and carbon

    International Nuclear Information System (INIS)

    Salvadori, M. C.; Teixeira, F. S.; Araújo, W. W. R.; Sgubin, L. G.; Cattani, M.; Spirin, R. E.; Brown, I. G.

    2012-01-01

    We describe work in which gold nanoparticles were formed in diamond-like carbon (DLC), thereby generating a Au-DLC nanocomposite. A high-quality, hydrogen-free DLC thin film was formed by filtered vacuum arc plasma deposition, into which gold nanoparticles were introduced using two different methods. The first method was gold ion implantation into the DLC film at a number of decreasing ion energies, distributing the gold over a controllable depth range within the DLC. The second method was co-deposition of gold and carbon, using two separate vacuum arc plasma guns with suitably interleaved repetitive pulsing. Transmission electron microscope images show that the size of the gold nanoparticles obtained by ion implantation is 3-5 nm. For the Au-DLC composite obtained by co-deposition, there were two different nanoparticle sizes, most about 2 nm with some 6-7 nm. Raman spectroscopy indicates that the implanted sample contains a smaller fraction of sp 3 bonding for the DLC, demonstrating that some sp 3 bonds are destroyed by the gold implantation.

  16. Magnesium aluminate planar waveguides fabricated by C-ion implantation with different energies and fluences

    Energy Technology Data Exchange (ETDEWEB)

    Song, Hong-Lian; Yu, Xiao-Fei; Zhang, Lian; Wang, Tie-Jun; Qiao, Mei; Zhang, Jing; Liu, Peng; Wang, Xue-Lin, E-mail: xuelinwang@sdu.edu.cn

    2015-11-01

    We report on MgAl{sub 2}O{sub 4} planar waveguides produced using different energies and fluences of C-ion implantation at room temperature. Based on the prism coupling method and end-face coupling measurements, light could propagate in the C-ion-implanted samples. The Raman spectra results indicate that the MgAl{sub 2}O{sub 4} crystal lattice was damaged during the multi-energy C implantation process, whereas the absorption spectra were hardly affected by the C-ion implantation in the visible and infrared bands.

  17. Temperature Activated Diffusion of Radicals through Ion Implanted Polymers

    DEFF Research Database (Denmark)

    Wakelin, Edgar A.; Davies, Michael J.; Bilek, Marcela M. M.

    2015-01-01

    Plasma immersion ion implantation (PIII) is a promising technique for immobilizing biomolecules on the surface of polymers. Radicals generated in a subsurface layer by PIII treatment diffuse throughout the substrate, forming covalent bonds to molecules when they reach the surface. Understanding...... to the surface. The model makes useful predictions for the lifetime over which the surface is sufficiently active to covalently immobilize biomolecules and it can be used to determine radical fluence during biomolecule incubation for a range of storage and incubation temperatures so facilitating selection...

  18. Ion implantation and fracture toughness of ceramics

    International Nuclear Information System (INIS)

    Clark, J.; Pollock, J.T.A.

    1985-01-01

    Ceramics generally lack toughness which is largely determined by the ceramic surface where stresses likely to cause failure are usually highest. Ion implantation has the capacity to improve the surface fracture toughness of ceramics. Significantly reduced ion size and reactivity restrictions exist compared with traditional methods of surface toughening. We are studying the effect of ion implantation on ceramic fracture toughness using indentation testing as the principal tool of analysis

  19. Synthesis of titanium sapphire by ion implantation

    International Nuclear Information System (INIS)

    Morpeth, L.D.; McCallum, J.C.; Nugent, K.W.

    1998-01-01

    Since laser action was first demonstrated in titanium sapphire (Ti:Al 2 O 3 ) in 1982, it has become the most widely used tunable solid state laser source. The development of a titanium sapphire laser in a waveguide geometry would yield an elegant, compact, versatile and highly tunable light source useful for applications in many areas including optical telecommunications. We are investigating whether ion implantation techniques can be utilised to produce suitable crystal quality and waveguide geometry for fabrication of a Ti:Al 2 O 3 waveguide laser. The implantation of Ti and O ions into c-axis oriented α-Al 2 O 3 followed by subsequent thermal annealing under various conditions has been investigated as a means of forming the waveguide and optimising the fraction of Ti ions that have the correct oxidation state required for laser operation. A Raman Microprobe is being used to investigate the photo-luminescence associated with Ti 3+ ion. Initial photoluminescence measurements of ion implanted samples are encouraging and reveal a broad luminescence profile over a range of ∼ .6 to .9 μm, similar to that expected from Ti 3+ . Rutherford Backscattering and Ion Channelling analysis have been used to study the crystal structure of the samples following implantation and annealing. This enables optimisation of the implantation parameters and annealing conditions to minimise defect levels which would otherwise limit the ability of light to propagate in the Ti:Al 2O 3 waveguide. (authors)

  20. Enhancement of electrical conductivity of ion-implanted polymer films

    International Nuclear Information System (INIS)

    Brock, S.

    1985-01-01

    The electrical conductivity of ion-implanted films of Nylon 66, Polypropylene (PP), Poly(tetrafluoroethylene) (Teflon) and mainly Poly (ethylene terephthalate) (PET) was determined by DC measurements at voltages up to 4500 V and compared with the corresponding values of pristine films. Measurements were made at 21 0 C +/- 1 0 C and 65 +/- 2% RH. The electrical conductivity of PET films implanted with F + , Ar + , or As + ions at energies of 50 keV increases by seven orders of magnitude as the fluence increases from 1 x 10 18 to 1 x 10 20 ions/m 2 . The conductivity of films implanted with As + was approximately one order greater than those implanted with Ar + , which in turn was approximately one-half order greater than those implanted with F + . The conductivity of the most conductive film ∼1 S/m) was almost 14 orders of magnitude greater than the pristine PET film. Except for the three PET samples implanted at fluences near 1 x 10 20 ions/m 2 with F + , Ar + , and As + ions, all implanted films were ohmic up to an electric field strength of 600 kV/m. The temperature dependence of the conductivity of the three PET films implanted near a fluence of 1 x 10 20 ions/m 2 was measured over the range of 80 K < T < 300 K

  1. Modification of metallic corrosion by ion implantation

    International Nuclear Information System (INIS)

    Clayton, C.R.

    1981-01-01

    This review will consider some of the properties of surface alloys, formed by ion implantation, which are effective in modifying corrosion behaviour. Examples will be given of the modification of the corrosion behaviour of pure metals, steels and other engineering alloys, resulting from implantation with metals and metalloids. Emphasis will be given to the modification of anodic processes produced by ion implantation since a review will be given elsewhere in the proceedings concerning the modification of cathodic processes. (orig.)

  2. Ion implantation induced nanotopography on titanium and bone cell adhesion

    Energy Technology Data Exchange (ETDEWEB)

    Braceras, Iñigo, E-mail: inigo.braceras@tecnalia.com [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Vera, Carolina; Ayerdi-Izquierdo, Ana [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Muñoz, Roberto [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); Lorenzo, Jaione; Alvarez, Noelia [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Maeztu, Miguel Ángel de [Private Practice, P° San Francisco, 43 A-1°, 20400 Tolosa (Spain)

    2014-08-15

    Graphical abstract: Titanium surfaces modified by inert ion implantation affect cell adhesion through modification of the nanotopography in the same dimensional range of that of human bone inorganic phases. - Highlights: • Inert ion implantation on Ti modifies surface nanotopography and bone cell adhesion. • Ion implantation can produce nanostructured surfaces on titanium in the very same range as of those of the mineral phase of the human bone. • Appropriate tool for studying the relevance of nanostructured surfaces on bone mineralization and implant osseointegration. • Ion implantation induced nanotopography have a statistically significant influence on bone cell adhesion. - Abstract: Permanent endo-osseous implants require a fast, reliable and consistent osseointegration, i.e. intimate bonding between bone and implant, so biomechanical loads can be safely transferred. Among the parameters that affect this process, it is widely admitted that implant surface topography, surface energy and composition play an important role. Most surface treatments to improve osseointegration focus on micro-scale features, as few can effectively control the effects of the treatment at nanoscale. On the other hand, ion implantation allows controlling such nanofeatures. This study has investigated the nanotopography of titanium, as induced by different ion implantation surface treatments, its similarity with human bone tissue structure and its effect on human bone cell adhesion, as a first step in the process of osseointegration. The effect of ion implantation treatment parameters such as energy (40–80 keV), fluence (1–2 e17 ion/cm{sup 2}) and ion species (Kr, Ar, Ne and Xe) on the nanotopography of medical grade titanium has been measured and assessed by AFM and contact angle. Then, in vitro tests have been performed to assess the effect of these nanotopographies on osteoblast adhesion. The results have shown that the nanostructure of bone and the studied ion implanted

  3. Ion implantation induced nanotopography on titanium and bone cell adhesion

    International Nuclear Information System (INIS)

    Braceras, Iñigo; Vera, Carolina; Ayerdi-Izquierdo, Ana; Muñoz, Roberto; Lorenzo, Jaione; Alvarez, Noelia; Maeztu, Miguel Ángel de

    2014-01-01

    Graphical abstract: Titanium surfaces modified by inert ion implantation affect cell adhesion through modification of the nanotopography in the same dimensional range of that of human bone inorganic phases. - Highlights: • Inert ion implantation on Ti modifies surface nanotopography and bone cell adhesion. • Ion implantation can produce nanostructured surfaces on titanium in the very same range as of those of the mineral phase of the human bone. • Appropriate tool for studying the relevance of nanostructured surfaces on bone mineralization and implant osseointegration. • Ion implantation induced nanotopography have a statistically significant influence on bone cell adhesion. - Abstract: Permanent endo-osseous implants require a fast, reliable and consistent osseointegration, i.e. intimate bonding between bone and implant, so biomechanical loads can be safely transferred. Among the parameters that affect this process, it is widely admitted that implant surface topography, surface energy and composition play an important role. Most surface treatments to improve osseointegration focus on micro-scale features, as few can effectively control the effects of the treatment at nanoscale. On the other hand, ion implantation allows controlling such nanofeatures. This study has investigated the nanotopography of titanium, as induced by different ion implantation surface treatments, its similarity with human bone tissue structure and its effect on human bone cell adhesion, as a first step in the process of osseointegration. The effect of ion implantation treatment parameters such as energy (40–80 keV), fluence (1–2 e17 ion/cm 2 ) and ion species (Kr, Ar, Ne and Xe) on the nanotopography of medical grade titanium has been measured and assessed by AFM and contact angle. Then, in vitro tests have been performed to assess the effect of these nanotopographies on osteoblast adhesion. The results have shown that the nanostructure of bone and the studied ion implanted

  4. Carbon nanotube growth from catalytic nano-clusters formed by hot-ion-implantation into the SiO{sub 2}/Si interface

    Energy Technology Data Exchange (ETDEWEB)

    Hoshino, Yasushi, E-mail: yhoshino@kanagawa-u.ac.jp [Department of Information Sciences, Kanagawa University, 2946 Tsuchiya, Hiratsuka, Kanagawa 259-1293 (Japan); Arima, Hiroki; Yokoyama, Ai; Saito, Yasunao; Nakata, Jyoji [Department of Information Sciences, Kanagawa University, 2946 Tsuchiya, Hiratsuka, Kanagawa 259-1293 (Japan)

    2012-07-01

    We have studied growth of chirality-controlled carbon nanotubes (CNTs) from hot-implantation-formed catalytic nano-clusters in a thermally grown SiO{sub 2}/Si substrate. This procedure has the advantage of high controllability of the diameter and the number of clusters by optimizing the conditions of the ion implantation. In the present study, Co{sup +} ions with ion dose of 8 Multiplication-Sign 10{sup 16} cm{sup -2} are implanted in the vicinity of the SiO{sub 2}/Si interface at 300 Degree-Sign C temperature. The implanted Co atoms located in the SiO{sub 2} layer has an amorphous-like structure with a cluster diameter of several nm. In contrast, implanted Co atoms in the Si substrate are found to take a cobalt silicide structure, confirmed by the high-resolution image of transmission electron microscope. CNTs are grown by microwave-plasma-enhanced chemical vapor deposition. We have confirmed a large amount of vertically-aligned multi-walled CNTs from the Co nano-clusters formed by the hot-ion-implantation near the SiO{sub 2}/Si interface.

  5. Modification of high density polyethylene by gold implantation using different ion energies

    Energy Technology Data Exchange (ETDEWEB)

    Nenadović, M.; Potočnik, J. [INS Vinca, Laboratory of Atomic Physics, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia); Mitrić, M. [INS Vinca, Condensed Matter Physics Laboratory, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia); Štrbac, S. [ICTM Institute of Electrochemistry, University of Belgrade, Njegoseva 12, 11001 Belgrade (Serbia); Rakočević, Z., E-mail: zlatkora@vinca.rs [INS Vinca, Laboratory of Atomic Physics, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia)

    2013-11-01

    High density polyethylene (HDPE) samples were modified by Au{sup +} ion implantation at a dose of 5 × 10{sup 15} ions cm{sup −2}, using energies of 50, 100, 150 and 200 keV. The existence of implanted gold in the near-surface region of HDPE samples was confirmed by X-ray diffraction analysis. Surface roughness and Power Spectral Density analyses based on Atomic Force Microscopy (AFM) images of the surface topography revealed that the mechanism of HDPE modification during gold ion implantation depended on the energy of gold ions. Histograms obtained from phase AFM images indicated a qualitative change in the chemical composition of the surface during implantation with gold ions with different energies. Depth profiles obtained experimentally from cross-sectional Force Modulation Microscopy images and ones obtained from a theoretical simulation are in agreement for gold ions energies lower than 100 keV. The deviation that was observed for higher energies of the gold ions is explained by carbon precipitation in the near surface region of the HDPE, which prevented the penetration of gold ions further into the depth of the sample. - Highlights: • HDPE was implanted by Au{sup +} ions using energies of 50, 100, 150 and 200 keV. • Surface composition was analyzed from phase AFM images. • FMM depth profiles are in agreement with theoretical ones for energies up to 100 keV. • A deviation is observed for higher gold ion energies.

  6. Modification of high density polyethylene by gold implantation using different ion energies

    International Nuclear Information System (INIS)

    Nenadović, M.; Potočnik, J.; Mitrić, M.; Štrbac, S.; Rakočević, Z.

    2013-01-01

    High density polyethylene (HDPE) samples were modified by Au + ion implantation at a dose of 5 × 10 15 ions cm −2 , using energies of 50, 100, 150 and 200 keV. The existence of implanted gold in the near-surface region of HDPE samples was confirmed by X-ray diffraction analysis. Surface roughness and Power Spectral Density analyses based on Atomic Force Microscopy (AFM) images of the surface topography revealed that the mechanism of HDPE modification during gold ion implantation depended on the energy of gold ions. Histograms obtained from phase AFM images indicated a qualitative change in the chemical composition of the surface during implantation with gold ions with different energies. Depth profiles obtained experimentally from cross-sectional Force Modulation Microscopy images and ones obtained from a theoretical simulation are in agreement for gold ions energies lower than 100 keV. The deviation that was observed for higher energies of the gold ions is explained by carbon precipitation in the near surface region of the HDPE, which prevented the penetration of gold ions further into the depth of the sample. - Highlights: • HDPE was implanted by Au + ions using energies of 50, 100, 150 and 200 keV. • Surface composition was analyzed from phase AFM images. • FMM depth profiles are in agreement with theoretical ones for energies up to 100 keV. • A deviation is observed for higher gold ion energies

  7. Evaluation of electron beam stabilization for ion implant processing

    Science.gov (United States)

    Buffat, Stephen J.; Kickel, Bee; Philipps, B.; Adams, J.; Ross, Matthew F.; Minter, Jason P.; Marlowe, Trey; Wong, Selmer S.

    1999-06-01

    With the integration of high energy ion implant processes into volume CMOS manufacturing, the need for thick resist stabilization to achieve a stable ion implant process is critical. With new photoresist characteristics, new implant end station characteristics arise. The resist outgassing needs to be addressed as well as the implant profile to ensure that the dosage is correct and the implant angle does not interfere with other underlying features. This study compares conventional deep-UV/thermal with electron beam stabilization. The electron beam system used in this study utilizes a flood electron source and is a non-thermal process. These stabilization techniques are applied to a MeV ion implant process in a CMOS production process flow.

  8. Adhesive, abrasive and oxidative wear in ion-implanted metals

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1985-01-01

    Ion implantation is increasingly being used to provide wear resistance in metals and cemented tungsten carbides. Field trials and laboratory tests indicate that the best performance is achieved in mild abrasive wear. This can be understood in terms of the classification of wear modes (adhesive, abrasive, oxidative etc.) introduced by Burwell. Surface hardening and work hardenability are the major properties to be enhanced by ion implantation. The implantation of nitrogen or dual implants of metallic and interstitial species are effective. Recently developed techniques of ion-beam-enhanced deposition of coatings can further improve wear resistance by lessening adhesion and oxidation. In order to support such hard coatings, ion implantation of nitrogen can be used as a preliminary treatment. There is thus emerging a versatile group of related hard vacuum treatments involving intense beams of nitrogen ions for the purpose of tailoring metal surfaces to resist wear. (Auth.)

  9. Computer simulation of damage processes during ion implantation

    International Nuclear Information System (INIS)

    Kang, H.J.; Shimizu, R.; Saito, T.; Yamakawa, H.

    1987-01-01

    A new version for the marlowe code, which enables dynamic simulation of damage processes during ion implantation to be performed, has been developed. This simulation code is based on uses of the Ziegler--Biersack--Littmark potential [in Proceedings of the International Engineering Congress on Ion Sources and Ion-Assisted Technology, edited by T. Takagi (Ionic Co., Tokyo, 1983), p. 1861] for elastic scattering and Firsov's equation [O. B. Firsov, Sov. Phys. JETP 61, 1453 (1971)] for electron stopping

  10. Ion implantation in semiconductors and other materials

    International Nuclear Information System (INIS)

    Guernet, G.; Bruel, M.; Gailliard, J.P.; Garcia, M.; Robic, J.Y.

    1977-01-01

    The evolution of ion implantation techniques in the field of semiconductors and its extension to various fields such as metallurgy, mechanics, superconductivity and opto-electronics are considered. As for semiconductors ion implantation is evoked as: a means of predeposition of impurities at low doping level (10 11 to 10 14 cm -2 ); a means for obtaining profiles of controlled concentration; a means of reaching high doping levels with using 'strong current' implantation machines of the second generation. Some results obtained are presented [fr

  11. Wear properties of metal ion implanted 4140 steel

    International Nuclear Information System (INIS)

    Evans, P.J.; Paoloni, F.J.

    1994-01-01

    AISI type 4140 (high tensile) steel has been implanted with tungsten and titanium using a metal vapour vacuum arc ion source. Doses in the range (1-5)x10 16 ionscm -2 were implanted to a depth of approximately 30nm. The relative wear resistance between non-implanted and implanted specimens has been estimated using pin-on-disc and abrasive wear tests. Implantation of titanium decreased the area of wear tracks by a factor of 5 over unimplanted steel. In some cases the steel was also hardened by a liquid carburization treatment before implantation. Abrasion tests revealed a further improvement in wear resistance on this material following ion irradiation. ((orig.))

  12. Amorphous GaP produced by ion implantation

    International Nuclear Information System (INIS)

    Shimada, T.; Kato, Y.; Shiraki, Y.; Komatsubara, K.F.

    1976-01-01

    Two types of non-crystalline states ('disordered' and 'amorphous') of GaP were produced by using ion implantation and post annealing. A structural-phase-transition-like annealing behaviour from the 'disordered' state to the 'amorphous' state was observed. The ion dose dependence and the annealing behaviour of the atomic structure of GaP implanted with 200 keV -N + ions were studied by using electron diffraction, backscattering and volume change measurements. The electronic structure was also investigated by measuring optical absorption and electrical conductivity. The implanted layer gradually loses the crystalline order with the increase of the nitrogen dose. The optical absorption coefficient α and electric conductivity sigma of GaP crystals implanted with 200 keV -N + ions of 1 x 10 16 cm -2 were expressed as αhν = C(hν - E 0 )sup(n) and log sigma = A -BTsup(-1/4), respectively. Moreover, the volume of the implanted layer increased about three percent and the electron diffraction pattern was diffused halo whose intensity monotonically decreases along the radial direction. These results indicate that the as-implanted layer has neither a long range order or short range order ('disordered state'). In the sample implanted at 1 x 10 16 cm -2 , a structural phase-transition-like annealing stage was observed at around 400 0 C. That is, the optical absorption coefficient abruptly fell off from 6 x 10 4 to 7 x 10 3 cm -1 and the volume of the implanted layer decreased about 2% within an increase of less than 10 degrees in the anneal temperature. Moreover, the short range order of the lattice structure appeared in the electron diffraction pattern. According to the backscattering experiment, the heavily implanted GaP was still in the non-crystalline state even after annealing. These facts suggest that heavily implanted GaP, followed by annealing at around 400 0 C, is in the 'amorphous' state, although as-implanted GaP is not in the 'amorphous' state but in the

  13. Progress in ion implantation equipment for semiconductor manufacturing

    International Nuclear Information System (INIS)

    Kawai, Tadashi; Naito, Masao

    1987-01-01

    In the semiconductor device manufacturing industry, ion implantation systems are used to dope semiconductor substrates with impurities that act as donor or acceptor. In an ion implantation system, required impurity ions are generated from an ion source, subjected to mass analysis, accelerated, converged and implanted in semiconductor wafers. High-tension arc tends to cause troubles in these systems, but improvement in design increased the average operation rate of medium-power systems from bout 70 percent to 90 percent during the past 10 years. Freeman type ion sources have replaced most RF ion sources and cold cathode PIG sources, which had been widely used until the early 1970s. Many of the recent ion sources are equipped with a P and As vaporizer to increase the beam intensity. By an increased beam intensity or decreased handling time in combination with an automatic handling system, the throughput has reached 330 wafers per hour for 10 second implantation. The yield has increased due to the development of improved scanning methods, vacuum devices such as cryopump, and processes and apparatus that serve for preventing particles from being contained in micro-devices. Various other improvements have been made to permit efficient production. (Nogami, K.)

  14. Application of Coaxial Ion Gun for Film Generation and Ion Implantation

    Science.gov (United States)

    Takatsu, Mikio; Asai, Tomohiko; Kurumi, Satoshi; Suzuki, Kaoru; Hirose, Hideharu; Masutani, Shigeyuki

    A magnetized coaxial plasma gun (MCPG) is here utilized for deposition on high-melting-point metals. MCPGs have hitherto been studied mostly in the context of nuclear fusion research, for particle and magnetic helicity injection and spheromak formation. During spheromak formation, the electrode materials are ionized and mixed into the plasmoid. In this study, this ablation process by gun-current sputtering is enhanced for metallic thin-film generation. In the proposed system geometry, only ionized materials are electromagnetically accelerated by the self-Lorentz force, with ionized operating gas as a magnetized thermal plasmoid, contributing to the thin-film deposition. This reduces the impurity and non-uniformity of the deposited thin-film. Furthermore, as the ions are accelerated in a parallel direction to the injection axis, vertical implantation of the ions into the substrate surface is achieved. To test a potential application of the developed system, experiments were conducted involving the formation of a buffer layer on hard ceramics, for use in dental materials.

  15. Structural and magnetic properties of Fe-Al silica composites prepared by sequential ion implantation

    International Nuclear Information System (INIS)

    Julian Fernandez, C. de; Tagliente, M.A.; Mattei, G.; Sada, C.; Bello, V.; Maurizio, C.; Battaglin, G.; Sangregorio, C.; Gatteschi, D.; Tapfer, L.; Mazzoldi, P.

    2004-01-01

    The nanostructural and magnetic properties of Fe-Al/SiO 2 granular solids prepared by ion implantation have been investigated. A strong effect of the implantation order of the Fe and Al ions has been evidenced. By implanting first the Al ions and later Fe ions, 5-40 nm core-shell nanoparticles are formed with a magnetic behavior similar to that of Fe. The lattice parameter of the nanoparticles is consistent with that of the α-Fe. By changing the implantation order, 10-15 nm core-shell nanoparticles of a bcc Fe-based phase with a lattice 2.5% smaller than that of α-Fe are formed. The temperature dependence of the magnetization indicates a superparamagnetic behavior

  16. Structural and magnetic properties of Fe-Al silica composites prepared by sequential ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Julian Fernandez, C. de E-mail: dejulian@padova.infm.it; Tagliente, M.A.; Mattei, G.; Sada, C.; Bello, V.; Maurizio, C.; Battaglin, G.; Sangregorio, C.; Gatteschi, D.; Tapfer, L.; Mazzoldi, P

    2004-02-01

    The nanostructural and magnetic properties of Fe-Al/SiO{sub 2} granular solids prepared by ion implantation have been investigated. A strong effect of the implantation order of the Fe and Al ions has been evidenced. By implanting first the Al ions and later Fe ions, 5-40 nm core-shell nanoparticles are formed with a magnetic behavior similar to that of Fe. The lattice parameter of the nanoparticles is consistent with that of the {alpha}-Fe. By changing the implantation order, 10-15 nm core-shell nanoparticles of a bcc Fe-based phase with a lattice 2.5% smaller than that of {alpha}-Fe are formed. The temperature dependence of the magnetization indicates a superparamagnetic behavior.

  17. Release of titanium ions from an implant surface and their effect on cytokine production related to alveolar bone resorption

    International Nuclear Information System (INIS)

    Wachi, Takanori; Shuto, Takahiro; Shinohara, Yoshinori; Matono, Yoshinari; Makihira, Seicho

    2015-01-01

    Although interest in peri-implant mucositis and peri-implantitis has recently been increasing, the mechanisms driving these diseases remain unknown. Here, the effects of titanium ions on the inflammation and bone resorption around an implant were investigated. First, the accumulated amount of Ti ions released into gingival and bone tissues from an implant exposed to sodium fluoride solution was measured using inductively coupled plasma mass spectrometry. Next, the cellular responses in gingival and bone tissues to Ti ions and/or Porphyromonas gingivalis-lipopolysaccharide (P. gingivalis-LPS) were assessed using a rat model. More Ti ions were detected in the gingival tissues around an implant after treatment with sodium fluoride (pH 4.2) than in its absence, which suggests that the fluoride corroded the implant surface under salivary buffering capacity. The injection of Ti ions (9 ppm) significantly increased the mRNA expression and protein accumulation of chemokine (C–C motif) ligand 2, as well as the ratio of receptor activator of nuclear factor-κB ligand to osteoprotegerin, in rat gingival tissues exposed to P. gingivalis-LPS in a synergistic manner. In addition, the enhanced localization of toll-like receptor 4, which is an LPS receptor, was observed in gingival epithelium loaded with Ti ions (9 ppm). These data suggest that Ti ions may be partly responsible for the infiltration of monocytes and osteoclast differentiation by increasing the sensitivity of gingival epithelial cells to microorganisms in the oral cavity. Therefore, Ti ions may be involved in the deteriorating effects of peri-implant mucositis, which can develop into peri-implantitis accompanied by alveolar bone resorption

  18. Ion implantation induced martensite nucleation in SUS301 steel

    International Nuclear Information System (INIS)

    Kinoshita, Hiroshi; Takahashi, Heishichiro; Gustiono, Dwi; Sakaguchi, Norihito; Shibayama, Tamaki; Watanabe, Seiichi

    2007-01-01

    Phase transformation behaviors of the austenitic 301 stainless steel was studied under Fe + , Ti + and Ar + ions implantation at room temperature with 100, 200 and 300 keV up to fluence of 1x10 21 ions/m 2 and the microstructures were observed by means of transmission electron microscopy (TEM). The plane and cross-sectional observations of the implanted specimen showed that the induced-phases due to implantation from the γ matrix phase were identified as α' martensite phases with the orientation relationship of (11-bar0) α parallel (111-bar) γ and [111] α parallel [011] γ close to the Kurdjumov-Sachs (K-S). The ion implantation induced phases nucleated near the surface region and the depth position of the nucleation changed depending on the ion accelerating energy and ion species. It was also found that the induced marten sites phases nucleate under the influence of the stress distribution, which is introduced due to the concentration of implanted ions, especially due to the stress gradient caused by the corresponding concentration gradient. (author)

  19. Complementary study of the internal porous silicon layers formed under high-dose implantation of helium ions

    Energy Technology Data Exchange (ETDEWEB)

    Lomov, A. A., E-mail: lomov@ftian.ru; Myakon’kikh, A. V. [Russian Academy of Sciences, Institute of Physics and Technology (Russian Federation); Chesnokov, Yu. M. [National Research Centre “Kurchatov Institute” (Russian Federation); Shemukhin, A. A.; Oreshko, A. P. [Moscow State University (Russian Federation)

    2017-03-15

    The surface layers of Si(001) substrates subjected to plasma-immersion implantation of helium ions with an energy of 2–5 keV and a dose of 5 × 10{sup 17} cm{sup –2} have been investigated using high-resolution X-ray reflectivity, Rutherford backscattering, and transmission electron microscopy. The electron density depth profile in the surface layer formed by helium ions is obtained, and its elemental and phase compositions are determined. This layer is found to have a complex structure and consist of an upper amorphous sublayer and a layer with a porosity of 30–35% beneath. It is shown that the porous layer has the sharpest boundaries at a lower energy of implantable ions.

  20. Procedure for the ion implantation of MOS elements

    International Nuclear Information System (INIS)

    Gessner, T.; Vetter, E.; Tolonics, J.

    1986-01-01

    The ion implantation procedure is applied to the doping of MOS elements. The invention guarantees a homogeneous doping in the dose range from 10 10 to 10 12 ions/cm 2 without additional installations of mechanical orifices in high-current implantation devices. The ion source parameters like cathode heating current, pressure at the ion source, extraction and acceleration voltages correspond to the dose range (10 10 to 10 12 ions/cm 2 ) for single charged ions of the doping agent. Double or triple charged ions generated at the ion source have been separated mass-analytically, accelerated and scanned. Ion densities below 100 nA/cm 2 have been obtained

  1. Biofunctionalization of surfaces by energetic ion implantation: Review of progress on applications in implantable biomedical devices and antibody microarrays

    Science.gov (United States)

    Bilek, Marcela M. M.

    2014-08-01

    Despite major research efforts in the field of biomaterials, rejection, severe immune responses, scar tissue and poor integration continue to seriously limit the performance of today's implantable biomedical devices. Implantable biomaterials that interact with their host via an interfacial layer of active biomolecules to direct a desired cellular response to the implant would represent a major and much sought after improvement. Another, perhaps equally revolutionary, development that is on the biomedical horizon is the introduction of cost-effective microarrays for fast, highly multiplexed screening for biomarkers on cell membranes and in a variety of analyte solutions. Both of these advances will rely on effective methods of functionalizing surfaces with bioactive molecules. After a brief introduction to other methods currently available, this review will describe recently developed approaches that use energetic ions extracted from plasma to facilitate simple, one-step covalent surface immobilization of bioactive molecules. A kinetic theory model of the immobilization process by reactions with long-lived, mobile, surface-embedded radicals will be presented. The roles of surface chemistry and microstructure of the ion treated layer will be discussed. Early progress on applications of this technology to create diagnostic microarrays and to engineer bioactive surfaces for implantable biomedical devices will be reviewed.

  2. N and Cr ion implantation of natural ruby surfaces and their characterization

    Energy Technology Data Exchange (ETDEWEB)

    Rao, K. Sudheendra; Sahoo, Rakesh K.; Dash, Tapan [CSIR-Institute of Minerals and Materials Technology, Bhubaneswar 751013 (India); Magudapathy, P.; Panigrahi, B.K. [Materials Science Group, Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Nayak, B.B.; Mishra, B.K. [CSIR-Institute of Minerals and Materials Technology, Bhubaneswar 751013 (India)

    2016-04-15

    Highlights: • Cr and N ion implantation on natural rubies of low aesthetic quality. • Cr-ion implantation improves colour tone from red to deep red (pigeon eye red). • N-ion implantation at fluence of 3 × 10{sup 17} causes blue coloration on surface. • Certain extent of amorphization is observed in the case of N-ion implantation. - Abstract: Energetic ions of N and Cr were used to implant the surfaces of natural rubies (low aesthetic quality). Surface colours of the specimens were found to change after ion implantation. The samples without and with ion implantation were characterized by diffuse reflectance spectra in ultra violet and visible region (DRS-UV–Vis), field emission scanning electron microscopy (FESEM), selected area electron diffraction (SAED) and nano-indentation. While the Cr-ion implantation produced deep red surface colour (pigeon eye red) in polished raw sample (without heat treatment), the N-ion implantation produced a mixed tone of dark blue, greenish blue and violet surface colour in the heat treated sample. In the case of heat treated sample at 3 × 10{sup 17} N-ions/cm{sup 2} fluence, formation of colour centres (F{sup +}, F{sub 2}, F{sub 2}{sup +} and F{sub 2}{sup 2+}) by ion implantation process is attributed to explain the development of the modified surface colours. Certain degree of surface amorphization was observed to be associated with the above N-ion implantation.

  3. Amorphization and recrystallization in MeV ion implanted InP crystals

    International Nuclear Information System (INIS)

    Xiong, F.; Nieh, C.W.; Jamieson, D.N.; Vreeland, T. Jr.; Tombrello, T.A.

    1988-01-01

    A comprehensive study of MeV- 15 N-ion-implanted InP by a variety of analytical techniques has revealed the physical processes involved in MeV ion implantation into III-V compound semiconductors as well as the influence of post-implantation annealing. It provides a coherent picture of implant distribution, structural transition, crystalline damage, and lattice strain in InP crystals induced by ion implantation and thermal annealing. The experimental results from the different measurements are summarized in this report. Mechanisms of amorphization by implantation and recrystallization through annealing in MeV-ion-implanted InP are proposed and discussed in light of the results obtained

  4. Ion beam stabilization in ion implantation equipment

    International Nuclear Information System (INIS)

    Pina, L.

    1973-01-01

    The results are presented of experimental efforts aimed at ion beam current stabilization in an equipment for ion implantation in solids. The related problems of power supplies are discussed. Measured characteristics of laboratory equipment served the determination of the parameters to be required of the supplies as well as the design and the construction of the supplies. The respective wiring diagram is presented. (J.K.)

  5. Wear properties of metal ion implanted 4140 steel

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P.J. (Applications of Nuclear Physics, Ansto, Private Mail Bag 1, Menai, NSW 2234 (Australia)); Paoloni, F.J. (Department of Electrical and Computer Engineering, University of Wollongong, GPO Box 1144, Wollongong, NSW 2500 (Australia))

    1994-07-01

    AISI type 4140 (high tensile) steel has been implanted with tungsten and titanium using a metal vapour vacuum arc ion source. Doses in the range (1-5)x10[sup 16]ionscm[sup -2] were implanted to a depth of approximately 30nm. The relative wear resistance between non-implanted and implanted specimens has been estimated using pin-on-disc and abrasive wear tests. Implantation of titanium decreased the area of wear tracks by a factor of 5 over unimplanted steel. In some cases the steel was also hardened by a liquid carburization treatment before implantation. Abrasion tests revealed a further improvement in wear resistance on this material following ion irradiation. ((orig.))

  6. Zinc-ion implanted and deposited titanium surfaces reduce adhesion of Streptococccus mutans

    International Nuclear Information System (INIS)

    Xu Juan; Ding Gang; Li Jinlu; Yang Shenhui; Fang Bisong; Sun Hongchen; Zhou Yanmin

    2010-01-01

    While titanium (Ti) is a commonly used dental implant material with advantageous biocompatible and mechanical properties, native Ti surfaces do not have the ability to prevent bacterial colonization. The objective of this study was to evaluate the chemical composition and bacterial adhesive properties of zinc (Zn) ion implanted and deposited Ti surfaces (Zn-PIIID-Ti) as potential dental implant materials. Surfaces of pure Ti (cp-Ti) were modified with increasing concentrations of Zn using plasma immersion ion implantation and deposition (PIIID), and elemental surface compositions were characterized by X-ray photoelectron spectrometry (XPS). To evaluate bacterial responses, Streptococcus mutans were seeded onto the modifiedTi surfaces for 48 h and subsequently observed by scanning electron microscopy. Relative numbers of bacteria on each surface were assessed by collecting the adhered bacteria, reculturing and counting colony forming units after 48 h on bacterial grade plates. Ti, oxygen and carbon elements were detected on all surfaces by XPS. Increased Zn signals were detected on Zn-PIIID-Ti surfaces, correlating with an increase of Zn-deposition time. Substantial numbers of S. mutans adhered to cp-Ti samples, whereas bacterial adhesion on Zn-PIIID-Ti surfaces signficantly decreased as the Zn concentration increased (p < 0.01). In conclusion, PIIID can successfully introduce Zn onto a Ti surface, forming a modified surface layer bearing Zn ions that consequently deter adhesion of S. mutans, a common bacterium in the oral environment.

  7. Zinc-ion implanted and deposited titanium surfaces reduce adhesion of Streptococccus mutans

    Energy Technology Data Exchange (ETDEWEB)

    Xu Juan, E-mail: doctorxue@126.com [Implant Center, School of Stomatology Jilin University, Changchun, Jilin (China) and Stomatological Hospital, Urumqi, Xinjiang (China); Ding Gang [Department of Stomatology, Yidu Central Hospital, Weifang, Shandong (China); Capital Medical University School of Stomatology, Beijing (China); Li Jinlu; Yang Shenhui; Fang Bisong [Capital Medical University School of Stomatology, Beijing (China); Sun Hongchen, E-mail: hcsun@jlu.edu.cn [Implant Center, School of Stomatology Jilin University, Changchun, Jilin (China); Zhou Yanmin, E-mail: zhouym62@126.com [Implant Center, School of Stomatology Jilin University, Changchun, Jilin (China)

    2010-10-01

    While titanium (Ti) is a commonly used dental implant material with advantageous biocompatible and mechanical properties, native Ti surfaces do not have the ability to prevent bacterial colonization. The objective of this study was to evaluate the chemical composition and bacterial adhesive properties of zinc (Zn) ion implanted and deposited Ti surfaces (Zn-PIIID-Ti) as potential dental implant materials. Surfaces of pure Ti (cp-Ti) were modified with increasing concentrations of Zn using plasma immersion ion implantation and deposition (PIIID), and elemental surface compositions were characterized by X-ray photoelectron spectrometry (XPS). To evaluate bacterial responses, Streptococcus mutans were seeded onto the modifiedTi surfaces for 48 h and subsequently observed by scanning electron microscopy. Relative numbers of bacteria on each surface were assessed by collecting the adhered bacteria, reculturing and counting colony forming units after 48 h on bacterial grade plates. Ti, oxygen and carbon elements were detected on all surfaces by XPS. Increased Zn signals were detected on Zn-PIIID-Ti surfaces, correlating with an increase of Zn-deposition time. Substantial numbers of S. mutans adhered to cp-Ti samples, whereas bacterial adhesion on Zn-PIIID-Ti surfaces signficantly decreased as the Zn concentration increased (p < 0.01). In conclusion, PIIID can successfully introduce Zn onto a Ti surface, forming a modified surface layer bearing Zn ions that consequently deter adhesion of S. mutans, a common bacterium in the oral environment.

  8. Industrial applications of ion implantation into metal surfaces

    International Nuclear Information System (INIS)

    Williams, J.M.

    1987-07-01

    The modern materials processing technique, ion implantation, has intriguing and attractive features that stimulate the imaginations of scientists and technologists. Success of the technique for introducing dopants into semiconductors has resulted in a stable and growing infrastructure of capital equipment and skills for use of the technique in the economy. Attention has turned to possible use of ion implantation for modification of nearly all surface related properties of materials - optical, chemical and corrosive, tribological, and several others. This presentation provides an introduction to fundamental aspects of equipment, technique, and materials science of ion implantation. Practical and economic factors pertaining to the technology are discussed. Applications and potential applications are surveyed. There are already available a number of ion-implanted products, including ball-and-roller bearings and races, punches-and-dies, injection screws for plastics molding, etc., of potential interest to the machine tool industry

  9. Channeled-ion implantation of group-III and group-V ions into silicon

    International Nuclear Information System (INIS)

    Furuya, T.; Nishi, H.; Inada, T.; Sakurai, T.

    1978-01-01

    Implantation of group-III and group-V ions along [111] and [110] axes of silicon have been performed using a backscattering technique, and the depth profiles of implanted ions have been measured by the C-V method. The range of channeled Ga ions is the largest among the present data, and a p-type layer of about 6 μm is obtained by implantation at only 150 keV. The carrier profiles of channeled Al and Ga ions with deep ranges do not show any distinguishable channeled peak contrasting with the B, P, and As channeling which gives a well-defined peak. The electronic stopping cross section (S/sub e/) of channeled P ions agree well with the results of Eisen and Reddi, but in B channeling, the discrepancies of 10--20% are observed among S/sub e/ values obtained experimentally by three different groups

  10. Fe doped Magnetic Nanodiamonds made by Ion Implantation.

    Science.gov (United States)

    Chen, ChienHsu; Cho, I C; Jian, Hui-Shan; Niu, H

    2017-02-09

    Here we present a simple physical method to prepare magnetic nanodiamonds (NDs) using high dose Fe ion-implantation. The Fe atoms are embedded into NDs through Fe ion-implantation and the crystal structure of NDs are recovered by thermal annealing. The results of TEM and Raman examinations indicated the crystal structure of the Fe implanted NDs is recovered completely. The SQUID-VSM measurement shows the Fe-NDs possess room temperature ferromagnetism. That means the Fe atoms are distributed inside the NDs without affecting NDs crystal structure, so the NDs can preserve the original physical and chemical properties of the NDs. In addition, the ion-implantation-introduced magnetic property might make the NDs to become suitable for variety of medical applications.

  11. Fe doped Magnetic Nanodiamonds made by Ion Implantation

    Science.gov (United States)

    Chen, Chienhsu; Cho, I. C.; Jian, Hui-Shan; Niu, H.

    2017-02-01

    Here we present a simple physical method to prepare magnetic nanodiamonds (NDs) using high dose Fe ion-implantation. The Fe atoms are embedded into NDs through Fe ion-implantation and the crystal structure of NDs are recovered by thermal annealing. The results of TEM and Raman examinations indicated the crystal structure of the Fe implanted NDs is recovered completely. The SQUID-VSM measurement shows the Fe-NDs possess room temperature ferromagnetism. That means the Fe atoms are distributed inside the NDs without affecting NDs crystal structure, so the NDs can preserve the original physical and chemical properties of the NDs. In addition, the ion-implantation-introduced magnetic property might make the NDs to become suitable for variety of medical applications.

  12. TEM study of amorphous alloys produced by ion implantation

    International Nuclear Information System (INIS)

    Johnson, E.; Grant, W.A.; Wohlenberg, P.; Hansen, P.; Chadderton, L.T.

    1978-01-01

    Ion implantation is a technique for introducing foreign elements into surface layers of solids. Ions, as a suitably accelerated beam, penetrate the surface, slow down by collisions with target atoms to produce a doped layer. This non-equilibrium technique can provide a wide range of alloys without the restrictions imposed by equilibrium phase diagrams. This paper reports on the production of some amorphous transition metal-metalloid alloys by implantation. Thinned foils of Ni, Fe and stainless steel were implanted at room temperature with Dy + and P + ions at doses between 10 13 - 10 17 ions/cm 2 at energies of 20 and 40 keV respectively. Transmission electron microscopy and selected area diffraction analysis were used to investigate the implanted specimens. Radial diffracted intensity measurements confirmed the presence of an amorphous implanted layer. The peak positions of the maxima are in good agreement with data for similar alloys produced by conventional techniques. Only certain ion/target combinations produce these amorphous layers. Implantations at doses lower than those needed for amorphization often result in formation of new crystalline phases such as an h.c.p. phase in nickel and a b.c.c. phase in stainless steel. (Auth.)

  13. Si-nanoparticle synthesis using ion implantation and MeV ion irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Chulapakorn, T.; Wolff, M.; Primetzhofer, D.; Possnert, G. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Sychugov, I.; Suvanam, S.S.; Linnros, J. [Royal Institute of Technology, School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden); Hallen, A. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Royal Institute of Technology, School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden)

    2015-12-15

    A dielectric matrix with embedded Si-nanoparticles may show strong luminescence depending on nanoparticles size, surface properties, Si-excess concentration and matrix type. Ion implantation of Si ions with energies of a few tens to hundreds of keV in a SiO{sub 2} matrix followed by thermal annealing was identified as a powerful method to form such nanoparticles. The aim of the present work is to optimize the synthesis of Si-nanoparticles produced by ion implantation in SiO{sub 2} by employing MeV ion irradiation as an additional annealing process. The luminescence properties are measured by spectrally resolved photoluminescence including PL lifetime measurement, while X-ray reflectometry, atomic force microscopy and ion beam analysis are used to characterize the nanoparticle formation process. The results show that the samples implanted at 20%-Si excess atomic concentration display the highest luminescence and that irradiation of 36 MeV {sup 127}I ions affects the luminosity in terms of wavelength and intensity. It is also demonstrated that the nanoparticle luminescence lifetime decreases as a function of irradiation fluence. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. Implantation of 111In in NTDSi by heavy ion recoil technique

    International Nuclear Information System (INIS)

    Thakare, S.V.; Tomar, B.S.

    1998-01-01

    Heavy ion recoil implantation technique has been used to implant 111 In in n-type silicon using medium energy heavy ion accelerator Pelletron, at TIFR, Colaba, Mumbai. The nuclear reaction used for this purpose was 109 Ag( 7 Li,p4n) 111 In. The beam energy was optimised to be 50 MeV for maximum concentration of the implanted probe atoms. The gamma-ray spectrum of the implanted sample after 24 hours was found to contain only 171 and 245 keV gamma rays of 111 In. The penetration depth of ion is increased to 1.6 μm by heavy ion recoil implantation technique as compared to 0.16 μm with the conventional ion implantation technique. (author)

  15. Studies of ion implanted thermally oxidised chromium

    International Nuclear Information System (INIS)

    Muhl, S.

    1977-01-01

    The thermal oxidation of 99.99% pure chromium containing precise amounts of foreign elements has been studied and compared to the oxidation of pure chromium. Thirty-three foreign elements including all of the naturally occurring rare earth metals were ion implanted into chromium samples prior to oxidation at 750 0 C in oxygen. The role of radiation induced damage, inherent in this doping technique, has been studied by chromium implantations at various energies and doses. The repair of the damage has been studied by vacuum annealing at temperatures up to 800 0 C prior to oxidation. Many of the implants caused an inhibition of oxidation, the greatest being a 93% reduction for 2 x 10 16 ions/cm 2 of praseodymium. The distribution of the implant was investigated by the use of 2 MeV alpha backscattering and ion microprobe analysis. Differences in the topography and structure of the chromic oxide on and off the implanted area were studied using scanning electron and optical microscopy. X-ray diffraction analysis was used to investigate if a rare earth-chromium compound of a perovskite-type structure had been formed. Lastly, the electrical conductivity of chromic oxide on and off the implanted region was examined at low voltages. (author)

  16. Cytological effect of nitrogen ion implantation into Stevia

    International Nuclear Information System (INIS)

    Shen Mei; Wang Cailian; Chen Qiufang; Lu Ting; Shu Shizhen

    1997-01-01

    Dry seeds of Stevia were implanted by 35∼150 keV nitrogen ion with various doses. The cytological effect on M 1 was studied. The results showed that nitrogen ion beam was able to induce variation on chromosome structure in root tip cells. The rate of cells with chromosome aberration was increased with the increased with the increase of ion beam energy and dose. However, there was no significant linear regression relationship between ion dose and aberration rate. The cytological effect of nitrogen ion implantation was lower than that of γ-rays

  17. Effects of phosphorus doping by plasma immersion ion implantation on the structural and optical characteristics of Zn{sub 0.85}Mg{sub 0.15}O thin films

    Energy Technology Data Exchange (ETDEWEB)

    Saha, S.; Nagar, S.; Chakrabarti, S., E-mail: subho@ee.iitb.ac.in [Department of Electrical Engineering, Indian Institute of Technology Bombay, Powai, Mumbai 400076 (India)

    2014-08-11

    ZnMgO thin films deposited on 〈100〉 Si substrates by RF sputtering were annealed at 800, 900, and 1000 °C after phosphorus plasma immersion ion implantation. X-ray diffraction spectra confirmed the presence of 〈101{sup ¯}0〉 and 〈101{sup ¯}3〉 peaks for all the samples. However, in case of the annealed samples, the 〈0002〉 peak was also observed. Scanning electron microscopy images revealed the variation in surface morphology caused by phosphorus implantation. Implanted and non-implanted samples were compared to examine the effects of phosphorus implantation on the optical properties of ZnMgO. Optical characteristics were investigated by low-temperature (15 K) photoluminescence experiments. Inelastic exciton–exciton scattering and localized, and delocalized excitonic peaks appeared at 3.377, 3.42, and 3.45 eV, respectively, revealing the excitonic effect resulting from phosphorus implantation. This result is important because inelastic exciton–exciton scattering leads to nonlinear emission, which can improve the performance of many optoelectronic devices.

  18. RTV silicone rubber surface modification for cell biocompatibility by negative-ion implantation

    International Nuclear Information System (INIS)

    Zheng, Chenlong; Wang, Guangfu; Chu, Yingjie; Xu, Ya; Qiu, Menglin; Xu, Mi

    2016-01-01

    Highlights: • The radiation effect has a greater influence than doping effect on the hydrophilicity of RTV SR. • The implanted ions result in a new surface atomic bonding state and morphology. • Generating hydrophilic functional groups is a reason for the improved cell biocompatibility. • The micro roughness makes the hydrophilicity should be reduced due to the lotus effect. • Cell culture demonstrates that negative-ion implantation can improve biocompatibility. - Abstract: A negative cluster ion implantation system was built on the injector of a GIC4117 tandem accelerator. Next, the system was used to study the surface modification of room temperature vulcanization silicone rubber (RTV SR) for cell biocompatibility. The water contact angle was observed to decrease from 117.6° to 99.3° as the C_1"− implantation dose was increased to 1 × 10"1"6 ions/cm"2, and the effects of C_1"−, C_2"− and O_1"− implantation result in only small differences in the water contact angle at 3 × 10"1"5 ions/cm"2. These findings indicate that the hydrophilicity of RTV SR improves as the dose is increased and that the radiation effect has a greater influence than the doping effect on the hydrophilicity. There are two factors influence hydrophilicity of RTV: (1) based on the XPS and ATR-FTIR results, it can be inferred that ion implantation breaks the hydrophobic functional groups (Si−CH_3, Si−O−Si, C−H) of RTV SR and generates hydrophilic functional groups (−COOH, −OH, Si−(O)_x (x = 3,4)). (2) SEM reveals that the implanted surface of RTV SR appears the micro roughness such as cracks and wrinkles. The hydrophilicity should be reduced due to the lotus effect (Zhou Rui et al., 2009). These two factors cancel each other out and make the C-implantation sample becomes more hydrophilic in general terms. Finally, cell culture demonstrates that negative ion-implantation is an effective method to improve the cell biocompatibility of RTV SR.

  19. Effects of energy variations of ions influencing a target on implantation

    International Nuclear Information System (INIS)

    Astakhov, V.P.; Rubtsov, V.A.; Aranovich, R.M.; Pavlov, P.V.

    1981-01-01

    In cases of phosphorus and boron ion implantation into silicon the dependence of electrophysical properties of ion-doped layers and target material near the layer boundaries on energy variation conditions of influencing ions is observed. A physical model explaining the dependence is proposed. It is found that for the target, being at room temperature, after successive annealing the qualitative characteristics of conditions (i.e. energy increase and decrease) on implantation of phosphorus ions into p-silicon and boron ions into n-silicon, as well as the value of energy stages, define rhosub(l) ion-doped layer resistivity and tausub(mc) nonequilibrium minority carrier lifetime in the base of p-n transitions. The essence of the effects observed is that for equal sets of Esub(i) ion energy values and PHIsub(i) corresponding phases at maximum energy used exceeding 30 keV, successive energy increase during implantation, when E 1 2 1 mode), leads to smaller rhosub(e) values and greater tausub(mc) than in case of successive energy decrease, when E 1 >E 2 >...E(E 2 mode) for any fixed annealing temperature. In cases when the maximum energy does not exceed 30 KeV, the E 1 and E 2 modes lead to analogous rhosub(e) and tausub(mc) values. The E 2 mode leads to enrichment of the ion-implanted layer with associations and complexes on the basis of interstitial atoms in comparison with the E 1 mode. The associations and complexes on thermal treatment are reformed into the higher-temperature interstitial complexes increasing rhosub(e) and decreasing tausub(mc). Supposition about the effect of these complexes and processes of structural transformations on annealing, hampering-improvement of structural properties of the ion-implanted layer and a crystal region bordered on it [ru

  20. Development of a 100 KV 10 a pulse generator on the basis of electron tubes for plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Kaur, Mandeep; Barve, D.N.; Chakravarthy, D.P.

    2006-01-01

    The design of a high-voltage pulsing system on the basis of hard tube of hard tube for a plasma immersion ion implantation (PIII) facility is presented. A list of requirements, which have to be fulfilled by a high-voltage pulse generator to get best results and an optimum operation of the PIII system, is given. The requirement for the pulse generator can be fulfilled well using a pulse generator design, which employs a hard tube switch. The pulse generator design presented is optimized for PIII systems. The hard tube control can produce nearly rectangular pulses of any duration and repetition frequencies and is especially optimized for obtaining voltage rise times as short as possible. (author)

  1. SIMS analysis of isotopic impurities in ion implants

    International Nuclear Information System (INIS)

    Sykes, D.E.; Blunt, R.T.

    1986-01-01

    The n-type dopant species Si and Se used for ion implantation in GaAs are multi-isotopic with the most abundant isotope not chosen because of potential interferences with residual gases. SIMS analysis of a range of 29 Si implants produced by several designs of ion implanter all showed significant 28 Si impurity with a different depth distribution from that of the deliberately implanted 29 Si isotope. This effect was observed to varying degrees with all fifteen implanters examined and in every 29 Si implant analysed to date 29 Si + , 29 Si ++ and 30 Si implants all show the same effect. In the case of Se implantation, poor mass resolution results in the implantation of all isotopes with the same implant distribution (i.e. energy), whilst implants carried out with good mass resolution show the implantation of all isotopes with the characteristic lower depth distribution of the impurity isotopes as found in the Si implants. This effect has also been observed in p-type implants into GaAs (Mg) and for Ga implanted in Si. A tentative explanation of the effect is proposed. (author)

  2. Reflectance spectroscopy of PMMA implanted with 50 keV silicon ions

    Energy Technology Data Exchange (ETDEWEB)

    Florian, Bojana [Bulgarian Institute of Metrology, 2 Prof. P. Mutafchiev Str., 1797 Sofia (Bulgaria); Stefanov, Ivan [Department of Quantum Electronics, Faculty of Physics, Sofia University, 5 James Bourchier Blvd., 1164 Sofia (Bulgaria); Hadjichristov, Georgi [Institute of Solid State Physics, 72 Tzarigradsko Chaussee Blvd., 1784 Sofia (Bulgaria)

    2009-07-01

    Recently, the modification of the specular reflectivity of PMMA implanted with low-energy (50 keV) silicon ions was studied and nano-clusters formed in PMMA by Si{sup +} implantation were evidenced by Raman spectroscopy and electrical measurements. Further, the optical loss due to off-specular (diffuse) reflectivity of this ion-implanted polymer is also of practical interest for applications such as micro-optical lenses, diffraction gratings, Fresnel lenses, waveguides, etc. We examined both specular and diffuse reflectivity of Si{sup +} implanted PMMA in the UV-Vis-NIR. The effect from Si{sup +} implantation in the dose range 10{sup 14}-10{sup 17} ions/cm{sup 2} is linked to the structure formed in PMMA where the buried ion-implanted layer has a thickness up to 100 nm. As compared to the pristine PMMA, an enhancement of the reflectivity of Si{sup +} implanted PMMA is observed, that is attributed to the modification of the subsurface region of PMMA upon the ion implantation.

  3. The influence of ion implantation on the oxidation of nickel

    International Nuclear Information System (INIS)

    Goode, P.D.

    1975-11-01

    The effects of ion implantation on the oxidation of polycrystalline nickel have been studied for a range of implanted species: viz. He, Li, Ne, Ca, Ti, Ni, Co, Xe, Ce and Bi. The oxides were grown in dry oxygen at 630 0 C and the 16 O(d,p) 17 O nuclear reaction technique used to determine the amount of oxygen taken up. The influence of atomic and ionic size, valency and electronegativity of the implanted impurities was studied as also were the effects of ion bombardment damage and the influence of sputtering during implantation. Atomic size and the annealing of disorder were found to have a marked influence on oxide growth rate. The dependence of oxidation on annealing was further studied by implanting polycrystalline specimens with self ions and observing the oxide growth rate as a function of annealing temperature. A peak in the curve was found at 400 0 C and a similar peak observed at a somewhat higher temperature for oxidised single crystals. It is concluded that the oxidation rate will be influenced by those factors which alter the epitaxial relationship between metal and growing oxide. Such factors include atomic size of the implanted species, surface strain induced by implantation and changes in surface topography as a result of sputtering. In addition a model based on vacancy assisted cation migration is proposed to explain enhanced oxidation observed over a limited temperature range. (author)

  4. Ion implantation induced blistering of rutile single crystals

    Energy Technology Data Exchange (ETDEWEB)

    Xiang, Bing-Xi [School of Physics, Shandong University, Jinan, Shandong 250100 (China); Jiao, Yang [College of Physics and Electronics, Shandong Normal University, Jinan, Shandong 250100 (China); Guan, Jing [School of Physics, Shandong University, Jinan, Shandong 250100 (China); Wang, Lei [School of Physics, Shandong University, Jinan, Shandong 250100 (China); Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences (China)

    2015-07-01

    The rutile single crystals were implanted by 200 keV He{sup +} ions with a series fluence and annealed at different temperatures to investigate the blistering behavior. The Rutherford backscattering spectrometry, optical microscope and X-ray diffraction were employed to characterize the implantation induced lattice damage and blistering. It was found that the blistering on rutile surface region can be realized by He{sup +} ion implantation with appropriate fluence and the following thermal annealing.

  5. Surface modification of coronary artery stent by Ti-O/Ti-N complex film coating prepared with plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Huang, N.; Leng, Y.X.; Yang, P.

    2006-01-01

    This paper reported the work of surface coating of Ti-O/Ti-N complex films on coronary stents by means of the plasma immersion ion implantation/deposition process. The deformation behavior of the Ti-O/Ti-N coated stainless steel stents was investigated. In vivo investigation of the anticoagulation behavior of Ti-O coated coronary stents was also performed. The results of mechanical characterization of the Ti-O/Ti-N coated stents show that the film has strong binding strength, and to some extent the ability to withstand plastic deformation. The biological response behavior of the coated stent surface was significantly different from the uncoated. The results of implantation of stents into rabbit ventral aorta show no thrombus formation on the surfaces of the Ti-O coated stents, although serious coagulation had occurred on the surfaces of unmodified stents over a period of 4 weeks under conditions with no anticoagulant

  6. Surface modification of yttria stabilized zirconia by ion implantation

    International Nuclear Information System (INIS)

    Scholten, D.

    1987-01-01

    The results of investigations of surface modification by ion implantation in zirconia are described. As dopant material, iron was investigated thoroughly. The depth distribution of implanted ions depends on implantation parameters and the dopant-matrix system. The investigations of thermal stability of some implanted iron profiles by RBS and AES are described. Special interest lies in the thermal stability under working conditions of the zirconia material (400-1000 0 C). Radiation damage introduced in the implanted layer was investigated using transmission electron microscopy on polycrystalline material and channeling experiments on a single crystal implanted with iron. 179 refs.; 87 figs.; 20 tabs

  7. Characterization of low temperature metallic magnetic calorimeters having gold absorbers with implanted 163Ho ions

    Science.gov (United States)

    Gastaldo, L.; Ranitzsch, P. C.-O.; von Seggern, F.; Porst, J.-P.; Schäfer, S.; Pies, C.; Kempf, S.; Wolf, T.; Fleischmann, A.; Enss, C.; Herlert, A.; Johnston, K.

    2013-05-01

    For the first time we have investigated the behavior of fully micro-fabricated low temperature metallic magnetic calorimeters (MMCs) after undergoing an ion-implantation process. This experiment had the aim to show the possibility to perform a high precision calorimetric measurement of the energy spectrum following the electron capture of 163Ho using MMCs having the radioactive 163Ho ions implanted in the absorber. The isotope 163Ho decays through electron capture to 163Dy and features the smallest known QEC value. This peculiarity makes 163Ho a very interesting candidate to investigate the value of the electron neutrino mass by the analysis of the energy spectrum. The implantation of 163Ho ions was performed at ISOLDE-CERN. The performance of a detector that underwent an ion-implantation process is compared to the one of a detector without implanted ions. The results show that the implantation dose of ions used in this experiment does not compromise the properties of the detector. Moreover the performance of the detector prototype having the 163Ho ions implanted in the absorber is already close to the requirements needed for an experiment with sub-eV sensitivity to the electron neutrino mass. Based on these results, an optimized detector design for future 163Ho experiments is presented.

  8. Characterization of low temperature metallic magnetic calorimeters having gold absorbers with implanted 163Ho ions

    International Nuclear Information System (INIS)

    Gastaldo, L.; Ranitzsch, P.C.-O.; Seggern, F. von; Porst, J.-P.; Schäfer, S.; Pies, C.; Kempf, S.; Wolf, T.; Fleischmann, A.; Enss, C.; Herlert, A.; Johnston, K.

    2013-01-01

    For the first time we have investigated the behavior of fully micro-fabricated low temperature metallic magnetic calorimeters (MMCs) after undergoing an ion-implantation process. This experiment had the aim to show the possibility to perform a high precision calorimetric measurement of the energy spectrum following the electron capture of 163 Ho using MMCs having the radioactive 163 Ho ions implanted in the absorber. The isotope 163 Ho decays through electron capture to 163 Dy and features the smallest known Q EC value. This peculiarity makes 163 Ho a very interesting candidate to investigate the value of the electron neutrino mass by the analysis of the energy spectrum. The implantation of 163 Ho ions was performed at ISOLDE-CERN. The performance of a detector that underwent an ion-implantation process is compared to the one of a detector without implanted ions. The results show that the implantation dose of ions used in this experiment does not compromise the properties of the detector. Moreover the performance of the detector prototype having the 163 Ho ions implanted in the absorber is already close to the requirements needed for an experiment with sub-eV sensitivity to the electron neutrino mass. Based on these results, an optimized detector design for future 163 Ho experiments is presented

  9. Effects of ion implantation on the electrochemical characteristics of carbon electrodes

    International Nuclear Information System (INIS)

    Takahashi, Katsuo; Iwaki, Masaya

    1994-01-01

    Various carbon materials are important electrode materials for electrochemical field. By ion implantation, the surface layer reforming of carbon materials (mainly galssy carbon) was carried out, and the effect that it exerts to their electrode characteristics was investigated. As the results of the ion implantation of Li, N, O, K, Ti, Zn, Cd and others performed so far, it was found that mainly by the change of the surface layer to amorphous state, there were the effects of the lowering of base current and the lowering of electrode reaction rate, and it was known that the surface layers of carbon materials doped with various kinds of ions showed high chemical stability. The use of carbon materials as electrodes in electrochemistry is roughly divided into the electrodes for electrolytic industry and fuel cells for large current and those for the measurement in electrochemical reaction for small current. The structure of carbon materials and electrode characteristics, and the reforming effect by ion implantation are reported. (K.I.)

  10. A 2 MV heavy ion Van de Graaff implanter for research and development

    International Nuclear Information System (INIS)

    Hemment, P.L.F.; Sealy, B.J.; Stephens, K.G.; Mynard, J.E.; Jeynes, C.; Browton, M.D.; Wilson, R.J.; Ma, M.X.; Cansell, A.; Mous, D.J.W.; Koudijs, R.

    1993-01-01

    A high energy heavy ion implantation system is described which is based upon a 2 MV High Voltage Engineering Europa Van de Graaff accelerator, which incorporates an ion source rapid exchange mechanism. The design and performance are described with particular reference to the system mass resolution, beam transport and performance of a sputter ion source. The system is used to provide a wide ranging implantation service and also supports material science studies, some of which are described briefly. (orig.)

  11. Annealing of ion implanted silicon

    International Nuclear Information System (INIS)

    Chivers, D.; Smith, B.J.; Stephen, J.; Fisher, M.

    1980-09-01

    The newer uses of ion implantation require a higher dose rate. This has led to the introduction of high beam current implanters; the wafers move in front of a stationary beam to give a scanning effect. This can lead to non-uniform heating of the wafer. Variations in the sheet resistance of the layers can be very non-uniform following thermal annealing. Non-uniformity in the effective doping both over a single wafer and from one wafer to another, can affect the usefulness of ion implantation in high dose rate applications. Experiments to determine the extent of non-uniformity in sheet resistance, and to see if it is correlated to the annealing scheme have been carried out. Details of the implantation parameters are given. It was found that best results were obtained when layers were annealed at the maximum possible temperature. For arsenic, phosphorus and antimony layers, improvements were observed up to 1200 0 C and boron up to 950 0 C. Usually, it is best to heat the layer directly to the maximum temperature to produce the most uniform layer; with phosphorus layers however it is better to pre-heat to 1050 0 C. (U.K.)

  12. Surface depression of glass and surface swelling of ceramics induced by ion implantation

    International Nuclear Information System (INIS)

    Ikeyama, Masami; Saitoh, Kazuo; Nakao, Setsuo; Niwa, Hiroaki; Tanemura, Seita; Miyagawa, Yoshiko; Miyagawa, Souji

    1994-01-01

    By the measurement of the change of the surface shapes of the glass and ceramics in which ion implantation was performed, it was clarified that glass surface was depressed, and ceramic surface swelled. These depression and swelling changed according to the kinds of ions, energy and the amount to be implanted and the temperature of samples. It became clear that the depression of glass surface was nearly proportional to the range of flight of the implanted ions, and the swelling of ceramic surface showed different state in the silicon nitride with strong covalent bond and the alumina and sapphire with strong ionic bond. For the improvement of the mechanical characteristics of solid materials such as hardness, strength, toughness, wear resistance, oxidation resistance and so on, attention has been paid to the surface reforming by high energy ion implantation at MeV level. The change of shapes of base materials due to ion implantation is not always negligible. The experiment was carried out on sintered silicon nitride and alumina, polished sapphire single crystals and quartz glass. The experimental method and the results are reported. (K.I.)

  13. Paramagnetism in ion-implanted oxides

    CERN Document Server

    Mølholt, Torben Esmann; Gíslason, Hafliði Pétur; Ólafsson, Sveinn

    This thesis describes the investigation on para-magnetism in dilute ion-implanted single-crystal oxide samples studied by on- and off-line $^{57}$Fe emission Mössbauer spectroscopy. The ion-implantation of the radioactive isotopes ( $^{57}$Mn and $^{57}$Co) was performed at the ISOLDE facility at CERN in Geneva, Switzerland. The off-line measurements were performed at Aarhus University, Denmark. Mössbauer spectroscopy is a unique method, giving simultaneously local information on valence/spin state of the $^{57}$Fe probe atoms, site symmetry and magnetic properties on an atomic scale. The utilisation of emission Mössbauer spectroscopy opens up many new possibilities compared with traditional transmission Mössbauer spectroscopy. Among them is the possibility of working with a low concentration below 10$^{-4}$ –10$^{-3}$ at.%, where the implanted Mössbauer $^{57}$Fe probes are truly dilute impurities exclusively interacting with their nearest neighbours and therefore the possibility of crea...

  14. Study on surface modification of M2 steel induced by Cu ions and Al ions implantation

    International Nuclear Information System (INIS)

    Wang Chao; Liu Zhengmin

    2001-01-01

    Changes of surface hardness and wear resistances in M2 type steel implanted by Cu Al ions were reported. The dependence of surface strengthening on ion species and dose was studied by X-ray diffraction (XRD) and Rutherford Backscattering Spectroscopy (RBS) for microhardness and wear resistances measurement. It is shown that both hardness and wear resistance increases apparently after ion implantation. XRD analysis indicates that different phases formed after Al Cu ions implanted. It is also suggested that Cu, Al ions have different role in surface strengthening

  15. Tribological effects of oxygen ion implantation into stainless steel

    International Nuclear Information System (INIS)

    Evans, P.J.; Vilaithong, T.; Yu, L.D.; Monteiro, O.R.; Yu, K.M.; Brown, I.G.

    2000-01-01

    The formation of sub-surface oxide layers by hybrid metal-gas co-implantation into steel and other metals can improve their tribological properties. In this report, we compare the wear and friction performance of previously studied Al + O hybrid implants with that produced by single species oxygen ion (O + ) implantation under similar conditions. The substrates were AISI 304L stainless steel discs polished to a final mirror finish using 1 μm diamond paste, and the ion implantation was done using a conventional swept-beam technique at ion energies of 70 or 140 keV and doses of up to 1x10 17 cm -2 . The wear and friction behaviour of the implanted and unimplanted material was measured with a pin-on-disc tribometer. Here we describe the experimental procedure and results, and discuss the improvement relative to that achieved with surface layers modified by metal-gas co-implantation

  16. Ion implantation and ion assisted coatings for wear resistance in metals

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1986-01-01

    The implantation of electrically accelerated ions of chosen elements into the surface of material provides a method for improving surface properties such as wear resistance. High concentrations of nitrogen implanted into metals create obstacles to dislocation movement, and certain combinations of metallic and non-metallic species will also strengthen the surface. The process is best applied to situations involving mild abrasive wear and operating temperatures that are not too high. Some dramatic increases in life have been reported under such favourable conditions. A more recent development has been the combination of a thin coating with reactive ion bombardment designed to enhance adhesion by ion mixing at the interface and so provide hardness by the formation of finely dispersed nitrides, including cubic boron nitride. These coatings often possess vivid and decorative colours as an added benefit. Developments in the equipment for industrial ion implantation now offer more attractive costs per unit area and a potentially greater throughput of work. A versatile group of related hard vacuum treatments is now emerging, involving the use of intense beams of nitrogen ions for the purpose of tailoring metal surfaces to resist wear. (author)

  17. X-ray photoelectron spectroscopic depth profilometry of nitrogen implanted in materials for modification of their surface properties

    International Nuclear Information System (INIS)

    Sarkissian, A.H.; Paynter, R.; Stansfield, B.L.

    1996-01-01

    The modification of the surface properties of materials has a wide range of industrial applications. For example, the authors change the electrical characteristics of semiconductors, improve surface hardness, decrease friction, increase resistance to corrosion, improve adhesion, etc. Nitriding is one of the most common processes used in industry for surface treatment. Nitrogen ion implantation is one technique often used to achieve this goal. Ion implantation offers the power to control the deposition profile, and can be achieved by either conventional ion beam implantation or plasma assisted ion implantation. They have used the technique of plasma assisted ion implantation to implant nitrogen in several materials, including titanium, silicon and stainless steel. The plasma source is a surface ECR source developed at INRS-Energie et Materiaux. The depth profile of the implanted ions has been measured by X-ray photoelectron spectroscopy. They have also conducted simulations using the TRIM-95 code to predict the depth profile of the implanted ions. Comparisons of the measured results with those from simulations are used to deduce information regarding the plasma composition and the collisional effects in the plasma. A fast responding, current and voltage measuring circuit with fiber optic links is being developed, which allows more accurate quantitative measurements. Further experiments to study the characteristics of the plasma, and their effects on the characteristics of the implanted surfaces are in progress, and the results are presented at this meeting

  18. High current pelletron for ion implantation

    International Nuclear Information System (INIS)

    Schroeder, J.B.

    1989-01-01

    Since 1984, when the first production MeV ion implanter (an NEC model MV-T30) went on-line, interest in versatile electrostatic accelerator systems for MeV ion implantation has grown. The systems use a negative ion source to inject a tandem megavolt accelerator. In early systems the 0.4 mA of charging current from the two Pelletron charging chains in the accelerator was sufficient for the low intensity of beams from the ion source. This 2-chain system, however, is no longer adequate for the much higher beam intensities from today's improved ion sources. A 4-chain charging system, which delivers 1.3 mA to the high voltage terminal, was developed and is in operation in new models of NEC S Series Pelletron accelerators. This paper describes the latest beam performance of 1 MV and 1.7 MW Pelletron accelerators with this new 4-chain charging system. (orig.)

  19. Laws of phase formation in ion-implanted metals

    International Nuclear Information System (INIS)

    Kazdaev, H.R.; Abylkhalykova, R.B.; Skakov, M.K.

    2004-01-01

    Full text: Main laws of ordered structures formation at molybdenum implantation by elements forming phases of introduction (B, C, N, 0, Si, P, S) are discovered in this work. According to them the character of structural and phase transformations in molybdenum at ion implantation is determined not by kinetic parameters of bombarding particles and their chemical activity but by size factor η x/Me (ratio of nuclear radii of introduced elements and atoms of a matrix). At change of its meaning in the certain limits the following can be observed: superstructures formation (η x/Mo x/Mo x/Mo >0.69). In the latter case at the further implantation doze increasing recrystallization of molybdenum monocrystalline layers amorphized during previous bombarding with chemical connection formation takes place, characterized by us as ion-inducted synthesis. The phenomenon discovered on the samples implanted by phosphorus ions. As the result, the high-temperature phase of molybdenum monophosphide MoP having densely situated lattice was synthesized. The complete confirmation of the main laws of structural and phased transformations at ion implantation established by results on molybdenum monocrystals with OCC lattice was achieved at realization of similar researches on the other transitive metal - zirconium which differs from molybdenum according to a number of attributes: a type of an initial lattice structural condition (large scaled polycrystal), presence of interparticle borders and high solubility of atmospheric impurities (nitrogen, carbon, oxygen). The discovered laws have proved to be true also according to ion implanted samples of monocrystal tungsten and polycrystal tantalum

  20. Application of ion implantation in metals and alloys

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1981-01-01

    Ion implantation first became established as a precise method of introducing dopant elements into semiconductors. It is now appreciated that there may be equally important applications in metallic tools or components with the purpose of improving their resistance to wear, fatigue or corrosion. Nitrogen ions implanted into steels pin dislocations and thereby harden the metal. Some metallic ions such as yttrium reduce the tendency for oxidative wear. There is a fairly good understanding of how both treatments can provide a long-lasting protection that extends to many times the original depth of implantation. Nitrogen implantation also improves the wear resistance of Co-cemented tungsten carbide and of hard chromium electroplated coatings. These treatments have wide application in press tools, molds, dies and other metal-forming tools as well as in a more limited variety of cutting tools. Some striking improvements can be achieved in the corrosion field, but there are economic and technical reasons for concluding that practical applications of ion implantation will be more restricted and specialized in this area. The most promising area is that in which mechanical stress and oxidation coexist. When a metallic species has to be introduced, a promising new development is to bombard a thin coating of the metal at an elevated temperature. Several powerful mechanisms of radiation-enhanced diffusion can bring about a complete intermixing. Examples of how this has been used to produce wear resistant surfaces in titanium are given. Finally, the equipment developed for the large scale application of the ion implantation process in the engineering field is described

  1. Effect of ion implantation on apple wine yeast

    International Nuclear Information System (INIS)

    Song Andong; Chen Hongge; Zhang Shimin; Jia Cuiying

    2004-01-01

    The wild type apple wine yeast Y 02 was treated by ion implantation with the dose of 8 x 10 15 ion/cm 2 . As results, a special mutant strain, ION II -11 dry, was obtained. The morphology characters, partial biochemistry characters, mycelium protein of the mutant strain were distinctively changed compared with original strain Y 02 . After the fermentation test ,the apple wine producing rate of the mutant strain increased 22.4% compared with original strain. These results showed that ion implantation was an effective method for mutagenesis

  2. Improvement of tribological properties by ion implantation

    International Nuclear Information System (INIS)

    Gerve, A.

    1993-01-01

    Many different measurements confirm that ion implantation changes the friction and wear behaviour, which are the most important properties of tribological systems. Unfortunately, these properties will not always be improved. In industrial application, very often different results of the effects of ion implantation into tools or machine components can be observed, even if the same materials are used. A very important reason for this is the different stresses on the tribological systems. The energy input caused by friction, which is a function of the stress and other parameters of the tribosystem, within a short time leads to the appearance of energy islands, which are statistically distributed over the surfaces. The density of energy within these tiny energy islands is very high. Results of these high energy densities is a mutation of the material's composition and structure within a very thin layer of less than 100 nm underneath the surface and wear. Ion implantation also changes the composition and structure of the bulk material close to the surface. Thus there is urgent need to understand tribo-induced mutations of ion-implanted materials and their influence on the tribological properties. For that reason surface analyses have to be carried out to determine the composition and structure of the materials and the mutation caused by friction and wear

  3. Experiments on Ion-Ion Plasmas From Discharges

    Science.gov (United States)

    Leonhardt, Darrin; Walton, Scott; Blackwell, David; Murphy, Donald; Fernsler, Richard; Meger, Robert

    2001-10-01

    Use of both positive and negative ions in plasma processing of materials has been shown to be advantageous[1] in terms of better feature evolution and control. In this presentation, experimental results are given to complement recent theoretical work[2] at NRL on the formation and decay of pulsed ion-ion plasmas in electron beam generated discharges. Temporally resolved Langmuir probe and mass spectrometry are used to investigate electron beam generated discharges during the beam on (active) and off (afterglow) phases in a variety of gas mixtures. Because electron-beam generated discharges inherently[3] have low electron temperatures (<0.5eV in molecular gases), negative ion characteristics are seen in the active as well as afterglow phases since electron detachment increases with low electron temperatures. Analysis of temporally resolved plasma characteristics deduced from these measurements will be presented for pure O_2, N2 and Ar and their mixtures with SF_6. Oxygen discharges show no noticeable negative ion contribution during the active or afterglow phase, presumably due to the higher energy electron attachment threshold, which is well above any electron temperature. In contrast, SF6 discharges demonstrate ion-ion plasma characteristics in the active glow and are completely ion-ion in the afterglow. Comparison between these discharges with published cross sections and production mechanisms will also be presented. [1] T.H. Ahn, K. Nakamura & H. Sugai, Plasma Sources Sci. Technol., 5, 139 (1996); T. Shibyama, H. Shindo & Y. Horiike, Plasma Sources Sci. Technol., 5, 254 (1996). [2] See presentation by R. F. Fernsler, at this conference. [3] D. Leonhardt, et al., 53rd Annual GEC, Houston, TX.

  4. Carbon dioxide ion implantation in Titanium Nitride (Ti N)

    International Nuclear Information System (INIS)

    Torabi, Sh.; Sari, A. H.; Hojabri, A.; Ghoranneviss, M.

    2007-01-01

    Nitrogen ion implantation on titanium samples performed at 3x10 18 , 8x10 17 , 3x10 18 doses. In addition CO 2 ions were also implanted at doses in the range of 1x10 17 ,4 x10 17 ,8x10 17 . Atomic Force Microscopy, used to investigate the topographical changes of implanted samples. The structure of samples and phase composition were characterized using x-ray diffraction. The results show that by increasing of nitrogen ions, the roughness, grain sizes and hardness will increase. But by further increasing of dose, hardness will be decreased. The CO 2 implantation also enhance the roughness, grain size and hardness which could be caused by phase composition.

  5. Ion implantation apparatus

    International Nuclear Information System (INIS)

    Forneris, J.L.; Hicks, W.W.; Keller, J.H.; McKenna, C.M.; Siermarco, J.A.; Mueller, W.F.

    1981-01-01

    The invention relates to ion bombardment or implantation apparatus. It comprises an apparatus for bombarding a target with a beam of ions, including an arrangement for measuring the ion beam current and controlling the surface potential of the target. This comprises a Faraday cage formed, at least in part, by the target and by walls adjacent to, and electrically insulated from, the target and surrounding the beam. There is at least one electron source for supplying electrons to the interior of the Faraday cage and means within the cage for blocking direct rectilinear radiation from the source to the target. The target current is measured and combined with the wall currents to provide a measurement of the ion beam current. The quantity of electrons supplied to the interior of the cage can be varied to control the target current and thereby the target surface potential. (U.K.)

  6. Quantum effects in ion implanted devices

    International Nuclear Information System (INIS)

    Jamieson, D.N.; Chan, V.; Hudson, F.E.; Andresen, S.E.; Yang, C.; Hopf, T.; Hearne, S.M.; Pakes, C.I.; Prawer, S.; Gauja, E.; Yang, C.; Dzurak, A.S.; Yang, C.; Clark, R.G.; Yang, C.

    2005-01-01

    Fabrication of nanoscale devices that exploit the rules of quantum mechanics to process information presents formidable technical challenges because it will be necessary to control quantum states at the level of individual atoms, electrons or photons. We have developed a pathway to the construction of quantum devices using ion implantation and demonstrate, using charge transport analysis, that the devices exhibit single electron effects. We construct devices that employ two P donors in Si by employing the technique of ion beam induced charge (IBIC) in which single 14 keV P ions can be implanted into ultra-pure silicon by monitoring on-substrate detector electrodes. We have used IBIC with a MeV nuclear microprobe to map and measure the charge collection efficiency in the development of the electrode structure and show that 100% charge collection efficiency can be achieved leading to the fabrication of prototype devices that display quantum effects in the transport of single charge quanta between the islands of implanted donors. (author). 9 refs., 4 figs., 1 tab

  7. Characterization and control of wafer charging effects during high-current ion implantation

    International Nuclear Information System (INIS)

    Current, M.I.; Lukaszek, W.; Dixon, W.; Vella, M.C.; Messick, C.; Shideler, J.; Reno, S.

    1994-02-01

    EEPROM-based sense and memory devices provide direct measures of the charge flow and potentials occurring on the surface of wafers during ion beam processing. Sensor design and applications for high current ion implantation are discussed

  8. Ion-implanted PLZT ceramics: a new high-sensitivity image storage medium

    International Nuclear Information System (INIS)

    Peercy, P.S.; Land, C.E.

    1980-01-01

    Results were presented of our studies of photoferroelectric (PFE) image storage in H- and He-ion implanted PLZT (lead lanthanum zirconate titanate) ceramics which demonstrate that the photosensitivity of PLZT can be significantly increased by ion implantation in the ceramic surface to be exposed to image light. More recently, implantations of Ar and Ar + Ne into the PLZT surface have produced much greater photosensitivity enhancement. For example, the photosensitivity after implantation with 1.5 x 10 14 350 keV Ar/cm 2 + 1 x 10 15 500 keV Ne/cm 2 is increased by about four orders of magnitude over that of unimplanted PLZT. Measurements indicate that the photosensitivity enhancement in ion-implanted PLZT is controlled by implantation-produced disorder which results in marked decreases in dielectric constant and dark conductivity and changes in photoconductivity of the implanted layer. The effects of Ar- and Ar + Ne-implantation are presented along with a phenomenological model which describes the enhancement in photosensitivity obtained by ion implantation. This model takes into account both light- and implantation-induced changes in conductivity and gives quantitative agreement with the measured changes in the coercive voltage V/sub c/ as a function of near-uv light intensity for both unimplanted and implanted PLZT. The model, used in conjunction with calculations of the profiles of implantation-produced disorder, has provided the information needed for co-implanting ions of different masses, e.g., Ar and Ne, to improve photosensitivity

  9. Cell adhesion of F{sup +} ion implantation of intraocular lens

    Energy Technology Data Exchange (ETDEWEB)

    Li, D.J. E-mail: dejunli@hotmail.com; Cui, F.Z.; Gu, H.Q

    1999-04-01

    The cell adhesion of ion implanted polymethylmethacrylate (PMMA) intraocular lens was studied using cultured cells in vitro. F{sup +} ion implantation was performed at the energies of 40, 60, 80, 100 keV with the fluences ranging from 5x10{sup 13} to 1x10{sup 15} ions/cm{sup 2} at room temperature. The cell adhesion tests gave interesting results that the number of the neutral granulocytes and the macrophages adhering on surface were reduced significantly after ion implantation. The optimal fluence was about 4x10{sup 14} ions/cm{sup 2}. The hydrophobicity imparted to the lens surface was also enhanced. The results of X-ray photoelectron spectroscopy analysis indicated that ion implantation resulted in the cleavage of some pendant groups, the oxidation of the surface, and the formation of some new chemical bonds, which was probably the main reason for the cell adhesion change.

  10. Cell patterning on a glass surface by a mask-assisted ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Chan-Hee; Kim, Dong-Ki; Hwang, In-Tae; Lim, Youn-Mook; Kim, Hae-Kyoung; Nho, Young-Chang [Radiation Research Division for Industry and Environment, Advanced Radiation Technology Institute, Korea Atomic Energy Research Institute, 1266 Sinjeong-dong, Jeongeup-si, Jeollabuk-do 580-185 (Korea, Republic of); Choi, Jae-Hak [Radiation Research Division for Industry and Environment, Advanced Radiation Technology Institute, Korea Atomic Energy Research Institute, 1266 Sinjeong-dong, Jeongeup-si, Jeollabuk-do 580-185 (Korea, Republic of)], E-mail: jaehakchoi@kaeri.re.kr

    2009-04-15

    A simple patterning method of cells on a glass has been developed by using ion implantation. The glass was implanted through a pattern mask with 150 keV Ar ions in the absence or presence of oxygen. Surface properties of the ion-implanted glass were investigated by means of X-ray photoelectron spectroscopy, contact angle measurement and cell culture test. The results showed that more hydrophilic groups were formed on the glass surface implanted in the presence of oxygen. Thus, the glass surface implanted in the presence of oxygen showed lower contact angle compared with the glass surface implanted in the absence of oxygen. The cells were strongly adhered to and proliferated on the ion-implanted regions of the glass. The cell population was found to be the highest on the glass implanted at a fluence of 1 x 10{sup 16} ions/cm{sup 2} in the presence of oxygen.

  11. The ion implantation of metals and engineering materials

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1978-01-01

    An entirely new method of metal finishing, by the process of ion implantation, is described. Introduced at first for semiconductor device applications, this method has now been demonstrated to produce major and long-lasting improvements in the durability of material surfaces, as regards both wear and corrosion. The process is distinct from that of ion plating, and it is not a coating technique. After a general description of ion implantation examples are given of its effects on wear behaviour (mostly in steels and cemented carbides) and on corrosion, in a variety of metals and alloys. Its potential for producing decorative finishes is mentioned briefly. The equipment necessary for carrying out ion implantation for engineering applications has now reached the prototype stage, and manufacture of plant for treating a variety of tools and components is about to commence. These developments are outlined. (author)

  12. Effects of ion implantation on corrosion of zirconium and zirconium base alloys

    International Nuclear Information System (INIS)

    Zelenskij, V.F.; Petel'guzov, I.A.; Rekova, L.P.; Rodak, A.G.

    1989-01-01

    The influence of He and Ar ion bombardment on the corrosion of Zr and Zr-1%Nb and Zr-2.5%Nb alloys is investigated with the aims of finding the irradiation influence laws, obtaining the dependences of the effect of increasing the corrosiuon resistance on the type and dose of bombarding ions and of finding the conditions for the maximum effect. The prolonged corrosion test of specimens (3500 hours) have shown that the strongest effect is obtained for the irradiation with Ar ions up to the dose 1x10 16 ion/cm 2 . The kinetics of ion thermosorption after corrosion of irradiated materials is studied, the temperature threshold of implanted ion stability in zirconium and its alloys is found to be 400 deg C

  13. Improving Aspergillus niger tannase yield by N+ ion beam implantation

    Directory of Open Access Journals (Sweden)

    Wei Jin

    2013-02-01

    Full Text Available This work aimed to improve tannase yield of Aspergillus niger through N+ ion beam implantation in submerged fermentation. The energy and dose of N+ ion beam implantation were investigated. The results indicated that an excellent mutant was obtained through nine successive implantations under the conditions of 10 keV and 30-40 (×2.6×10(13 ions/cm², and its tannase yield reached 38.5 U/mL, which was about five-time higher than the original strain. The study on the genetic stability of the mutant showed that its promising performance in tannase production could be stable. The studies of metal ions and surfactants affecting tannase yield indicated that manganese ions, stannum ions, xylene and SDS contained in the culture medium had positive effects on tannase production under submerged fermentation. Magnesium ions, in particular, could enhance the tannase yield by the mutant increasing by 42%, i.e. 53.6 U/mL. Accordingly, low-energy ion implantation could be a desirable approach to improve the fungal tannase yield for its commercial application.

  14. On plasma ion beam formation in the Advanced Plasma Source

    International Nuclear Information System (INIS)

    Harhausen, J; Foest, R; Hannemann, M; Ohl, A; Brinkmann, R P; Schröder, B

    2012-01-01

    The Advanced Plasma Source (APS) is employed for plasma ion-assisted deposition (PIAD) of optical coatings. The APS is a hot cathode dc glow discharge which emits a plasma ion beam to the deposition chamber at high vacuum (p ≲ 2 × 10 −4 mbar). It is established as an industrial tool but to date no detailed information is available on plasma parameters in the process chamber. As a consequence, the details of the generation of the plasma ion beam and the reasons for variations of the properties of the deposited films are barely understood. In this paper the results obtained from Langmuir probe and retarding field energy analyzer diagnostics operated in the plasma plume of the APS are presented, where the source was operated with argon. With increasing distance to the source exit the electron density (n e ) is found to drop by two orders of magnitude and the effective electron temperature (T e,eff ) drops by a factor of five. The parameters close to the source region read n e ≳ 10 11 cm −3 and T e,eff ≳ 10 eV. The electron distribution function exhibits a concave shape and can be described in the framework of the non-local approximation. It is revealed that an energetic ion population leaves the source region and a cold ion population in the plume is build up by charge exchange collisions with the background neutral gas. Based on the experimental data a scaling law for ion beam power is deduced, which links the control parameters of the source to the plasma parameters in the process chamber. (paper)

  15. RTV silicone rubber surface modification for cell biocompatibility by negative-ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Zheng, Chenlong [Key Laboratory of Beam Technology and Material Modification Ministry of Education, College of Nuclear Science and Technology, Beijing Normal University, 100875 Beijing (China); Wang, Guangfu, E-mail: 88088@bnu.edu.cn [Key Laboratory of Beam Technology and Material Modification Ministry of Education, College of Nuclear Science and Technology, Beijing Normal University, 100875 Beijing (China); Beijing Radiation Center, 100875 Beijing (China); Chu, Yingjie; Xu, Ya; Qiu, Menglin; Xu, Mi [Key Laboratory of Beam Technology and Material Modification Ministry of Education, College of Nuclear Science and Technology, Beijing Normal University, 100875 Beijing (China)

    2016-03-01

    Highlights: • The radiation effect has a greater influence than doping effect on the hydrophilicity of RTV SR. • The implanted ions result in a new surface atomic bonding state and morphology. • Generating hydrophilic functional groups is a reason for the improved cell biocompatibility. • The micro roughness makes the hydrophilicity should be reduced due to the lotus effect. • Cell culture demonstrates that negative-ion implantation can improve biocompatibility. - Abstract: A negative cluster ion implantation system was built on the injector of a GIC4117 tandem accelerator. Next, the system was used to study the surface modification of room temperature vulcanization silicone rubber (RTV SR) for cell biocompatibility. The water contact angle was observed to decrease from 117.6° to 99.3° as the C{sub 1}{sup −} implantation dose was increased to 1 × 10{sup 16} ions/cm{sup 2}, and the effects of C{sub 1}{sup −}, C{sub 2}{sup −} and O{sub 1}{sup −} implantation result in only small differences in the water contact angle at 3 × 10{sup 15} ions/cm{sup 2}. These findings indicate that the hydrophilicity of RTV SR improves as the dose is increased and that the radiation effect has a greater influence than the doping effect on the hydrophilicity. There are two factors influence hydrophilicity of RTV: (1) based on the XPS and ATR-FTIR results, it can be inferred that ion implantation breaks the hydrophobic functional groups (Si−CH{sub 3}, Si−O−Si, C−H) of RTV SR and generates hydrophilic functional groups (−COOH, −OH, Si−(O){sub x} (x = 3,4)). (2) SEM reveals that the implanted surface of RTV SR appears the micro roughness such as cracks and wrinkles. The hydrophilicity should be reduced due to the lotus effect (Zhou Rui et al., 2009). These two factors cancel each other out and make the C-implantation sample becomes more hydrophilic in general terms. Finally, cell culture demonstrates that negative ion-implantation is an effective method

  16. A detailed physical model for ion implant induced damage in silicon

    International Nuclear Information System (INIS)

    Tian, S.; Morris, M.F.; Morris, S.J.; Obradovic, B.; Wang, G.; Tasch, A.F.

    1998-01-01

    A unified physically based ion implantation damage model has been developed which successfully predicts both the impurity profiles and the damage profiles for a wide range of implant conditions for arsenic, phosphorus, BF 2 , and boron implants into single-crystal silicon. In addition, the amorphous layer thicknesses predicted by this new damage model are also in excellent agreement with experimental measurements. This damage model is based on the physics of point defects in silicon, and explicitly simulates the defect production, diffusion, and their interactions which include interstitial-vacancy recombination, clustering of same type of defects, defect-impurity complex formation, emission of mobile defects from clusters, and surface effects for the first time. New computationally efficient algorithms have been developed to overcome the barrier of the excessive computational requirements. In addition, the new model has been incorporated in the UT-MARLOWE ion implantation simulator, and has been developed primarily for use in engineering workstations. This damage model is the most physical model in the literature to date within the framework of the binary collision approximation (BCA), and provides the required, accurate as-implanted impurity profiles and damage profiles for transient enhanced diffusion (TED) simulation

  17. Silicon carbide layer structure recovery after ion implantation

    International Nuclear Information System (INIS)

    Violin, Eh.E.; Demakov, K.D.; Kal'nin, A.A.; Nojbert, F.; Potapov, E.N.; Tairov, Yu.M.

    1984-01-01

    The process of recovery of polytype structure of SiC surface layers in the course of thermal annealing (TA) and laser annealing (LA) upon boron and aluminium implantation is studied. The 6H polytype silicon carbide C face (0001) has been exposed to ion radiation. The ion energies ranged from 80 to 100 keV, doses varied from 5x10 14 to 5x10 16 cm -2 . TA was performed in the 800-2000 K temperature range. It is shown that the recovery of the structure of silicon carbide layers after ion implantation takes place in several stages. Considerable effect on the structure of the annealed layers is exerted by the implantation dose and the type of implanted impurity. The recovery of polytype structure is possible only under the effect of laser pulses with duration not less than the time for the ordering of the polytype in question

  18. Ion implantation in semiconductor bodies

    International Nuclear Information System (INIS)

    Badawi, M.H.

    1984-01-01

    Ions are selectively implanted into layers of a semiconductor substrate of, for example, semi-insulating gallium arsenide via a photoresist implantation mask and a metallic layer of, for example, titanium disposed between the substrate surface and the photoresist mask. After implantation the mask and metallic layer are removed and the substrate heat treated for annealing purposes. The metallic layer acts as a buffer layer and prevents possible contamination of the substrate surface, by photoresist residues, at the annealing stage. Such contamination would adversely affect the electrical properties of the substrate surface, particularly gallium arsenide substrates. (author)

  19. Electrical conductivity enhancement of polyethersulfone (PES) by ion implantation

    International Nuclear Information System (INIS)

    Bridwell, L.B.; Giedd, R.E.; Wang Yongqiang; Mohite, S.S.; Jahnke, T.; Brown, I.M.

    1991-01-01

    Amorphous polyethersulfone (PES) films have been implanted with a variety of ions (He, B, C, N and As) at a bombarding energy of 50 keV in the dose range 10 16 -10 17 ions/cm 2 . Surface resistance as a function of dose indicates a saturation effect with a significant difference between He and the other ions used. ESR line shapes in the He implanted samples changed from a mixed Gaussian/Lorentzian to a pure Lorentzian and narrowed with increasing dose. Temperature dependent resistivity indicates an electron hopping mechanism for conduction. Infrared results indicate cross-linking or self-cyclization occurred for all implanted ions with further destruction in the case of As. (orig.)

  20. Optical stability under photo-irradiation of urushi films by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Awazu, Kaoru; Funada, Yoshinori; Kasamori, Masato; Sakamoto, Makoto; Ichikawa, Tachio [Industrial Research Inst. of Ishikawa, Kanazawa (Japan)

    1995-06-01

    Nitrogen ions, argon ions and others were implanted in urushi-coated surfaces by using a simplified ion implantation apparatus, and the optical stability test was carried out by a sunshine weather meter. The rate of remaining luster on urushi-coated surfaces accompanying ultraviolet irradiation showed respective peculiar behavior according to the kinds of the implanted ions, the time of implantation, transparent and black urushi films, and the use of brightener or not. In electron beam irradiation, change hardly occurred. In urushi-coated products, the luster and the properties are maintained for long period, therefore recently, urushi coating has become to be applied to road sign panels and notice boards in addition to lacquer wares and applied fine art products, and the improvement of the optical stability of urushi films has become the subject. In this study, the experimental methods on urushi coating, ion implantation, the optical stability test and measuring method are explained. The changes of urushi film luster, transmittance, haze, lightness, and chromaticity by nitrogen ion implantation are reported. (K.I.).

  1. Optical stability under photo-irradiation of urushi films by ion implantation

    International Nuclear Information System (INIS)

    Awazu, Kaoru; Funada, Yoshinori; Kasamori, Masato; Sakamoto, Makoto; Ichikawa, Tachio

    1995-01-01

    Nitrogen ions, argon ions and others were implanted in urushi-coated surfaces by using a simplified ion implantation apparatus, and the optical stability test was carried out by a sunshine weather meter. The rate of remaining luster on urushi-coated surfaces accompanying ultraviolet irradiation showed respective peculiar behavior according to the kinds of the implanted ions, the time of implantation, transparent and black urushi films, and the use of brightener or not. In electron beam irradiation, change hardly occurred. In urushi-coated products, the luster and the properties are maintained for long period, therefore recently, urushi coating has become to be applied to road sign panels and notice boards in addition to lacquer wares and applied fine art products, and the improvement of the optical stability of urushi films has become the subject. In this study, the experimental methods on urushi coating, ion implantation, the optical stability test and measuring method are explained. The changes of urushi film luster, transmittance, haze, lightness, and chromaticity by nitrogen ion implantation are reported. (K.I.)

  2. High microwave performance ion-implanted GaAs MESFETs on InP substrates

    International Nuclear Information System (INIS)

    Wada, M.; Kato, K.

    1990-01-01

    Ion implantation was employed, for the first time, in fabricating GaAs MESFETs in undoped 2 μm thick GaAs epitaxial layers directly grown on InP substrates by low-pressure MOVPE. The Si-ion-implanted GaAs layer on InP substrates showed excellent electrical characteristics: a mobility of 4300 cm 2 /Vs with a carrier density of 2 x 10 17 cm -3 at room temperature. The MESFET (0.8 μm gate length) exhibited a current-gain cutoff frequency of 25 GHz and a maximum frequency of oscillation of 53 GHz, the highest values yet reported to GaAs MESFETs on InP substrates. These results demonstrate the high potential of ion-implanted MESFETs as electronic devices for high-speed InP-based OEICs. (author)

  3. Production of amorphous alloys by ion implantation

    International Nuclear Information System (INIS)

    Grant, W.A.; Chadderton, L.T.; Johnson, E.

    1978-01-01

    Recent data are reported on the use of ion implantation to produce amorphous metallic alloys. In particular data on the dose dependence of the crystalline to amorphous transition induced by P + implantation of nickel is presented. (Auth.)

  4. Development of a high current ion implanter

    International Nuclear Information System (INIS)

    Choi, Byung Ho; Kim, Wan; Jin, Jeong Tae

    1990-01-01

    A high current ion implanter of the energy of 100 Kev and the current of about 100 mA has been developed for using the high dose ion implantation, surface modification of steels and ceramics, and ion beam milling. The characteristics of the beam extraction and transportation are investigated. A duoPIGatron ion source compatible with gas ion extraction of about 100 mA, a single gap acceleration tube which is able to compensate the divergence due to the space charge effect, and a beam transport system with the concept of the space charge neutralization are developed for the high current machine. The performance of the constructed machine shows that nitrogen, argon, helium, hydrogen and oxygen ion beams are successfully extracted and transported at a beam divergence due to space charge effect is negligible in the operation pressure of 2 x 10 -5 torr. (author)

  5. Ion-induced crystal damage during plasma-assisted MBE growth of GaN layers

    Science.gov (United States)

    Kirchner, V.; Heinke, H.; Birkle, U.; Einfeldt, S.; Hommel, D.; Selke, H.; Ryder, P. L.

    1998-12-01

    Gallium nitride layers were grown by plasma-assisted molecular-beam epitaxy on (0001)-oriented sapphire substrates using an electron cyclotron resonance (ECR) and a radio frequency (rf) plasma source. An applied substrate bias was varied from -200 to +250 V, resulting in a change of the density and energy of nitrogen ions impinging the growth surface. The layers were investigated by high-resolution x-ray diffractometry and high-resolution transmission electron microscopy (HRTEM). Applying a negative bias during growth has a marked detrimental effect on the crystal perfection of the layers grown with an ECR plasma source. This is indicated by a change in shape and width of (0002) and (202¯5) reciprocal lattice points as monitored by triple axis x-ray measurements. In HRTEM images, isolated basal plane stacking faults were found, which probably result from precipitation of interstitial atoms. The crystal damage in layers grown with a highly negative substrate bias is comparable to that observed for ion implantation processes at orders of magnitude larger ion energies. This is attributed to the impact of ions on the growing surface. None of the described phenomena was observed for the samples grown with the rf plasma source.

  6. High-temperature superconductors induced by ion implantation. Final report

    International Nuclear Information System (INIS)

    Greenwald, A.C.; Johnson, E.

    1988-08-01

    High dose oxygen ion implantation (10 to the 17th power ions per sq. cm.) at elevated temperatures (300 C) has been shown to adjust the critical temperature of gamma-Y-Ba-Cu-O and Bi-Ca-Sr-Cu-O materials. These results are in marked contrast to earlier work which showed complete destruction of superconducting properties for similar radiation doses, and marked reduction in superconducting properties at one-tenth this dose in the 1-2-3- compound only. Experiments also showed that the superconducting materials can be patterned into conducting and nonconducting areas without etching by ion implantation, allowing maintenance of planar geometries required for microcircuit fabrication. Experiments on deposition of thin films of high temperature superconductors for use with the ion implantation experiments showed that ion beam sputtering from a single target could achieve the correct stoichiometry. Variations of composition with ion beam energy and angle of sputtered ions were studied

  7. Target-ion source unit ionization efficiency measurement by method of stable ion beam implantation

    CERN Document Server

    Panteleev, V.N; Fedorov, D.V; Moroz, F.V; Orlov, S.Yu; Volkov, Yu.M

    The ionization efficiency is one of the most important parameters of an on-line used target-ion source system exploited for production of exotic radioactive beams. The ionization efficiency value determination as a characteristic of a target-ion source unit in the stage of its normalizing before on-line use is a very important step in the course of the preparation for an on-line experiment. At the IRIS facility (Petersburg Nuclear Physics Institute, Gatchina) a reliable and rather precise method of the target-ion source unit ionization efficiency measurement by the method of stable beam implantation has been developed. The method worked out exploits an off-line mass-separator for the implantation of the ion beams of selected stable isotopes of different elements into a tantalum foil placed inside the Faraday cup in the focal plane of the mass-separator. The amount of implanted ions has been measured with a high accuracy by the current integrator connected to the Faraday cup. After the implantation of needed a...

  8. Recent advances in ion implantation. A state of the art review

    International Nuclear Information System (INIS)

    Stone, J.L.; Plunkett, J.C.

    1976-01-01

    The latest advances in ion implantation related to MOS, CMOS, CCDS, I 2 L, and other semiconductor devices are discussed. In addition, the application of ion implantation to superconductivity, integrated optics, compound semiconductors, and magnetic bubbles is considered. The requirements of ion implantation machine technology to fulfill the needs of the production environment are also reviewed. 75 refs

  9. Modification of polyethyleneterephtalate by implantation of nitrogen ions

    International Nuclear Information System (INIS)

    Svorcik, V.; Endrst, R.; Rybka, V.; Hnatowicz, V.; Cerny, F.

    1994-01-01

    The implantation of 90 keV N + ions into polyethyleneterephtalate (PET) to fluences of 1 x 10 14 --1 x 10 17 cm -2 was studied. The changes in electrical sheet conductivity and polarity of ion-exposed PET were observed and the structural changes were examined using IR spectroscopy. One degradation process is a chain fission according to the Norrish II reaction. The sheet conductivity due to conjugated double bonds was increased by ten orders of magnitude as a result of ion implantation. The surface polarity of the PET samples increases slightly with increasing ion fluence

  10. Development of a keV single-ion-implanter for nanofabrication

    International Nuclear Information System (INIS)

    Yang, C.; Jamieson, D.N.; Hopf, T.; Tamanyan, G.; Spizziri, P.; Pakes, C.; Andresen, S.E.; Hudson, F.; Gauja, E.; Dzurak, A.; Clark, R.G.

    2005-01-01

    Traditional methods of doping semiconductors have a difficulty meeting the demand for high precision doping due to large statistical fluctuations in the numbers of dopant atoms introduced in the ever shrinking volume in micro- and nano-electronics devices, especially when the fabrication process approaches the nanometre scale. The statistical fluctuations in doping semiconductors for the fabrication of devices with a very small feature size may lead to inconsistent and unreliable performance. This paper describes the adaptation of a commercial ion implanter into a single-ion-implantation system for the accurate delivery of dopants into a nanometre or micrometre area in a silicon substrate. All the implanted ions can be accurately counted with near 100% certainty through online detection using the silicon substrate itself as an ion detector. A variety of ion species including B + , N + , P + at the energy range of 10-15 keV can be delivered in the single ion implantation system. (author). 6 refs., 6 figs

  11. Ballistic self-annealing during ion implantation

    International Nuclear Information System (INIS)

    Prins, Johan F.

    2001-01-01

    Ion implantation conditions are considered during which the energy, dissipated in the collision cascades, is low enough to ensure that the defects, which are generated during these collisions, consist primarily of vacancies and interstitial atoms. It is proposed that ballistic self-annealing is possible when the point defect density becomes high enough, provided that none, or very few, of the interstitial atoms escape from the layer being implanted. Under these conditions, the fraction of ballistic atoms, generated within the collision cascades from substitutional sites, decreases with increasing ion dose. Furthermore, the fraction of ballistic atoms, which finally end up within vacancies, increases with increasing vacancy density. Provided the crystal structure does not collapse, a damage threshold should be approached where just as many atoms are knocked out of substitutional sites as the number of ballistic atoms that fall back into vacancies. Under these conditions, the average point defect density should approach saturation. This model is applied to recently published Raman data that have been measured on a 3 MeV He + -ion implanted diamond (Orwa et al 2000 Phys. Rev. B 62 5461). The conclusion is reached that this ballistic self-annealing model describes the latter data better than a model in which it is assumed that the saturation in radiation damage is caused by amorphization of the implanted layer. (author)

  12. Nitrogen implantation in steel with an impulsive ion implanter

    International Nuclear Information System (INIS)

    Feugeas, J.N.; Gonzalez, C.O.; Hermida, J.; Nieto, M.; Peyronel, M.F.; Sanchez, G.

    1990-01-01

    This work describes the results of steel implantation with nitrogen, with a pulsed accelerator which provides a continuous ion energy spectrum giving a uniform profile of nitrogen without changing its operative conditions. (Author)

  13. Cobalt alloy ion sources for focused ion beam implantation

    Energy Technology Data Exchange (ETDEWEB)

    Muehle, R.; Doebeli, M. [Paul Scherrer Inst. (PSI), Villigen (Switzerland); Zimmermann, P. [Eidgenoessische Technische Hochschule, Zurich (Switzerland)

    1997-09-01

    Cobalt alloy ion sources have been developed for silicide formation by focused ion beam implantation. Four eutectic alloys AuCo, CoGe, CoY and AuCoGe were produced by electron beam welding. The AuCo liquid alloy ion source was investigated in detail. We have measured the emission current stability, the current-voltage characteristics, and the mass spectrum as a function of the mission current. (author) 1 fig., 2 refs.

  14. Laser annealing of ion implanted silicon

    International Nuclear Information System (INIS)

    White, C.W.; Narayan, J.; Young, R.T.

    1978-11-01

    The physical and electrical properties of ion implanted silicon annealed with high powered ruby laser radiation are summarized. Results show that pulsed laser annealing can lead to a complete removal of extended defects in the implanted region accompanied by incorporation of dopants into lattice sites even when their concentration far exceeds the solid solubility limit

  15. A study on mutagenic effects of antibiotic-producers by ion implantation

    International Nuclear Information System (INIS)

    Xie Liqing; Zhang Yinfen; Chen Ruyi; Zhou Ruiying; Zhang Peiling; Ying Hengfeng; Yang Guorong; Yang Guifang

    1995-01-01

    Mutagenic effects of Streptomyces ribosidificus, Streptomyces kanamyceticus and the phage-resistant culture of Streptomyces kanamyceticus induced by N + and C + ion implantation with different doses have been investigated. The experimental results show that the death rates of antibiotic-producers increase with the increase of ion implantation dose, and the form mutation of the antibiotic-producers is rather obvious. After N + ion implantation, the titer units increase by 10%-25%, 5.2%-12.1% and 2.1%-12.75% for the above three strains respectively; while after C + ion implantation the titer units increase by 10%-16.9%, 1.05%-3.08% and 5%-20% respectively. The selected strains of Micromonospora echimospoora and Streptomyces kanamyceticus after N + ion implantation have been used in the factory. The increase of production is 20% and 12.5% respectively and marked economic benefits are obtained

  16. More-reliable SOS ion implantations

    Science.gov (United States)

    Woo, D. S.

    1980-01-01

    Conducting layer prevents static charges from accumulating during implantation of silicon-on-sapphire MOS structures. Either thick conducting film or thinner film transparent to ions is deposited prior to implantation, and gaps are etched in regions to be doped. Grounding path eliminates charge flow that damages film or cracks sapphire wafer. Prevention of charge buildup by simultaneously exposing structure to opposite charges requires equipment modifications less practical and more expensive than deposition of conducting layer.

  17. Dual-ion implantation into GaAs

    International Nuclear Information System (INIS)

    Sealy, B.J.; Bell, E.C.; Surridge, R.K.; Stephens, K.G.; Ambridge, T.; Heckingbottom, R.

    1976-01-01

    A variety of dual implants have been carried out to test the theory of Ambridge and Heckingbottom (Ambridge, T. and Heckingbottom, R., 1973, Radiat. Effects, vol. 17, 31). After annealing at 700 0 C or 750 0 C a significant enhancement of electrical activity compared with single-ion implants has been obtained for (Ga + Se) and (Sn + Se) implants but the degree of enhancement is dose dependent. The results imply that the dual implantation process is more complex than predicted by the theory and the electrical activity measured seems to be dominated by residual, compensating damage. (author)

  18. Corrosion behaviour of pure iron implanted with Pd ion beam

    International Nuclear Information System (INIS)

    Sang, J.M.; Lin, W.L.; Wu, Z.D.; Wang, H.S.

    1999-01-01

    The corrosion behavior of pure iron implanted with Pd ions up to doses in the range 1x10 16 -1x10 18 ions/cm 2 at an extracting voltage 45kV by using MEVVA source ion implanter has been investigated. The concentration profiles and valence states of elements at the near surface of Pd implanted iron specimens were analyzed by AES and XPS respectively. The Anodic dissolution process of Pd implanted pure iron was measured by means of potentiokinetic sweep in a 0.5 mol/1 NaAc/Hac buffer solution with pH5.0. The open circuit corrosion potential as a function of immersion time was used to evaluate the corrosion resistance of Pd implanted iron specimens. The experimental results show that Pd ion implantation decreases the critical passive current of iron and maintains a better passivity in acetate buffer solution with pH5.0. It is interesting that the active corrosion rate of Pd implanted iron is even higher than that of unimplanted one, when the oxide layer on the surface of iron has been damaged. (author)

  19. TEM study of the ion beam induced damage during 14 kev P+ implantation in silicon

    International Nuclear Information System (INIS)

    Rubanov, S.; Tamanyan, G.; Hudson, F.; Jamieson, D.N.; McCallum, J.C.; Prawer, S.

    2005-01-01

    The proposed silicon-based quantum computer architecture comprises an array of phosphorus donor atoms (qubits) positioned with nanometre accuracy beneath the surface of a semiconductor host, using a single ion implantation technique. The average depth of the implanted ions (the projected range R p ), lateral range R p 1, and the distribution of ions about that depth can be approximated as two-dimensional Gaussian with standard deviation ΔR p and ΔR p 1 (lateral straggle). Using transmission electron microscopy (TEM) we studied ion beam induced damage after 14 keV P + implantation in Si. The TEM images allowed us to compare the depth of the amorphous cluster formation to R p , R p 1, ΔR p 1 calculated from SRIM and hence determine evidence for the limitation on the accuracy of the position of the implanted ions imposed by straggling. (author). 4 refs., 3 figs

  20. Ion acoustic waves in one- and two-negative ion species plasmas

    International Nuclear Information System (INIS)

    Ichiki, Ryuta; Shindo, Masako; Yoshimura, Shinji; Watanabe, Tsuguhiro; Kawai, Yoshinobu

    2001-01-01

    Ion acoustic waves in multi-ion plasmas including two negative ion species are investigated both numerically and experimentally. Numerically, the kinetic dispersion relation in two-negative ion plasmas is investigated. There are three modes of the ion acoustic waves in two-negative ion plasmas. In an Ar + -F - -SF 6 - plasma, only one of the three modes is dominant, regardless of the values of the electron and the ion temperatures. In a Xe + -F - -SF 6 - plasma, on the other hand, two modes can be important for a certain range of the electron-ion temperature ratio. The results also imply the possibility of the coexistence of the fast mode and the slow mode in one-negative ion plasmas. Experimentally, ion acoustic waves are observed in an Ar + -F - -SF 6 - plasma and are found to show a mode transition that agrees with the theoretical prediction for one of the three ion acoustic modes

  1. Magnetoreflection studies of ion implanted bismuth

    International Nuclear Information System (INIS)

    Nicolini, C.; Chieu, T.C.; Dresselhaus, M.S.; Massachusetts Inst. of Tech., Cambridge; Dresselhaus, G.

    1982-01-01

    The effect of the implantation of Sb ions on the electronic structure of the semimetal bismuth is studied by the magnetoreflection technique. The results show long electronic mean free paths and large implantation-induced increases in the band overlap and L-point band gap. These effects are opposite to those observed for Bi chemically doped with Sb. (author)

  2. Characterization of carbon ion implantation induced graded microstructure and phase transformation in stainless steel

    Energy Technology Data Exchange (ETDEWEB)

    Feng, Kai; Wang, Yibo [Shanghai Key laboratory of Materials Laser Processing and Modification, School of Materials Science and Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Li, Zhuguo, E-mail: lizg@sjtu.edu.cn [Shanghai Key laboratory of Materials Laser Processing and Modification, School of Materials Science and Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Chu, Paul K. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)

    2015-08-15

    Austenitic stainless steel 316L is ion implanted by carbon with implantation fluences of 1.2 × 10{sup 17} ions-cm{sup −} {sup 2}, 2.4 × 10{sup 17} ions-cm{sup −} {sup 2}, and 4.8 × 10{sup 17} ions-cm{sup −} {sup 2}. The ion implantation induced graded microstructure and phase transformation in stainless steel is investigated by X-ray diffraction, X-ray photoelectron spectroscopy and high resolution transmission electron microscopy. The corrosion resistance is evaluated by potentiodynamic test. It is found that the initial phase is austenite with a small amount of ferrite. After low fluence carbon ion implantation, an amorphous layer and ferrite phase enriched region underneath are formed. Nanophase particles precipitate from the amorphous layer due to energy minimization and irradiation at larger ion implantation fluence. The morphology of the precipitated nanophase particles changes from circular to dumbbell-like with increasing implantation fluence. The corrosion resistance of stainless steel is enhanced by the formation of amorphous layer and graphitic solid state carbon after carbon ion implantation. - Highlights: • Carbon implantation leads to phase transformation from austenite to ferrite. • The passive film on SS316L becomes thinner after carbon ion implantation. • An amorphous layer is formed by carbon ion implantation. • Nanophase precipitate from amorphous layer at higher ion implantation fluence. • Corrosion resistance of SS316L is improved by carbon implantation.

  3. Surface sputtering in high-dose Fe ion implanted Si

    International Nuclear Information System (INIS)

    Ishimaru, Manabu

    2007-01-01

    Microstructures and elemental distributions in high-dose Fe ion implanted Si were characterized by means of transmission electron microscopy and Rutherford backscattering spectroscopy. Single crystalline Si(0 0 1) substrates were implanted at 350 deg. C with 120 keV Fe ions to fluences ranging from 0.1 x 10 17 to 4.0 x 10 17 /cm 2 . Extensive damage induced by ion implantation was observed inside the substrate below 1.0 x 10 17 /cm 2 , while a continuous iron silicide layer was formed at 4.0 x 10 17 /cm 2 . It was found that the spatial distribution of Fe projectiles drastically changes at the fluence between 1.0 x 10 17 and 4.0 x 10 17 /cm 2 due to surface sputtering during implantation

  4. Broad-beam, high current, metal ion implantation facility

    International Nuclear Information System (INIS)

    Brown, I.G.; Dickinson, M.R.; Galvin, J.E.; Godechot, X.; MacGill, R.A.

    1990-07-01

    We have developed a high current metal ion implantation facility with which high current beams of virtually all the solid metals of the Periodic Table can be produced. The facility makes use of a metal vapor vacuum arc ion source which is operated in a pulsed mode, with pulse width 0.25 ms and repetition rate up to 100 pps. Beam extraction voltage is up to 100 kV, corresponding to an ion energy of up to several hundred keV because of the ion charge state multiplicity; beam current is up to several Amperes peak and around 10 mA time averaged delivered onto target. Implantation is done in a broad-beam mode, with a direct line-of-sight from ion source to target. Here we describe the facility and some of the implants that have been carried out using it, including the 'seeding' of silicon wafers prior to CVD with titanium, palladium or tungsten, the formation of buried iridium silicide layers, and actinide (uranium and thorium) doping of III-V compounds. 16 refs., 6 figs

  5. Mechanical response of nitrogen ion implanted NiTi shape memory alloy

    International Nuclear Information System (INIS)

    Kucharski, S.; Levintant-Zayonts, N.; Luckner, J.

    2014-01-01

    Highlights: • The effect of ion implantation process on shape memory alloy was investigated. • In the implantation process both surface layer and bulk material are modified. • The microstructure is modified and superelastic effect is destroyed in surface layer. • The parameters of superelastic phenomena are changed in bulk material. - Abstract: In the paper a change of material (mechanical) parameters of NiTi shape memory alloy subjected to ion implantation treatment is investigated. The spherical indentation tests in micro- and nano-scale and tension test have been performed to study an evolution of local superelastic effect in different volumes of nonimplanted and nitrogen ion implanted NiTi alloy. The differential scanning calorimetry has been applied to measure the change of characteristic temperatures due to ion implantation treatment. The structure of implanted material has been investigated using electron microscopy technique. It has been found that the ion implantation process changes the properties not only in a thin surface layer but also in bulk material. In the layer the pseudoelastic effect is destroyed, and in the substrate is preserved, however its parameters are changed. The characteristic phase transformation temperatures in substrate are also modified

  6. Chemical characterization of 4140 steel implanted by nitrogen ions

    Energy Technology Data Exchange (ETDEWEB)

    Nino, Ely Dannier V.; Duran, Fernando [Grupo de Investigacion en Tecnologia del Plasma (GINTEP), Departamento de Ciencias Basicas, Universidad Pontificia Bolivariana, Bucaramanga (Colombia); Pinto, Jose L.C. [Grupo de Investigacion en Quimica Estructural (GIQUE), Universidad Industrial de Santander, Bucaramanga (Colombia); Dugar-Zhabon, V.; Garnica, Hernan [Grupo de Fisica y Tecnologia del Plasma (FITEK), Universidad Industrial de Santander, Bucaramanga (Colombia)

    2010-07-01

    AISI-SAE 4140 sample surfaces of different roughness which are implanted by nitrogen ions of 20 keV and 30 keV at a dose of 10{sup 17} ions/cm{sup 2} through a three dimensional ion implantation technique are studied. Crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD), PDF-2. It is observed that the implanted into the metal nitrogen atoms produce changes in orientation of crystal planes that is manifested as variations of the intensity of the refracted rays and of cell dimensions (a displacement of 2 theta of the maximum intensity position). An analysis for determining nitrogen atoms implanted by high-voltage pulsed discharges at low pressures in the crystal structure of the solid surface was carried out by X-Ray Diffraction due to this technique permits to assess the possibility of formation of new compounds. (author)

  7. Chemical characterization of 4140 steel implanted by nitrogen ions

    International Nuclear Information System (INIS)

    Nino, Ely Dannier V.; Duran, Fernando; Pinto, Jose L.C.; Dugar-Zhabon, V.; Garnica, Hernan

    2010-01-01

    AISI-SAE 4140 sample surfaces of different roughness which are implanted by nitrogen ions of 20 keV and 30 keV at a dose of 10"1"7 ions/cm"2 through a three dimensional ion implantation technique are studied. Crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD), PDF-2. It is observed that the implanted into the metal nitrogen atoms produce changes in orientation of crystal planes that is manifested as variations of the intensity of the refracted rays and of cell dimensions (a displacement of 2 theta of the maximum intensity position). An analysis for determining nitrogen atoms implanted by high-voltage pulsed discharges at low pressures in the crystal structure of the solid surface was carried out by X-Ray Diffraction due to this technique permits to assess the possibility of formation of new compounds. (author)

  8. The effect of metal ion implantation on the surface mechanical properties of Mylar (PET)

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, W.; Sood, D.K. [Royal Melbourne Inst. of Tech., VIC (Australia); Yao, X.; Brown, I.G. [California Univ., Berkeley, CA (United States). Lawrence Berkeley Lab.

    1993-12-31

    Ion implantation of polymers leads to the formation of new carbonaceous materials, the revolution during implantation of various species consists of (1) ion beam induced damage: chain scission, crosslinking, molecular emission of volatile elements and compounds, stoichiometric change in the surface layer of pristine polymers; and (2) chemical effect between ion and target materials: microalloying and precipitation. Literature regarding ion implanted polymers shows that the reorganisation of the carbon network after implantation can dramatically modify several properties of pristine polymers solubility, molecular weight, and electrical, optical and mechanical properties. However, ion implantation of polymers is actually a very complex interaction which depends on not only ion species, implantation condition, but also polymer type and specific structure. In this paper the effect of Ag or Ti ions implantation on surface mechanical properties of PET (polyethylenne terephthalate) polymer is reported. There was a clear deterioration in wear resistance after implantation of both Ag and Ti ions. It is suggested that the increment of wear after implantation may result from not only ion damage but also chemical effect between ion and target material. 3 refs., 1 tab., 2 figs.

  9. The effect of metal ion implantation on the surface mechanical properties of Mylar (PET)

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, W; Sood, D K [Royal Melbourne Inst. of Tech., VIC (Australia); Yao, X; Brown, I G [California Univ., Berkeley, CA (United States). Lawrence Berkeley Lab.

    1994-12-31

    Ion implantation of polymers leads to the formation of new carbonaceous materials, the revolution during implantation of various species consists of (1) ion beam induced damage: chain scission, crosslinking, molecular emission of volatile elements and compounds, stoichiometric change in the surface layer of pristine polymers; and (2) chemical effect between ion and target materials: microalloying and precipitation. Literature regarding ion implanted polymers shows that the reorganisation of the carbon network after implantation can dramatically modify several properties of pristine polymers solubility, molecular weight, and electrical, optical and mechanical properties. However, ion implantation of polymers is actually a very complex interaction which depends on not only ion species, implantation condition, but also polymer type and specific structure. In this paper the effect of Ag or Ti ions implantation on surface mechanical properties of PET (polyethylenne terephthalate) polymer is reported. There was a clear deterioration in wear resistance after implantation of both Ag and Ti ions. It is suggested that the increment of wear after implantation may result from not only ion damage but also chemical effect between ion and target material. 3 refs., 1 tab., 2 figs.

  10. Magnetized relativistic electron-ion plasma expansion

    Science.gov (United States)

    Benkhelifa, El-Amine; Djebli, Mourad

    2016-03-01

    The dynamics of relativistic laser-produced plasma expansion across a transverse magnetic field is investigated. Based on a one dimensional two-fluid model that includes pressure, enthalpy, and rest mass energy, the expansion is studied in the limit of λD (Debye length) ≤RL (Larmor radius) for magnetized electrons and ions. Numerical investigation conducted for a quasi-neutral plasma showed that the σ parameter describing the initial plasma magnetization, and the plasma β parameter, which is the ratio of kinetic to magnetic pressure are the key parameters governing the expansion dynamics. For σ ≪ 1, ion's front shows oscillations associated to the break-down of quasi-neutrality. This is due to the strong constraining effect and confinement of the magnetic field, which acts as a retarding medium slowing the plasma expansion.

  11. Monitoring Ion Implantation Energy Using Non-contact Characterization Methods

    Science.gov (United States)

    Tallian, M.; Pap, A.; Mocsar, K.; Somogyi, A.; Nadudvari, Gy.; Kosztka, D.; Pavelka, T.

    2011-01-01

    State-of-the-art ultra-shallow junctions are produced using extremely low ion implant energies, down to the range of 1-3 keV. This can be achieved by a variety of production techniques; however there is a significant risk that the actual implantation energy differs from the desired value. To detect this, sensitive measurement methods need to be utilized. Experiments show that both Photomodulated Reflection measurements before anneal and Junction Photovoltage-based sheet resistance measurements after anneal are suitable for this purpose.

  12. Early stages of oxidation of ion-implanted nickel at high temperature

    International Nuclear Information System (INIS)

    Peide, Z.; Grant, W.A.; Procter, R.P.M.

    1981-01-01

    The early stages of oxidation of nickel implanted with nickel, chromium, or lithium ions in oxygen at 1100 0 C have been studied using various electron-optical techniques. The unimplanted metal develops initially a fine-grained, convoluted scale having a ridged, cellular structure. Subsequently, the oxide grains increase in size significantly and oxidation becomes predominantly controlled by diffusion of Ni /sup 2+/ ions across a compact, columnar scale. Implantation of the surface with nickel ions has no significant effect on the initial oxidation behavior. However, after implantation with chromium or lithium ions, the development of the NiO scale is, in the early stages of oxidation, suppressed by formation of NiCr 2 O 4 or LiO 2 nodules, respectively. Subsequently, the implanted species are incorporated into the steady-state NiO scale where they dope the oxide and thus influence the diffusion rate of Ni /sup 2+/ ions through it. As would be predicted, the steady-state oxidation rate of chromium-implanted nickel is increased while that of lithium- implanted nickel is decreased compared with that of the unimplanted metal

  13. Metallic oxide nano-clusters synthesis by ion implantation in high purity Fe10Cr alloy

    International Nuclear Information System (INIS)

    Zheng, Ce

    2015-01-01

    ODS (Oxide Dispersed Strengthened) steels, which are reinforced with metal dispersions of nano-oxides (based on Y, Ti and O elements), are promising materials for future nuclear reactors. The detailed understanding of the mechanisms involved in the precipitation of these nano-oxides would improve manufacturing and mechanical properties of these ODS steels, with a strong economic impact for their industrialization. To experimentally study these mechanisms, an analytical approach by ion implantation is used, to control various parameters of synthesis of these precipitates as the temperature and concentration. This study demonstrated the feasibility of this method and concerned the behaviour of alloys models (based on aluminium oxide) under thermal annealing. High purity Fe-10Cr alloys were implanted with Al and O ions at room temperature. Transmission electron microscopy observations showed that the nano-oxides appear in the Fe-10Cr matrix upon ion implantation at room temperature without subsequent annealing. The mobility of implanted elements is caused by the defects created during ion implantation, allowing the nucleation of these nanoparticles, of a few nm in diameter. These nanoparticles are composed of aluminium and oxygen, and also chromium. The high-resolution experiments show that their crystallographic structure is that of a non-equilibrium compound of aluminium oxide (cubic γ-Al 2 O 3 type). The heat treatment performed after implantation induces the growth of the nano-sized oxides, and a phase change that tends to balance to the equilibrium structure (hexagonal α-Al 2 O 3 type). These results on model alloys are fully applicable to industrial materials: indeed ion implantation reproduces the conditions of milling and heat treatments are at equivalent temperatures to those of thermo-mechanical treatments. A mechanism involving the precipitation of nano-oxide dispersed in ODS alloys is proposed in this manuscript based on the obtained experimental results

  14. Dose measurement of ion implanted silicon by RBS technique

    International Nuclear Information System (INIS)

    Kamawanna, Teerasak; Intarasiri, Saweat; Prapunsri, Chowunchun; Thongleurm, Chome; Maleepatra, Saenee; Singkarat, Somsorn

    2003-10-01

    Surface modification can be achieved by ion implantation. This study used a 1 mm thick silicon wafer as a target which was implanted with Ar+ at 80 keV. The degree of the modification depends on both the ion energy and the implanted dose. The distribution of argon in the silicon substrate and the absolute implanted dose can be measured by using Rutherford Backscattering Spectrometry (RBS). These investigations utilized a 1.7 MV Tandetron accelerator system at Chiang Mai University. The dose determination by a direct calculation is in agreement with the simulation by the SIMNRA code

  15. Mechanical and electrical properties of diamond-like carbon films deposited by plasma source ion implantation

    International Nuclear Information System (INIS)

    Baba, K.; Hatada, R.; Flege, S.; Ensinger, W.

    2009-01-01

    Diamond-like carbon (DLC) films were prepared by a plasma source ion implantation method with superposed negative pulse and negative DC voltage. Acetylene gas was used as working gas for plasma formation. A negative DC voltage and a negative pulse voltage were superposed and applied to the substrate holder. The DC voltage was changed in the range from 0 to -4.8 kV and the pulse voltage was changed from -18 to -13.2 kV. The films were annealed in the range of 200-450 deg. C for 1 h. The surface morphology of the films and the film thickness were observed by atomic force microscopy and scanning electron microscopy. The film structure was characterized by Raman spectroscopy. The hardness of DLC films was evaluated by an indentation method. Measurement of the electrical resistivity was performed using a four-point probe station. Furthermore, a ball-on-disc test with 2 N load was employed to obtain information about the friction properties and sliding wear resistance of the films. The surface of the DLC films was very smooth and featureless. The deposition rate was changed with the DC voltage and pulse conditions. Integrated intensity ratios I D /I G of Raman spectroscopy and electrical resistivity of the DLC films changed with DC voltage. The electrical resistivity decreased with increasing I D /I G ratio. The I D /I G ratio was increased and the electrical resistivity was decreased with annealing temperature owing to graphitization. Very low friction coefficients around 0.05 were obtained for as-deposited films.

  16. Mechanical properties of ion implanted ceramic surfaces

    International Nuclear Information System (INIS)

    Burnett, P.J.

    1985-01-01

    This thesis investigates the mechanisms by which ion implantation can affect those surface mechanical properties of ceramics relevant to their tribological behaviour, specifically hardness and indentation fracture. A range of model materials (including single crystal Si, SiC, A1 2 0 3 , Mg0 and soda-lime-silica glass) have been implanted with a variety of ion species and at a range of ion energies. Significant changes have been found in both low-load microhardness and indentation fracture behaviour. The changes in hardness have been correlated with the evolution of an increasingly damaged and eventually amorphous thin surface layer together with the operation of radiation-, solid-solution- and precipitation-hardening mechanisms. Compressive surface stresses have been shown to be responsible for the observed changes in identation fracture behaviour. In addition, the levels of surface stress present have been correlated with the structure of the surface layer and a simple quantitative model proposed to explain the observed stress-relief upon amorphisation. Finally, the effects of ion implantation upon a range of polycrystalline ceramic materials has been investigated and the observed properties modifications compared and contrasted to those found for the model single crystal materials. (author)

  17. Radiation effects in ion implanted β-Ga_2O_3

    International Nuclear Information System (INIS)

    Wendler, E.; Treiber, E.; Baldauf, J.; Wolf, S.; Ronning, C.; Kuramata, A.

    2015-01-01

    Ion implantation induced effects are studied in β-Ga_2O_3 at room temperature. The main technique applied is Rutherford backscattering spectrometry in channelling configuration (RBS) using He ions. Additionally, selected samples were investigated by optical spectroscopy and transmission electron microscopy (TEM). For the implanted P, Ar or Sn ions clear damage peaks are visible in the RBS spectra. The concentration of displaced lattice atoms in the maximum of the distribution (as deduced from the channelling spectra) increases almost continuously up to a saturation value of about 90% with increasing ion fluence. Once this level is reached in the maximum of the distribution, during further implantation a broadening of the distribution occurs with the concentration remaining at this level. RBS measurements performed with different energy of the analysing He ions reveal that the damage produced is characterized by randomly distributed lattice atoms. This indicates point defects, point defect complexes or amorphous zones. As the channelling spectra of the implanted layers do not reach the random level, complete amorphisation can be excluded. Furthermore, the applied optical techniques do not exhibit significant changes in comparison to the signal measured for the unimplanted sample even though these studies were performed for the highest ion fluences implanted. Cross sectional TEM confirms this result. The diffraction pattern shows clear spots as for the unimplanted material and extended defects are almost not visible. The β-Ga_2O_3 layers ion implanted at room temperature contain mainly point defects the strong influence of which on the dechannelling of the He ions in the RBS analysis is not yet understood. (authors)

  18. Leading survey and research report for fiscal 1999. Survey and research on 3-dimensional ion beam processing technology; 1999 nendo sanjigen ion kokan gijutsu no chosa kenkyu hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    Application of ion beam processing to 2-dimensional shapes in the past has been limited to flat shapes or small areas. There is a 3-dimensional plasma-based ion implantation (PBII) technology for which high-density plasma is generated using plural metal ion sources and gas ion sources for the application of pulse voltages. Using this technology, ions are implanted into intricate shapes, large areas, and surfaces of 3-dimensional shapes, and surface reforming is accomplished through thin film formation. It is low in cost and high in productivity, and finds a great demand for use in the fabrication of members of large and complicated engines for automobiles, spacecraft, and aircraft, members of precision machines, and members of electric power facilities and chemical plants where high resistance to abrasion, corrosion, and heat are mandatory. Research and development for its practical application, however, has just started and, before its commercialization, technologies have to be completed involving surface treatment using gas plasma for ion implantation, thin film formation using metal ion plasma, and their hybrid. Similarly important is the technology of generating homogenous plasma. This new technology is expected to enhance economic efficiency, provide means to deal with environmental matters, and improve on energy efficiency. An outline of the proposition for the project is compiled in this report. (NEDO)

  19. Nitriding of Ti substrate using energetic ions from plasma focus device

    International Nuclear Information System (INIS)

    Henriquez, A; Bhuyan, H; Favre, M; Bora, B; Wyndham, E; Chuaqui, H; Mändl, S; Gerlach, J W; Manova, D

    2012-01-01

    Plasma Focus (PF) discharge is a pulsed plasma producing discharge that generates high temperature and high density plasma for a short duration. PF devices are known to emit intense ion beams pulses of characteristic energy in the keV to a few MeV range, in a time scale of tens of nanoseconds. We have previously investigated the ion flux and energy spectrum of ion beams emitted from a low energy PF, operating at 20 kV, with 1.8 kJ stored energy. It was observed that the ion beams have wide range of energy and intensity spectra with a clear angular anisotropy. Due to the wide range of ion energy and intensity spectra PF has become a subject of current interest for its applications in material sciences including surface modification and thin film deposition. The purpose of this study is the formation of titanium nitride (TiN) thin film and to investigate the structural properties of the TiN thin films in terms of PF angular positions. Substrates like Ti and Ti/Si were nitrided in a 1.8 kJ PF device at different angular positions with respect to the PF axis in order to correlate their surface properties with ion beam parameters. Preliminary characterizations of the ion implanted substrates have been conducted, using SEM, EDX and XRD. Our results indicate the formation of nanocrystalline TiN thin film only in certain angular positions. Angular dependency of the surface morphology was observed, which shows that the surface features strongly depends on ion beam energy and flux. With increasing angular positions, a reduction in the deposition rate and the sputter rate is observed. A pronounced nanostructured surface is only observed at the axis of the pinched plasma column, indicating the dominant role of sputtering and perhaps melting and fast re-crystallization of the surface in creating the nanostructures.

  20. Heavy ion time-of-flight ERDA of high dose metal implanted germanium

    Energy Technology Data Exchange (ETDEWEB)

    Dytlewski, N.; Evans, P.J.; Noorman, J.T. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Wielunski, L.S. [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Bunder, J. [New South Wales Univ., Wollongong, NSW (Australia). Wollongong Univ. Coll

    1996-12-31

    With the thick Ge substrates used in ion implantation, RBS can have difficulty in resolving the mass-depth ambiguities when analysing materials composed of mixtures of elements with nearly equal masses. Additional, and complimentary techniques are thus required. This paper reports the use of heavy ion time-of-flight elastic recoil detection analysis (ToF- ERDA), and conventional RBS in the analysis of Ge(100) implanted with high dose Ti and Cu ions from a MEWA ion source . Heavy ion ToF ERDA has been used to resolve, and profile the implanted transition metal species, and also to study any oxygen incorporation into the sample resulting from the implantation, or subsequential reactions with air or moisture. This work is part of a study on high dose metal ion implantation of medium atomic weight semiconductor materials. 13 refs., 6 figs.

  1. Heavy ion time-of-flight ERDA of high dose metal implanted germanium

    Energy Technology Data Exchange (ETDEWEB)

    Dytlewski, N; Evans, P J; Noorman, J T [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Wielunski, L S [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Bunder, J [New South Wales Univ., Wollongong, NSW (Australia). Wollongong Univ. Coll

    1997-12-31

    With the thick Ge substrates used in ion implantation, RBS can have difficulty in resolving the mass-depth ambiguities when analysing materials composed of mixtures of elements with nearly equal masses. Additional, and complimentary techniques are thus required. This paper reports the use of heavy ion time-of-flight elastic recoil detection analysis (ToF- ERDA), and conventional RBS in the analysis of Ge(100) implanted with high dose Ti and Cu ions from a MEWA ion source . Heavy ion ToF ERDA has been used to resolve, and profile the implanted transition metal species, and also to study any oxygen incorporation into the sample resulting from the implantation, or subsequential reactions with air or moisture. This work is part of a study on high dose metal ion implantation of medium atomic weight semiconductor materials. 13 refs., 6 figs.

  2. Surface modification of multi-point cutting tools using ion implantation

    International Nuclear Information System (INIS)

    Sarwar, M.; Ahmed, W.; Ahmed, M.

    1995-01-01

    Ion-implantation has been used to treat multi-point cutting tools using a 'systems approach' in order to improve the performance of these tools in dynamic cutting conditions. The effects of energy, species and system pressure on life and performance of circular saws have been investigated. For both nitrogen and argon ion-implantation an improvement in cutting performance has been observed as compared to untreated edges. As the energy of the nitrogen ions is increased there is a gradual improvement in the performance of the cutting edge. Ion-implanted tools were compared to those coated with TiN and these results are also presented. (author) 5 figs

  3. Light-induced ion-acoustic instability of rarefied plasma

    International Nuclear Information System (INIS)

    Krasnov, I.V.; Sizykh, D.V.

    1987-01-01

    A new method of ion-acoustic instability excitation under the effect of coherent light, resonance to ion quantum transitions on collisionless plasma, is suggested. The light-induced ion-acoustic instability (LIIAI) considered is based on the induced progressive nonequilibrium resonance particles in the field of travelling electromagnetic wave. Principal possibility to use LIIAI in high-resolution spectroscopy and in applied problems of plasma physics, related to its instability, is pointed out

  4. Comparative study of metal and non-metal ion implantation in polymers: Optical and electrical properties

    International Nuclear Information System (INIS)

    Resta, V.; Quarta, G.; Farella, I.; Maruccio, L.; Cola, A.; Calcagnile, L.

    2014-01-01

    The implantation of 1 MeV metal ( 63 Cu + , 107 Ag + , 197 Au + ) and non-metal ( 4 He + , 12 C + ) ions in a polycarbonate (PC) matrix has been studied in order to evaluate the role of ion species in the modification of optical and electrical properties of the polymer. When the ion fluence is above ∼1 × 10 13 ions cm −2 , the threshold for latent tracks overlapping is overcome and π-bonded carbon clusters grow and aggregate forming a network of conjugated C=C bonds. For fluences around 1 × 10 17 ions cm −2 , the aggregation phenomena induce the formation of amorphous carbon and/or graphite like structures. At the same time, nucleation of metal nanoparticles (NPs) from implanted species can take place when the supersaturation threshold is overcome. The optical absorption of the samples increases in the visible range and the optical band gap redshifts from 3.40 eV up to 0.70 eV mostly due to the carbonization process and the formation of C 0x clusters and cluster aggregates. Specific structures in the extinction spectra are observed when metal ions are selected in contrast to the non-metal ion implanted PC, thus revealing the possible presence of noble metal based NPs interstitial to the C 0x cluster network. The corresponding electrical resistance decreases much more when metal ions are implanted with at least a factor of 2 orders of magnitude difference than the non-metal ions based samples. An absolute value of ∼10 7 Ω/sq has been measured for implantation with metals at doses higher than 5 × 10 16 ions cm −2 , being 10 17 Ω/sq the corresponding sheet resistance for pristine PC

  5. Comparative study of metal and non-metal ion implantation in polymers: Optical and electrical properties

    Energy Technology Data Exchange (ETDEWEB)

    Resta, V., E-mail: vincenzo.resta@le.infn.it [Department of Engineering for Innovation, University of Salento, Via Monteroni, Lecce I-73100 (Italy); Quarta, G. [Department of Engineering for Innovation, University of Salento, Via Monteroni, Lecce I-73100 (Italy); Farella, I. [Institute for Microelectronics and Microsystems – Unit of Lecce, National Council of Research (IMM/CNR), Lecce I-73100 (Italy); Maruccio, L. [Department of Engineering for Innovation, University of Salento, Via Monteroni, Lecce I-73100 (Italy); Cola, A. [Institute for Microelectronics and Microsystems – Unit of Lecce, National Council of Research (IMM/CNR), Lecce I-73100 (Italy); Calcagnile, L. [Department of Engineering for Innovation, University of Salento, Via Monteroni, Lecce I-73100 (Italy)

    2014-07-15

    The implantation of 1 MeV metal ({sup 63}Cu{sup +}, {sup 107}Ag{sup +}, {sup 197}Au{sup +}) and non-metal ({sup 4}He{sup +}, {sup 12}C{sup +}) ions in a polycarbonate (PC) matrix has been studied in order to evaluate the role of ion species in the modification of optical and electrical properties of the polymer. When the ion fluence is above ∼1 × 10{sup 13} ions cm{sup −2}, the threshold for latent tracks overlapping is overcome and π-bonded carbon clusters grow and aggregate forming a network of conjugated C=C bonds. For fluences around 1 × 10{sup 17} ions cm{sup −2}, the aggregation phenomena induce the formation of amorphous carbon and/or graphite like structures. At the same time, nucleation of metal nanoparticles (NPs) from implanted species can take place when the supersaturation threshold is overcome. The optical absorption of the samples increases in the visible range and the optical band gap redshifts from 3.40 eV up to 0.70 eV mostly due to the carbonization process and the formation of C{sub 0x} clusters and cluster aggregates. Specific structures in the extinction spectra are observed when metal ions are selected in contrast to the non-metal ion implanted PC, thus revealing the possible presence of noble metal based NPs interstitial to the C{sub 0x} cluster network. The corresponding electrical resistance decreases much more when metal ions are implanted with at least a factor of 2 orders of magnitude difference than the non-metal ions based samples. An absolute value of ∼10{sup 7} Ω/sq has been measured for implantation with metals at doses higher than 5 × 10{sup 16} ions cm{sup −2}, being 10{sup 17} Ω/sq the corresponding sheet resistance for pristine PC.

  6. Effects of ion-implanted C on the microstructure and surface mechanical properties of Fe alloys implanted with Ti

    International Nuclear Information System (INIS)

    Follstaedt, D.M.; Knapp, J.A.; Pope, L.E.; Yost, F.G.; Picraux, S.T.

    1984-01-01

    The microstructural and tribological effects of ion implanting C into Ti-implanted, Fe-based alloys are examined and compared to the influence of C introduced by vacuum carburization during Ti implantation alone. The amorphous surface alloy formed by Ti implantation of pure Fe increases in thickness when additional C is implanted at depths containing Ti but beyond the range of carburization. Pin-on-disc tests of 15-5 PH stainless steel show that implantation of both Ti and C reduces friction significantly under conditions where no reduction is obtained by Ti implantation alone; wear depths are also less when C is implanted. All available experimental results can be accounted for by consideration of the thickness and Ti concentration of the amorphous Fe-Ti-C alloy. The thicker amorphous layer on samples implanted with additional C extends tribological benefits to more severe wear regimes

  7. Plasma ion sources and ion beam technology in microfabrications

    International Nuclear Information System (INIS)

    Ji, Lili

    2007-01-01

    For over decades, focused ion beam (FIB) has been playing a very important role in microscale technology and research, among which, semiconductor microfabrication is one of its biggest application area. As the dimensions of IC devices are scaled down, it has shown the need for new ion beam tools and new approaches to the fabrication of small-scale devices. In the meanwhile, nanotechnology has also deeply involved in material science research and bioresearch in recent years. The conventional FIB systems which utilize liquid gallium ion sources to achieve nanometer scale resolution can no longer meet the various requirements raised from such a wide application area such as low contamination, high throughput and so on. The drive towards controlling materials properties at nanometer length scales relies on the availability of efficient tools. In this thesis, three novel ion beam tools have been developed and investigated as the alternatives for the conventional FIB systems in some particular applications. An integrated focused ion beam (FIB) and scanning electron microscope (SEM) system has been developed for direct doping or surface modification. This new instrument employs a mini-RF driven plasma source to generate focused ion beam with various ion species, a FEI two-lens electron (2LE) column for SEM imaging, and a five-axis manipulator system for sample positioning. An all-electrostatic two-lens column has been designed to focus the ion beam extracted from the source. Based on the Munro ion optics simulation, beam spot sizes as small as 100 nm can be achieved at beam energies between 5 to 35 keV if a 5 (micro)m-diameter extraction aperture is used. Smaller beam spot sizes can be obtained with smaller apertures at sacrifice of some beam current. The FEI 2LE column, which utilizes Schottky emission, electrostatic focusing optics, and stacked-disk column construction, can provide high-resolution (as small as 20 nm) imaging capability, with fairly long working distance

  8. Mass and energy deposition effects of implanted ions on solid sodium formate

    Energy Technology Data Exchange (ETDEWEB)

    Wang Xiangqin E-mail: clshao@mail.ipp.ac.cn; Shao Chunlin; Yao Jianming; Yu Zengliang

    2000-07-01

    Solid sodium formate was implanted by low energy N{sup +}, H{sup +}, and Ar{sup +} ions. Measured with electron paramagnetic resonance (EPR) and Fourier-transform infrared (FT-IR), it was observed that new -CH{sub 2}-, -CH{sub 3}- groups and COO{sup -} radical ion were produced in the implanted sodium formate. Analyzing with the highly sensitive ninhydrin reaction, it was found that a new -NH{sub 2} functional group was formed upon N{sup +} ion implantation, and its yield increased along with implantation dose but decreased with the ion's energy.

  9. Effects of bias voltage on the corrosion resistance of titanium nitride thin films fabricated by dynamic plasma immersion ion implantation-deposition

    International Nuclear Information System (INIS)

    Tian Xiubo; Fu, Ricky K. Y.; Chu, Paul K.

    2002-01-01

    Dynamic plasma-based thin-film deposition incorporating ion mixing and plasma immersion is an effective technique to synthesize nitride-based hard films. We have fabricated TiN films using a filtered titanium vacuum arc in a nitrogen plasma environment. A pulsed high voltage is applied to the target for a short time when the metallic arc is fired to attain simultaneous plasma deposition and ion mixing. We investigate the dependence of the corrosion resistance and interfacial structure of the treated samples on the applied voltage. Our Auger results reveal an oxygen-rich surface film due to the non-ultra-high-vacuum conditions and high affinity of oxygen to titanium. The corrosion current is reduced by two orders of magnitude comparing the sample processed at 8 kV to the untreated sample, but the 23 kV sample unexpectedly shows worse results. The pitting potential diminishes substantially although the corrosion current is similar to that observed in the 8 kV sample. The polarization test data are consistent with our scanning electron microscopy observation, corroborating the difference in the pitting distribution and appearance. This anomalous behavior is believed to be due to the change in the chemical composition as a result of high-energy ion bombardment

  10. Studying of ion implantation effect on the biology in China

    International Nuclear Information System (INIS)

    Yu Zengliang

    1993-04-01

    Since low energy ion effect on the biology was observed, the ion implantation as a new mutagenic source has been widely used in improving crops and modifying microbes in China. The basic phenomenon of ion implantation effect on the biology and analytical results are reported, and the examples of its application and its further development are shown

  11. AlN metal-semiconductor field-effect transistors using Si-ion implantation

    Science.gov (United States)

    Okumura, Hironori; Suihkonen, Sami; Lemettinen, Jori; Uedono, Akira; Zhang, Yuhao; Piedra, Daniel; Palacios, Tomás

    2018-04-01

    We report on the electrical characterization of Si-ion implanted AlN layers and the first demonstration of metal-semiconductor field-effect transistors (MESFETs) with an ion-implanted AlN channel. The ion-implanted AlN layers with Si dose of 5 × 1014 cm-2 exhibit n-type characteristics after thermal annealing at 1230 °C. The ion-implanted AlN MESFETs provide good drain current saturation and stable pinch-off operation even at 250 °C. The off-state breakdown voltage is 2370 V for drain-to-gate spacing of 25 µm. These results show the great potential of AlN-channel transistors for high-temperature and high-power applications.

  12. Rapid thermal and swift heavy ion induced annealing of Co ion implanted GaN films

    International Nuclear Information System (INIS)

    Baranwal, V.; Pandey, A. C.; Gerlach, J. W.; Rauschenbach, B.; Karl, H.; Kanjilal, D.; Avasthi, D. K.

    2008-01-01

    Thin epitaxial GaN films grown on 6H-SiC(0001) substrates were implanted with 180 keV Co ions at three different fluences. As-implanted samples were characterized with secondary ion mass spectrometry and Rutherford backscattering spectrometry to obtain the Co depth profiles and the maximum Co concentrations. As-implanted samples were annealed applying two different techniques: rapid thermal annealing and annealing by swift heavy ion irradiation. Rapid thermal annealing was done at two temperatures: 1150 deg. C for 20 s and 700 deg. C for 5 min. 200 MeV Ag ions at two fluences were used for annealing by irradiation. Crystalline structure of the pristine, as-implanted, and annealed samples was investigated using x-ray diffraction, and the results were compared. Improvement of the crystalline quality was observed for rapid thermal annealed samples at the higher annealing temperature as confirmed with rocking curve measurements. The results indicate the presence of Co clusters in these annealed samples. Swift heavy ion irradiation with the parameters chosen for this study did not lead to a significant annealing

  13. Studies on mass deposition effect and energy effect of biomolecules implanted by N+ ion beam

    International Nuclear Information System (INIS)

    Shao Chunlin; Yu Zengliang

    1994-05-01

    By analyzing some spectrum of tyrosine sample implanted by N + ion beam, it is deduced that the implantation N + could react with the tyrosine molecule and substitute =C 5 H- group of benzene ring to produce a N-heterocyclic compound. This compound would notably affect the residual activity of the sample. Moreover, the percentage of the product molecules to the damaged tyrosine molecules is larger than the reciprocal of the proportion of their extinction coefficients. On the other hand, by comparing the release of inorganic phosphate, it is found that the radiation sensibility for four basic nucleotides is 5'-dTMP>5'-CMP>5'-GMP>5'-AMP. to implanted nucleotides, alkali treatment and heat treatment could increase the amount of inorganic phosphate. The amount of inorganic phosphate in the nucleotide samples directly implanted by ions beam is about 60% of the total amount of inorganic phosphate that could be released from the implanted samples heated at 90 degree C for 1.75 hours. Alkali treatment could damage and split the free bases released from the implanted nucleotides, but heat treatment might repair those damaged bases. Above results prove that ions implantation to biomolecules has the mass deposition effects and energy effects

  14. Defects and defect generation in oxide layer of ion implanted silicon-silicon dioxide structures

    CERN Document Server

    Baraban, A P

    2002-01-01

    One studies mechanism of generation of defects in Si-SiO sub 2 structure oxide layer as a result of implantation of argon ions with 130 keV energy and 10 sup 1 sup 3 - 3.2 x 10 sup 1 sup 7 cm sup - sup 2 doses. Si-SiO sub 2 structures are produced by thermal oxidation of silicon under 950 deg C temperature. Investigations were based on electroluminescence technique and on measuring of high-frequency volt-farad characteristics. Increase of implantation dose was determined to result in spreading of luminosity centres and in its maximum shifting closer to boundary with silicon. Ion implantation was shown, as well, to result in increase of density of surface states at Si-SiO sub 2 interface. One proposed model of defect generation resulting from Ar ion implantation into Si-SiO sub 2

  15. High yield antibiotic producing mutants of Streptomyces erythreus induced by low energy ion implantation

    Science.gov (United States)

    Yu, Chen; Zhixin, Lin; Zuyao, Zou; Feng, Zhang; Duo, Liu; Xianghuai, Liu; Jianzhong, Tang; Weimin, Zhu; Bo, Huang

    1998-05-01

    Conidia of Streptomyces erythreus, an industrial microbe, were implanted by nitrogen ions with energy of 40-60 keV and fluence from 1 × 10 11 to 5 × 10 14 ions/cm 2. The logarithm value of survival fraction had good linear relationship with the logarithm value of fluence. Some mutants with a high yield of erythromycin were induced by ion implantation. The yield increment was correlated with the implantation fluence. Compared with the mutation results induced by ultraviolet rays, mutation effects of ion implantation were obvious having higher increasing erythromycin potency and wider mutation spectrum. The spores of Bacillus subtilis were implanted by arsenic ions with energy of 100 keV. The distribution of implanted ions was measured by Rutherford Backscattering Spectrometry (RBS) and calculated in theory. The mechanism of mutation induced by ion implantation was discussed.

  16. Mechanical properties of ion-implanted alumina

    International Nuclear Information System (INIS)

    Pope, S.G.

    1988-01-01

    Monolithic oxide ceramics are being proposed as structural materials in continuously more-demanding applications. The demands being placed on these materials have caused concern pertaining to the continued growth of oxide structural ceramics due to limited toughness. The realization that ceramic strength and toughness can be affected by surface conditions has led to many surface-modification techniques, all striving to improve the mechanical properties of ceramics. Along these lines, the effects of ion implantation as a surface modification technique for improvement of the mechanical properties of alumina were studied. Initially, sapphire samples were implanted with elemental ion species that would produce oxide precipitates within the sapphire surface when annealed in an oxygen-containing atmosphere. Optimum conditions as determined from implantation into sapphire were then used to modify a polycrystalline alumina. Specific modifications in microhardness, indentation fracture toughness and flexure strength are reported for the parameters studied. Microstructure and phase relationships related to modified surfaces properties are also reported

  17. Eelectrochemical properties and corrosion resistance of carbon-ion-implanted magnesium

    International Nuclear Information System (INIS)

    Xu, Ruizhen; Yang, Xiongbo; Li, Penghui; Suen, Kai Wong; Wu, Guosong; Chu, Paul K.

    2014-01-01

    Highlights: • Carbon, as a biocompatible benign element, was implanted into Mg. • A protective amorphous carbon layer was formed after implantation. • Treated sample exhibits good corrosion resistance in two solutions. - Abstract: The corrosion resistance of magnesium-based biomaterials is critical to clinical applications. In this work, carbon as a biocompatible and benign nonmetallic element with high chemical inertness is implanted into pure magnesium to improve the corrosion behavior. X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HR-TEM), and Raman scattering reveal the formation of an amorphous carbon layer after ion implantation. Electrochemical studies demonstrate remarkable improvement in the corrosion resistance of magnesium in simulated body fluids (SBF) and Dulbecco’s Modified Eagle Medium (DMEM)

  18. Ion implantation for manufacturing bent and periodically bent crystals

    Energy Technology Data Exchange (ETDEWEB)

    Bellucci, Valerio; Camattari, Riccardo; Guidi, Vincenzo, E-mail: guidi@fe.infn.it; Mazzolari, Andrea; Paternò, Gianfranco [Department of Physics and Earth Sciences, University of Ferrara, Via Saragat 1/c, 44122 Ferrara, Italy and INFN, Section of Ferrara (Italy); Mattei, Giovanni, E-mail: giovanni.mattei@unipd.it; Scian, Carlo [Department of Physics and Astronomy Galileo Galilei, University of Padova, Via Marzolo 8, 35131 Padova (Italy); Lanzoni, Luca [Dipertimento di Economia e Tecnologia, Università degli Studi della Repubblica di San Marino, Salita alla Rocca, 44, 47890 San Marino Città (San Marino)

    2015-08-10

    Ion implantation is proposed to produce self-standing bent monocrystals. A Si sample 0.2 mm thick was bent to a radius of curvature of 10.5 m. The sample curvature was characterized by interferometric measurements; the crystalline quality of the bulk was tested by X-ray diffraction in transmission geometry through synchrotron light at ESRF (Grenoble, France). Dislocations induced by ion implantation affect only a very superficial layer of the sample, namely, the damaged region is confined in a layer 1 μm thick. Finally, an elective application of a deformed crystal through ion implantation is here proposed, i.e., the realization of a crystalline undulator to produce X-ray beams.

  19. High fluence effects on ion implantation stopping and range

    International Nuclear Information System (INIS)

    Selvi, S.; Tek, Z.; Oeztarhan, A.; Akbas, N.; Brown, I.G.

    2005-01-01

    We have developed a code STOPPO which can be used to modify the more-widely used ion implantation codes to more accurately predict the mean nuclear and electronic stopping power, preferential sputtering and range of heavy ions in monatomic target materials. In our simulations an effective atomic number and effective atomic mass are introduced into conveniently available analytical stopping cross-sections and a better fitting function for preferential sputtering yield is carefully evaluated for each ion implantation. The accuracy of the code confirmed experimentally by comparison with measured Rutherford backscattering spectrometry (RBS) concentration profiles for 130 keV Zr ions implanted into Be to fluences of 1 x 10 17 , 2 x 10 17 and 4 x 10 17 ions/cm 2 . We find a steady increase in the mean nuclear and electronic stopping powers of the target; the increase in nuclear stopping power is much greater than the increase in electronic stopping power

  20. Modification of the hydriding of uranium using ion implantation

    International Nuclear Information System (INIS)

    Musket, R.G.; Robinson-Weis, G.; Patterson, R.G.

    1983-01-01

    The hydriding of depleted uranium at 76 Torr hydrogen and 130 0 C has been significantly reduced by implantation of oxygen ions. The high-dose implanted specimens had incubation times for the initiation of the reaction after exposure to hydrogen that exceeded those of the nonimplanted specimens by more than a factor of eight. Furthermore, the nonimplanted specimens consumed enough hydrogen to cause macroscopic flaking of essentially the entire surface in times much less than the incubation time for the high-dose implanted specimens. In contrast, the ion-implanted specimens reacted only at isolated spots with the major fraction of the surface area unaffected by the hydrogen exposure

  1. Decrease of Staphylococcal adhesion on surgical stainless steel after Si ion implantation

    International Nuclear Information System (INIS)

    Braceras, Iñigo; Pacha-Olivenza, Miguel A.; Calzado-Martín, Alicia; Multigner, Marta; Vera, Carolina; Broncano, Luis Labajos-; Gallardo-Moreno, Amparo M.; González-Carrasco, José Luis; Vilaboa, Nuria

    2014-01-01

    Highlights: • Si ion implantation of AISI 316LVM medical grade alloy might reduce bacterial adhesion and colonization. • Si ion implantation does not impair the attachment, viability and matrix maturation of human mesenchymal stem cells. • Nano-topography and surface chemistry changes account for the Si ion implantation induced effects. - Abstract: 316LVM austenitic stainless steel is often the material of choice on temporal musculoskeletal implants and surgical tools as it combines good mechanical properties and acceptable corrosion resistance to the physiologic media, being additionally relatively inexpensive. This study has aimed at improving the resistance to bacterial colonization of this surgical stainless steel, without compromising its biocompatibility and resistance. To achieve this aim, the effect of Si ion implantation on 316LVM has been studied. First, the effect of the ion implantation parameters (50 keV; fluence: 2.5–5 × 10 16 ions/cm 2 ; angle of incidence: 45–90°) has been assessed in terms of depth profiling of chemical composition by XPS and nano-topography evaluation by AFM. The in vitro biocompatibility of the alloy has been evaluated with human mesenchymal stem cells. Finally, bacterial adhesion of Staphylococcus epidermidis and Staphylococcus aureus on these surfaces has been assessed. Reduction of bacterial adhesion on Si implanted 316LVM is dependent on the implantation conditions as well as the features of the bacterial strains, offering a promising implantable biomaterial in terms of biocompatibility, mechanical properties and resistance to bacterial colonization. The effects of surface composition and nano-topography on bacterial adhesion, directly related to ion implantation conditions, are also discussed

  2. Decrease of Staphylococcal adhesion on surgical stainless steel after Si ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Braceras, Iñigo, E-mail: inigo.braceras@tecnalia.com [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Pacha-Olivenza, Miguel A. [CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Universidad de Extremadura, Departamento de Física Aplicada, Facultad de Ciencias, Av. Elvas s/n, 06006 Badajoz (Spain); Calzado-Martín, Alicia [Hospital Universitario La Paz-IdiPAZ, Paseo de la Castellana 261, 28046 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Multigner, Marta [Centro Nacional de Investigaciones Metalúrgicas, CENIM-CSIC, Avda Gregorio del Amo 8, 28040 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Vera, Carolina [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Broncano, Luis Labajos-; Gallardo-Moreno, Amparo M. [Universidad de Extremadura, Departamento de Física Aplicada, Facultad de Ciencias, Av. Elvas s/n, 06006 Badajoz (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); González-Carrasco, José Luis [Centro Nacional de Investigaciones Metalúrgicas, CENIM-CSIC, Avda Gregorio del Amo 8, 28040 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Vilaboa, Nuria [Hospital Universitario La Paz-IdiPAZ, Paseo de la Castellana 261, 28046 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); and others

    2014-08-15

    Highlights: • Si ion implantation of AISI 316LVM medical grade alloy might reduce bacterial adhesion and colonization. • Si ion implantation does not impair the attachment, viability and matrix maturation of human mesenchymal stem cells. • Nano-topography and surface chemistry changes account for the Si ion implantation induced effects. - Abstract: 316LVM austenitic stainless steel is often the material of choice on temporal musculoskeletal implants and surgical tools as it combines good mechanical properties and acceptable corrosion resistance to the physiologic media, being additionally relatively inexpensive. This study has aimed at improving the resistance to bacterial colonization of this surgical stainless steel, without compromising its biocompatibility and resistance. To achieve this aim, the effect of Si ion implantation on 316LVM has been studied. First, the effect of the ion implantation parameters (50 keV; fluence: 2.5–5 × 10{sup 16} ions/cm{sup 2}; angle of incidence: 45–90°) has been assessed in terms of depth profiling of chemical composition by XPS and nano-topography evaluation by AFM. The in vitro biocompatibility of the alloy has been evaluated with human mesenchymal stem cells. Finally, bacterial adhesion of Staphylococcus epidermidis and Staphylococcus aureus on these surfaces has been assessed. Reduction of bacterial adhesion on Si implanted 316LVM is dependent on the implantation conditions as well as the features of the bacterial strains, offering a promising implantable biomaterial in terms of biocompatibility, mechanical properties and resistance to bacterial colonization. The effects of surface composition and nano-topography on bacterial adhesion, directly related to ion implantation conditions, are also discussed.

  3. Structural changes in the polyethylene after ion implantation

    International Nuclear Information System (INIS)

    Proskova, K.; Svorcik, V.

    1999-01-01

    This work deals with the study of the polyethylene (PE) after its modification by ion implantation. In this way the mechanical, optical, magnetic and electric characteristics can be changed. Experiments were processed on PE films with 15 μm thickness. For modification of the surface of PE for implantation the Ar + ions with the energy 63 keV and Xe + ions with the energy 156 keV and with doses from 1·10 13 to 3·10 15 cm +2 were used. The aim of this work was the study of structural changes of modified layer of the PE

  4. Effects of Mn Ion Implantation on XPS Spectroscopy of GaN Thin Films

    Science.gov (United States)

    Majid, Abdul; Ahmad, Naeem; Rizwan, Muhammad; Khan, Salah Ud-Din; Ali, Fekri Abdulraqeb Ahmed; Zhu, Jianjun

    2018-02-01

    Gallium nitride (GaN) thin film was deposited onto a sapphire substrate and then implanted with 250 keV Mn ions at two different doses of 2 × 1016 ions/cm2 and 5 × 1016 ions/cm2. The as-grown and post-implantation-thermally-annealed samples were studied in detail using x-ray photoelectron spectroscopy (XPS). The XPS peaks of Ga 3 d, Ga 2 p, N 1 s, Mn 2 p and C 1 s were recorded in addition to a full survey of the samples. The doublet peaks of Ga 2 p for pure GaN were observed blue-shifted when compared with elemental Ga, and appeared further shifted to higher energies for the implanted samples. These observations point to changes in the bonds and the chemical environment of the host as a result of ion implantation. The results revealed broadening of the N 1 s peak after implantation, which is interpreted in terms of the presence of N-Mn bonds in addition to N-Ga bonds. The XPS spectra of Mn 2 p recorded for ion-implanted samples indicated splitting of Mn 2 p 1/2 and Mn 2 p 3/2 peaks higher than that for metallic Mn, which helps rule out the possibility of clustering and points to substitutional doping of Mn. These observations provide a framework that sheds light on the local environment of the material for understanding the mechanism of magnetic exchange interactions in Mn:GaN based diluted magnetic semiconductors.

  5. Bimodal distribution of damage morphology generated by ion implantation

    International Nuclear Information System (INIS)

    Mok, K.R.C.; Jaraiz, M.; Martin-Bragado, I.; Rubio, J.E.; Castrillo, P.; Pinacho, R.; Srinivasan, M.P.; Benistant, F.

    2005-01-01

    A nucleation and evolution model of damage based on amorphous pockets (APs) has recently been developed and implemented in an atomistic kinetic Monte Carlo simulator. In the model, APs are disordered structures (I n V m ), which are agglomerates of interstitials (I) and vacancies (V). This model has been used to study the composition and size distribution of APs during different ion implantations. Depending strongly on the dose rate, ion mass and implant temperature, the APs can evolve to a defect population where the agglomerates have a similar number of I and V (n ∼ m), or to a defect population with pure I (m ∼ 0) and pure V (n ∼ 0) clusters, or a mixture of APs and clusters. This behaviour corresponds to a bimodal (APs/clusters) distribution of damage. As the AP have different thermal stability compared to the I and V clusters, the same damage concentration obtained through different implant conditions has a different damage morphology and, consequently, exhibit a different resistance to subsequent thermal treatments

  6. Ion implantation: [fundamental factors which affect accelerator performance and their implications

    International Nuclear Information System (INIS)

    Armour, D.G.

    1987-01-01

    The use of ion implantation to modify the composition of the near surface layers of solid materials has been widely exploited in the semiconductor industry and is finding increasing application in the treatment of metals, ceramics and polymers. The bombardment of a solid with energetic ions inevitably involves the deposition of energy as well as material and this effect, which results in unwanted effects such as radiation damage in conventional implantation situations, is also being utilized to assist in the deposition of highly adherent or epitaxial layers. The increasing range of applications of ion implantation and ion assisted processing of materials has placed increasingly stringent demands on machine performance; in the present paper implantation techniques and their applications will be discussed. (author)

  7. Lithium ion implantation effects in MgO(100)

    Energy Technology Data Exchange (ETDEWEB)

    Huis, M.A. van; Fedorov, A.V.; Veen, A. van; Labohm, F.; Schut, H.; Mijnarends, P.E. [Interfaculty Reactor Inst., Delft Univ. of Technology, Delft (Netherlands); Kooi, B.J.; Hosson, J.T.M. de [Rijksuniversiteit Groningen (Netherlands). Materials Science Centre

    2001-07-01

    Single crystals of MgO(100) were implanted with 10{sup 16} {sup 6}Li ions cm{sup -2} at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical absorption spectroscopy and depth-sensitive Doppler Broadening positron beam analysis (PBA). A strong increase in the S-parameter is observed in the implantation layer at a depth of approximately 100 nm. The high value of the S-parameter is ascribed to positron annihilation in small lithium precipitates. The results of 2D-ACAR and X-TEM analysis show evidence of the presence of lithium precipitates. The depth distribution of the implanted {sup 6}Li atoms was monitored with neutron depth profiling (NDP). It was observed that detrapping and diffusion of {sup 6}Li starts at an annealing temperature of 1200K. (orig.)

  8. Lithium ion implantation effects in MgO(100)

    International Nuclear Information System (INIS)

    Huis, M.A. van; Fedorov, A.V.; Veen, A. van; Labohm, F.; Schut, H.; Mijnarends, P.E.; Kooi, B.J.; Hosson, J.T.M. de

    2001-01-01

    Single crystals of MgO(100) were implanted with 10 16 6 Li ions cm -2 at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical absorption spectroscopy and depth-sensitive Doppler Broadening positron beam analysis (PBA). A strong increase in the S-parameter is observed in the implantation layer at a depth of approximately 100 nm. The high value of the S-parameter is ascribed to positron annihilation in small lithium precipitates. The results of 2D-ACAR and X-TEM analysis show evidence of the presence of lithium precipitates. The depth distribution of the implanted 6 Li atoms was monitored with neutron depth profiling (NDP). It was observed that detrapping and diffusion of 6 Li starts at an annealing temperature of 1200K. (orig.)

  9. Drift wave in pair-ion plasma

    Indian Academy of Sciences (India)

    ion plasma are discussed. It is shown that the temperature and/or mass difference of both species could produce drift wave in a pair-ion plasma. The results are discussed in the context of the fullerene pair-ion plasma experiment.

  10. Improvement of Vitamin K2 Production by Escherichia sp. with Nitrogen Ion Beam Implantation Induction

    International Nuclear Information System (INIS)

    Liu Yan; Wang Li; Zheng Zhiming; Wang Peng; Zhao Genhai; Liu Hui; Gong Guohong; Wu Hefang; Liu Hongxia; Tan Mu; Li Zhemin

    2015-01-01

    Low-energy ion implantation as a novel mutagen has been increasingly applied in the microbial mutagenesis for its higher mutation frequency and wider mutation spectra. In this work, N + ion beam implantation was used to enhance Escherichia sp. in vitamin K 2 yield. Optimization of process parameters under submerged fermentation was carried out to improve the vitamin K 2 yield of mutant FM5-632. The results indicate that an excellent mutant FM5-632 with a yield of 123.2±1.6 μg/L, that is four times that of the original strain, was achieved by eight successive implantations under the conditions of 15 keV and 60×2.6×10 13 ions/cm 2 . A further optimization increased the yield of the mutant by 39.7%, i.e. 172.1±1.2 μg/L which occurred in the mutant cultivated in the optimal fermentation culture medium composed of (per liter): 15.31 g glycerol, 10 g peptone, 2.89 g yeast extract, 5 g K 2 HPO 4 , 1 g NaCl, 0.5 g MgSO 4 ·7H 2 O and 0.04 g cedar wood oil, incubated at 33 °C, pH 7.0 and 180 rpm for 120 h. (plasma technology)

  11. Heavy doping of CdTe single crystals by Cr ion implantation

    Science.gov (United States)

    Popovych, Volodymyr D.; Böttger, Roman; Heller, Rene; Zhou, Shengqiang; Bester, Mariusz; Cieniek, Bogumil; Mroczka, Robert; Lopucki, Rafal; Sagan, Piotr; Kuzma, Marian

    2018-03-01

    Implantation of bulk CdTe single crystals with high fluences of 500 keV Cr+ ions was performed to achieve Cr concentration above the equilibrium solubility limit of this element in CdTe lattice. The structure and composition of the implanted samples were studied using secondary ion mass spectrometry (SIMS), scanning electron microscopy (SEM), energy dispersive X-ray (EDX) analysis, X-ray diffraction (XRD) and Rutherford backscattering spectrometry (RBS) to characterize the incorporation of chromium into the host lattice and to investigate irradiation-induced damage build-up. It was found that out-diffusion of Cr atoms and sputtering of the targets alter the depth distribution and limit concentration of the projectile ions in the as-implanted samples. Appearance of crystallographically oriented, metallic α-Cr nanoparticles inside CdTe matrix was found after implantation, as well as a strong disorder at the depth far beyond the projected range of the implanted ions.

  12. Development of a simple, low cost, indirect ion beam fluence measurement system for ion implanters, accelerators

    Science.gov (United States)

    Suresh, K.; Balaji, S.; Saravanan, K.; Navas, J.; David, C.; Panigrahi, B. K.

    2018-02-01

    We developed a simple, low cost user-friendly automated indirect ion beam fluence measurement system for ion irradiation and analysis experiments requiring indirect beam fluence measurements unperturbed by sample conditions like low temperature, high temperature, sample biasing as well as in regular ion implantation experiments in the ion implanters and electrostatic accelerators with continuous beam. The system, which uses simple, low cost, off-the-shelf components/systems and two distinct layers of in-house built softwarenot only eliminates the need for costly data acquisition systems but also overcomes difficulties in using properietry software. The hardware of the system is centered around a personal computer, a PIC16F887 based embedded system, a Faraday cup drive cum monitor circuit, a pair of Faraday Cups and a beam current integrator and the in-house developed software include C based microcontroller firmware and LABVIEW based virtual instrument automation software. The automatic fluence measurement involves two important phases, a current sampling phase lasting over 20-30 seconds during which the ion beam current is continuously measured by intercepting the ion beam and the averaged beam current value is computed. A subsequent charge computation phase lasting 700-900 seconds is executed making the ion beam to irradiate the samples and the incremental fluence received by the sampleis estimated usingthe latest averaged beam current value from the ion beam current sampling phase. The cycle of current sampling-charge computation is repeated till the required fluence is reached. Besides simplicity and cost-effectiveness, other important advantages of the developed system include easy reconfiguration of the system to suit customisation of experiments, scalability, easy debug and maintenance of the hardware/software, ability to work as a standalone system. The system was tested with different set of samples and ion fluences and the results were verified using

  13. Surface modification technique of structural ceramics: ion implantation-assisted multi-arc ion plating

    International Nuclear Information System (INIS)

    Peng Zhijian; Miao Hezhuo; Si Wenjie; Qi Longhao; Li Wenzhi

    2003-01-01

    Through reviewing the advantages and disadvantages of the existed surface modification techniques, a new technique, ion implantation-assisted multi-arc ion plating, was proposed. Using the proposed technique, the surfaces of silicon nitride ceramics were modified by Ti ion implantation, and then three kinds of ternary coatings, (Ti,Al)N, (Ti,Zr)N and (Ti,Cr)N, were deposited on the as-implanted ceramics. The coatings prepared by this technique are of high-hardness and well adhesive to the ceramic substrates. The maximal hardness measured by nanoindentation tests is more than 40 GPa. The maximal critical load by nanoscratch tests is more than 60 mN. The cutting tools prepared by this technique with the presented coatings are of excellent performance in industrial applications. The technique may be promising for the surface modification of structural ceramics. (orig.)

  14. Tritium permeation in stainless-steel structures exposed to plasma ions

    International Nuclear Information System (INIS)

    Anderl, R.A.; Holland, D.F.; Struttmann, D.A.; Longhurst, G.R.; Merrill, B.J.

    1985-01-01

    This paper presents a comparison of the hydrogen permeation properties of the austenitic primary candidate alloy, PCA, and of the advanced ferritic alloy, HT-9. The comparison is based on experimental measurements of the permeation and reemission of deuterium from specimens undergoing implantation with 3-keV, D 3 + ions produced by an accelerator. Characterization of the specimen surface facing the ion beam is provided by secondary ion mass spectrometer (SIMS) analysis of the species sputtered from the surface during the implantation. Recombination and diffusivity data for PCA and HT-9 were derived from an analysis in which model calculations were applied to the reemission and permeation measurements

  15. Development of Mechanical Improvement of the Cladding by Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Han, J G; Lee, S B [Sungkyunkwan University, Seoul (Korea, Republic of); Kim, S H [Kangwon University, Chunchon (Korea, Republic of); Song, G [Suwon College, Suwon (Korea, Republic of)

    1997-07-01

    In this research we analyzed the state of art related to the surface treatment method of nuclear fuel cladding for the development of the surface treatment technique of nuclear fuel cladding by ion beam while investigating major causes of the leakage of fuel rods. Ion implantation simulation code called TRIM-95 was used to decide basic parameters ion beams and wetup an appropriate process for ion implantation. For the mechanical properties measurements, a high temperature wear resistance tester, a fretting wear tester, and a fretting fatigue resistance tester were constructed. Using these testers, some mechanical properties as micro hardness, wear resistance against AISI52100 and AI{sub 2}O{sub 3} balls, and fretting properties were measured and analyzed for the implanted materials as a function of ion dose and processing temperature. Effect of the oxygen atmosphere was measured in the nitrogen implantation. Auger electron spectroscopy(AES) was applied for the depth profile, and X-ray diffraction was used for the nitrogen and oxide measurements. 48 refs., 7 tabs., 46 figs. (author)

  16. Amorphization and the effect of implanted ions in SiC

    International Nuclear Information System (INIS)

    Snead, L.L.; Zinkle, S.J.

    1994-01-01

    The effects of implanted ion chemistry and displacement damage on the amorphization threshold dose of SiC were studied using cross-section transmission electron microscopy. Room temperature as well as 200 and 400 C irradiations were carried out with 3.6 MeV Fe, 1.8 MeV Cl, 1 MeV He or 0.56 MeV Si ions. The room temperature amorphization threshold dose in irradiated regions well separated from the implanted ions was found to range from 0.3 to 0.5 dpa for the four different ion species. The threshold dose for amorphization in the He, Si and Fe ion-implanted regions was also ∼0.3 to 0.5 dpa. On the other hand, the amorphization threshold in the Cl-implanted region was only about 0.1 dpa. The volume change associated with amorphization was ∼17%. No evidence for amorphization was obtained in specimens irradiated at 200 or 400 C. An understanding of the microstructural evolution of SiC under irradiation is critical to the application of these materials in fusion energy systems

  17. Ion implantation induced conducting nano-cluster formation in PPO

    International Nuclear Information System (INIS)

    Das, A.; Patnaik, A.; Ghosh, G.; Dhara, S.

    1997-01-01

    Conversion of polymers and non-polymeric organic molecules from insulating to semiconducting materials as an effect of energetic ion implantation is an established fact. Formation of nano-clusters enriched with carbonaceous materials are made responsible for the insulator-semiconductor transition. Conduction in these implanted materials is observed to follow variable range hopping (VRH) mechanism. Poly(2,6-dimethyl phenylene oxide) [PPO] compatible in various proportion with polystyrene is used as a high thermal resistant insulating polymer. PPO has been used for the first time in the ion implantation study

  18. In-Situ Photoexcitation-Induced Suppression of Point Defect Generation in Ion Implanted Silicon

    International Nuclear Information System (INIS)

    Cho, C.R.; Rozgonyi, G.A.; Yarykin, N.; Zuhr, R.A.

    1999-01-01

    The formation of vacancy-related defects in n-type silicon has been studied immediately after implantation of He, Si, or Ge ions at 85 K using in-situ DLTS. A-center concentrations in He-implanted samples reach a maximum immediately after implantation, whereas, with Si or Ge ion implanted samples they continuously increase during subsequent anneals. It is proposed that defect clusters, which emit vacancies during anneals, are generated in the collision cascades of Si or Ge ions. An illumination-induced suppression of A-center formation is seen immediately after implantation of He ions at 85 K. This effect is also observed with Si or Ge ions, but only after annealing. The suppression of vacancy complex formation via photoexcitation is believed to occur due to an enhanced recombination of defects during ion implantation, and results in reduced number of vacancies remaining in the defect clusters. In p-type silicon, a reduction in K-center formation and an enhanced migration of defects are concurrently observed in the illuminated sample implanted with Si ions. These observations are consistent with a model where the injection of excess carriers modifies the defect charge state and impacts their diffusion

  19. The effect of ions on the magnetic moment of vacancy for ion-implanted 4H-SiC

    Science.gov (United States)

    Peng, B.; Zhang, Y. M.; Dong, L. P.; Wang, Y. T.; Jia, R. X.

    2017-04-01

    The structural properties and the spin states of vacancies in ion implanted silicon carbide samples are analyzed by experimental measurements along with first-principles calculations. Different types and dosages of ions (N+, O+, and B+) were implanted in the 4H-silicon carbide single crystal. The Raman spectra, positron annihilation spectroscopy, and magnetization-magnetic field curves of the implanted samples were measured. The fitting results of magnetization-magnetic field curves reveal that samples implanted with 1 × 1016 cm-2 N+ and O+ ions generate paramagnetic centers with various spin states of J = 1 and J = 0.7, respectively. While for other implanted specimens, the spin states of the paramagnetic centers remain unchanged compared with the pristine sample. According to the positron annihilation spectroscopy and first-principles calculations, the change in spin states originates from the silicon vacancy carrying a magnetic moment of 3.0 μB in the high dosage N-implanted system and 2.0 μB in the O-doped system. In addition, the ratio of the concentration of implanted N ions and silicon vacancies will affect the magnetic moment of VSi. The formation of carbon vacancy which does not carry a local magnetic moment in B-implanted SiC can explain the invariability in the spin states of the paramagnetic centers. These results will help to understand the magnetic moments of vacancies in ion implanted 4H-SiC and provide a possible routine to induce vacancies with high spin states in SiC for the application in quantum technologies and spintronics.

  20. Investigation of microstructure and properties of ultrathin graded ZrNx self-assembled diffusion barrier in deep nano-vias prepared by plasma ion immersion implantation

    Science.gov (United States)

    Zou, Jianxiong; Liu, Bo; Lin, Liwei; Lu, Yuanfu; Dong, Yuming; Jiao, Guohua; Ma, Fei; Li, Qiran

    2018-01-01

    Ultrathin graded ZrNx self-assembled diffusion barriers with controllable stoichiometry was prepared in Cu/p-SiOC:H interfaces by plasma immersion ion implantation (PIII) with dynamic regulation of implantation fluence. The fundamental relationship between the implantation fluence of N+ and the stoichiometry and thereby the electrical properties of the ZrNx barrier was established. The optimized fluence of a graded ZrN thin film with gradually decreased Zr valence was obtained with the best electrical performance as well. The Cu/p-SiOC:H integration is thermally stable up to 500 °C due to the synergistic effect of Cu3Ge and ZrNx layers. Accordingly, the PIII process was verified in a 100-nm-thick Cu dual-damascene interconnect, in which the ZrNx diffusion barrier of 1 nm thick was successfully self-assembled on the sidewall without barrier layer on the via bottom. In this case, the via resistance was reduced by approximately 50% in comparison with Ta/TaN barrier. Considering the results in this study, ultrathin ZrNx conformal diffusion barrier can be adopted in the sub-14 nm technology node.

  1. Dislocation loops in spinel crystals irradiated successively with deep and shallow ion implants

    International Nuclear Information System (INIS)

    Ai, R.X.; Cooper, E.A.; Sickafus, K.E.; Nastasi, M.; Bordes, N.; Ewing, R.C.

    1993-01-01

    This study examines the influence of microstructural defects on irradiation damage accumulation in the oxide spinel. Single crystals of the compound MgAl 2 O 4 with surface normal [111] were irradiated under cryogenic temperature (100K) either with 50 keV Ne ions (fluence 5.0 x 10 12 /cm 2 ), 400 keV Ne ions (fluence 6.7 x 10 13 /cm 2 ) or successively with 400 keV Ne ions followed by 50 keV Ne ions. The projected range of 50 keV Ne ions in spinel is ∼50 mn (''shallow'') while the projected range of 400 keV Ne ions is ∼500 mn (''deep''). Transmission electron microscopy (TEM) was used to examine dislocation loops/defect clusters formed by the implantation process. Measurements of the dislocation loop size were made using weak-beam imaging technique on cross-sectional TEM ion-implanted specimens. Defect clusters were observed in both deep and shallow implanted specimens, while dislocation loops were observed in the shallow implanted sample that was previously irradiated by 400 keV Ne ions. Cluster size was seen to increase for shallow implants in crystals irradiated with a deep implant (size ∼8.5 nm) as compared to crystals treated only to a shallow implant (size ∼3.1 nm)

  2. Analysis of metal ion release from biomedical implants

    Directory of Open Access Journals (Sweden)

    Ivana Dimić

    2013-06-01

    Full Text Available Metallic biomaterials are commonly used for fixation or replacement of damaged bones in the human body due to their good combination of mechanical properties. The disadvantage of metals as implant materials is their susceptibility to corrosion and metal ion release, which can cause serious health problems. In certain concentrations metals and metal ions are toxic and their presence can cause diverse inflammatory reactions, genetic mutations or even cancer. In this paper, different approaches to metal ion release examination, from biometallic materials sample preparation to research results interpretation, will be presented. An overview of the analytical techniques, used for determination of the type and concentration of released ions from implants in simulated biofluids, is also given in the paper.

  3. Modeling of X-ray rocking curves for layers after two-stage ion-implantation

    Directory of Open Access Journals (Sweden)

    O.I. Liubchenko

    2017-10-01

    Full Text Available In this work, we consider the approach for simulation of X-ray rocking curves inherent to InSb(111 crystals implanted with Be+ ions with various energies and doses. The method is based on the semi-kinematical theory of X-ray diffraction in the case of Bragg geometry. A fitting procedure that relies on the Hooke–Jeeves direct search algorithm was developed to determine the depth profiles of strain and structural disorders in the ion-modified layers. The thickness and maximum value of strain of ion-modified InSb(111 layers were determined. For implantation energies 66 and 80 keV, doses 25 and 50 µC, the thickness of the strained layer is about 500 nm with the maximum value of strain close to 0.1%. Additionally, an amorphous layer with significant thickness was found in the implantation region.

  4. Characterization of ion-implanted aluminum and iron by spectroscopic ellipsometry

    International Nuclear Information System (INIS)

    Brodkin, J.S.; Franzen, W.; Culbertson, R.J.

    1990-01-01

    The change in the optical constants of aluminum alloy and iron samples caused by implantation with nitrogen and chromium ions has been investigated by spectroscopic ellipsometry. The objective is to develop a method for simple, non-destructive characterization of ion-implanted metals. 5 refs., 6 figs

  5. Tribological properties and surface structures of ion implanted 9Cr18Mo stainless steels

    Science.gov (United States)

    Fengbin, Liu; Guohao, Fu; Yan, Cui; Qiguo, Sun; Min, Qu; Yi, Sun

    2013-07-01

    The polished quenched-and-tempered 9Cr18Mo steels were implanted with N ions and Ti ions respectively at a fluence of 2 × 1017 ions/cm2. The mechanical properties of the samples were investigated by using nanoindenter and tribometer. The results showed that the ion implantations would improve the nanohardness and tribological property, especially N ion implantation. The surface analysis of the implanted samples was carried out by using XRD, XPS and AES. It indicated that the surface exhibits graded layers after ion implantation. For N ion implantation, the surface about 20 nm thickness is mainly composed of supersaturated interstitial N solid solution, oxynitrides, CrxCy phase and metal nitrides. In the subsurface region, the metal nitrides dominate and the other phases disappear. For Ti ion implantation, the surface of about 20 nm thickness is mainly composed of titanium oxides and carbon amorphous phase, the interstitial solid solution of Ti in Fe is abundant in the subsurface region. The surface components and structures have significant contributions to the improved mechanical properties.

  6. Tribological properties and surface structures of ion implanted 9Cr18Mo stainless steels

    International Nuclear Information System (INIS)

    Fengbin, Liu; Guohao, Fu; Yan, Cui; Qiguo, Sun; Min, Qu; Yi, Sun

    2013-01-01

    The polished quenched-and-tempered 9Cr18Mo steels were implanted with N ions and Ti ions respectively at a fluence of 2 × 10 17 ions/cm 2 . The mechanical properties of the samples were investigated by using nanoindenter and tribometer. The results showed that the ion implantations would improve the nanohardness and tribological property, especially N ion implantation. The surface analysis of the implanted samples was carried out by using XRD, XPS and AES. It indicated that the surface exhibits graded layers after ion implantation. For N ion implantation, the surface about 20 nm thickness is mainly composed of supersaturated interstitial N solid solution, oxynitrides, Cr x C y phase and metal nitrides. In the subsurface region, the metal nitrides dominate and the other phases disappear. For Ti ion implantation, the surface of about 20 nm thickness is mainly composed of titanium oxides and carbon amorphous phase, the interstitial solid solution of Ti in Fe is abundant in the subsurface region. The surface components and structures have significant contributions to the improved mechanical properties

  7. Perspective on the Role of Negative Ions and Ion-Ion Plasmas in Heavy Ion Fusion Science, Magnetic Fusion Energy,and Related Fields

    International Nuclear Information System (INIS)

    Grisham, L.R.; Kwan, J.W.

    2008-01-01

    Some years ago it was suggested that halogen negative ions could offer a feasible alternative path to positive ions as a heavy ion fusion driver beam which would not suffer degradation due to electron accumulation in the accelerator and beam transport system, and which could be converted to a neutral beam by photodetachment near the chamber entrance if desired. Since then, experiments have demonstrated that negative halogen beams can be extracted and accelerated away from the gas plume near the source with a surviving current density close to what could be achieved with a positive ion of similar mass, and with comparable optical quality. In demonstrating the feasibility of halogen negative ions as heavy ion driver beams, ion - ion plasmas, an interesting and somewhat novel state of matter, were produced. These plasmas, produced near the extractor plane of the sources, appear, based upon many lines of experimental evidence, to consist of almost equal densities of positive and negative chlorine ions, with only a small component of free electrons. Serendipitously, the need to extract beams from this plasma for driver development provides a unique diagnostic tool to investigate the plasma, since each component - positive ions, negative ions, and electrons - can be extracted and measured separately. We discuss the relevance of these observations to understanding negative ion beam extraction from electronegative plasmas such as halogens, or the more familiar hydrogen of magnetic fusion ion sources. We suggest a concept which might improve negative hydrogen extraction by the addition of a halogen. The possibility and challenges of producing ion - ion plasmas with thin targets of halogens or, perhaps, salt, is briefly addressed.

  8. Perspective on the Role of Negative Ions and Ion-Ion Plasmas in Heavy Ion Fusion Science, Magnetic Fusion Energy, and Related Fields

    International Nuclear Information System (INIS)

    Grisham, L.R.; Kwan, J.W.

    2008-01-01

    Some years ago it was suggested that halogen negative ions (1)could offer a feasible alternative path to positive ions as a heavy ion fusion driver beam which would not suffer degradation due to electron accumulation in the accelerator and beam transport system, and which could be converted to a neutral beam by photodetachment near the chamber entrance if desired. Since then, experiments have demonstrated that negative halogen beams can be extracted and accelerated away from the gas plume near the source with a surviving current density close to what could be achieved with a positive ion of similar mass, and with comparable optical quality. In demonstrating the feasibility of halogen negative ions as heavy ion driver beams, ion-ion plasmas, an interesting and somewhat novel state of matter, were produced. These plasmas, produced near the extractor plane of the sources, appear, based upon many lines of experimental evidence, to consist of almost equal densities of positive and negative chlorine ions, with only a small component of free electrons. Serendipitously, the need to extract beams from this plasma for driver development provides a unique diagnostic tool to investigate the plasma, since each component--positive ions, negative ions, and electrons--can be extracted and measured separately. We discuss the relevance of these observations to understanding negative ion beam extraction from electronegative plasmas such as halogens, or the more familiar hydrogen of magnetic fusion ion sources. We suggest a concept which might improve negative hydrogen extraction by the addition of a halogen. The possibility and challenges of producing ion-ion plasmas with thin targets of halogens or, perhaps, salt, is briefly addressed

  9. Research on ion implantation in MEMS device fabrication by theory, simulation and experiments

    Science.gov (United States)

    Bai, Minyu; Zhao, Yulong; Jiao, Binbin; Zhu, Lingjian; Zhang, Guodong; Wang, Lei

    2018-06-01

    Ion implantation is widely utilized in microelectromechanical systems (MEMS), applied for embedded lead, resistors, conductivity modifications and so forth. In order to achieve an expected device, the principle of ion implantation must be carefully examined. The elementary theory of ion implantation including implantation mechanism, projectile range and implantation-caused damage in the target were studied, which can be regarded as the guidance of ion implantation in MEMS device design and fabrication. Critical factors including implantations dose, energy and annealing conditions are examined by simulations and experiments. The implantation dose mainly determines the dopant concentration in the target substrate. The implantation energy is the key factor of the depth of the dopant elements. The annealing time mainly affects the repair degree of lattice damage and thus the activated elements’ ratio. These factors all together contribute to ions’ behavior in the substrates and characters of the devices. The results can be referred to in the MEMS design, especially piezoresistive devices.

  10. Mechanical and Structural Properties of Fluorine-Ion-Implanted Boron Suboxide

    OpenAIRE

    Machaka, Ronald; Mwakikunga, Bonex W.; Manikandan, Elayaperumal; Derry, Trevor E.; Sigalas, Iakovos; Herrmann, Mathias

    2012-01-01

    Results on a systematic study on the effects of ion implantation on the near-surface mechanical and structural properties of boron suboxide (B 6O) prepared by uniaxial hot pressing are reviewed. 150keV fluorine ions at fluences of up to 5.0 × 10 16ions/cm 2 were implanted into the ultrahard ceramic material at room temperature and characterized using Raman spectroscopy, atomic force microscopy, and scanning electron microscopy with energy-dispersive X-ray spectroscopy. Evidence of ion-beam-as...

  11. Oxygen ion implantation induced microstructural changes and electrical conductivity in Bakelite RPC detector material

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, K. V. Aneesh, E-mail: aneesh1098@gmail.com; Ravikumar, H. B., E-mail: hbr@physics.uni-mysore.ac.in [Department of Studies in Physics, University of Mysore, Mysore-570006 (India); Ranganathaiah, C., E-mail: cr@physics.uni-mysore.ac.in [Govt. Research Centre, Sahyadri Educational Institutions, Mangalore-575007 (India); Kumarswamy, G. N., E-mail: kumy79@gmail.com [Department of Studies in Physics, Amrita Vishwa Vidyapeetham, Bangalore-560035 (India)

    2016-05-06

    In order to explore the structural modification induced electrical conductivity, samples of Bakelite Resistive Plate Chamber (RPC) detector materials were exposed to 100 keV Oxygen ion in the fluences of 10{sup 12}, 10{sup 13}, 10{sup 14} and 10{sup 15} ions/cm{sup 2}. Ion implantation induced microstructural changes have been studied using Positron Annihilation Lifetime Spectroscopy (PALS) and X-Ray Diffraction (XRD) techniques. Positron lifetime parameters viz., o-Ps lifetime and its intensity shows the deposition of high energy interior track and chain scission leads to the formation of radicals, secondary ions and electrons at lower ion implantation fluences (10{sup 12} to10{sup 14} ions/cm{sup 2}) followed by cross-linking at 10{sup 15} ions/cm{sup 2} fluence due to the radical reactions. The reduction in electrical conductivity of Bakelite detector material is correlated to the conducting pathways and cross-links in the polymer matrix. The appropriate implantation energy and fluence of Oxygen ion on polymer based Bakelite RPC detector material may reduce the leakage current, improves the efficiency, time resolution and thereby rectify the aging crisis of the RPC detectors.

  12. Influence of ion implantation on the adhesion and grow of human keratinocytes

    International Nuclear Information System (INIS)

    Walachova, K.; Svorcik, V.; Dvorakova, B.; Vogtova, D.

    1999-01-01

    Interaction of keratinocytes with polymer modified by ion implantation was studied with the possibility of cultivate these cells for regeneration of dermal cover, for example, heavy burned persons. The modification on polyethylene (PE) with 100 μm thickness was processed by implantation the Ar + ions with the energy 63 keV and Xe + ions with the energy 156 keV. Some characteristics of superficial modified layers and influence of ion implantation on the adhesion and proliferation of keratinocytes were studied

  13. Raman microprobe measurements of stress in ion implanted materials

    Energy Technology Data Exchange (ETDEWEB)

    Nugent, K.W.; Prawer, S.; Weiser, P.S.; Dooley, S.P. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1993-12-31

    Raman microprobe measurements of ion implanted diamond and silicon have shown significant shifts in the Raman line due to stresses in the materials. The Raman line shifts to higher energy if the stress is compressive and to lower energy for tensile stress{sup 1}. The silicon sample was implanted in a 60 {mu}m square with 2.56 x 10{sup 17} ions per square centimeter of 2 MeV Helium. This led to the formation of raised squares with the top 370mm above the original surface. In Raman studies of silicon using visible light, the depth of penetration of the laser beam into the sample is much less than one micron. It was found that the Raman line is due to the silicon overlying the damage region. The diamond sample was implanted with 2 x 10{sup 15} ions per square centimeter of 2.8 MeV carbon. It was concluded that the Raman spectrum could provide information concerning both the magnitude and the direction of stress in an ion implanted sample. It was possible in some cases to determine whether the stress direction is parallel or perpendicular to the sample surface. 1 refs., 2 figs.

  14. Raman microprobe measurements of stress in ion implanted materials

    Energy Technology Data Exchange (ETDEWEB)

    Nugent, K W; Prawer, S; Weiser, P S; Dooley, S P [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1994-12-31

    Raman microprobe measurements of ion implanted diamond and silicon have shown significant shifts in the Raman line due to stresses in the materials. The Raman line shifts to higher energy if the stress is compressive and to lower energy for tensile stress{sup 1}. The silicon sample was implanted in a 60 {mu}m square with 2.56 x 10{sup 17} ions per square centimeter of 2 MeV Helium. This led to the formation of raised squares with the top 370mm above the original surface. In Raman studies of silicon using visible light, the depth of penetration of the laser beam into the sample is much less than one micron. It was found that the Raman line is due to the silicon overlying the damage region. The diamond sample was implanted with 2 x 10{sup 15} ions per square centimeter of 2.8 MeV carbon. It was concluded that the Raman spectrum could provide information concerning both the magnitude and the direction of stress in an ion implanted sample. It was possible in some cases to determine whether the stress direction is parallel or perpendicular to the sample surface. 1 refs., 2 figs.

  15. Evaluation of Osseointegration of Titanium Alloyed Implants Modified by Plasma Polymerization

    Directory of Open Access Journals (Sweden)

    Carolin Gabler

    2014-02-01

    Full Text Available By means of plasma polymerization, positively charged, nanometre-thin coatings can be applied to implant surfaces. The aim of the present study was to quantify the adhesion of human bone cells in vitro and to evaluate the bone ongrowth in vivo, on titanium surfaces modified by plasma polymer coatings. Different implant surface configurations were examined: titanium alloy (Ti6Al4V coated with plasma-polymerized allylamine (PPAAm and plasma-polymerized ethylenediamine (PPEDA versus uncoated. Shear stress on human osteoblast-like MG-63 cells was investigated in vitro using a spinning disc device. Furthermore, bone-to-implant contact (BIC was evaluated in vivo. Custom-made conical titanium implants were inserted at the medial tibia of female Sprague-Dawley rats. After a follow-up of six weeks, the BIC was determined by means of histomorphometry. The quantification of cell adhesion showed a significantly higher shear stress for MG-63 cells on PPAAm and PPEDA compared to uncoated Ti6Al4V. Uncoated titanium alloyed implants showed the lowest BIC (40.4%. Implants with PPAAm coating revealed a clear but not significant increase of the BIC (58.5% and implants with PPEDA a significantly increased BIC (63.7%. In conclusion, plasma polymer coatings demonstrate enhanced cell adhesion and bone ongrowth compared to uncoated titanium surfaces.

  16. The gridless plasma ion source (GIS) for plasma ion assisted optical coating

    International Nuclear Information System (INIS)

    You Dawei; Li Xiaoqian; Wang Yu; Lin Yongchang

    2004-01-01

    High-quality optical coating is a key technology for modern optics. Ion-assisted deposition technology was used to improve the vaporized coating in 1980's. The GIS (gridless ion source), which is an advanced plasma source for producing a high-quality optical coating in large area, can produce a large area uniformity>1000 mm (diameter), a high ion current density ∼0.5 mA/cm 2 , 20 eV-200 eV energetic plasma ions and can activate reactive gas and film atoms. Now we have developed a GIS system. The GIS and the plasma ion-assisted deposition technology are investigated to achieve a high-quality optical coating. The GIS is a high power and high current source with a power of 1 kW-7.5 kW, a current of 10 A- 70 A and an ion density of 200 μA/cm 2 -500 μA/cm 2 . Because of the special magnetic structure, the plasma-ion extraction efficiency has been improved to obtain a maximum ion density of 500 μA/cm 2 in the medium power (∼4 kW) level. The GIS applied is of a special cathode structure, so that the GIS operation can be maintained under a rather low power and the lifetime of cathode will be extended. The GIS has been installed in the LPSX-1200 type box coating system. The coated TiO 2 , SiO 2 films such as antireflective films with the system have the same performance reported by Leybold Co, 1992, along with a controllable refractive index and film structure. (authors)

  17. Ion-acoustic plasma turbulence

    International Nuclear Information System (INIS)

    Bychenkov, V.Y.; Silin, V.P.

    1982-01-01

    A theory is developed of the nonlinear state that is established in a plasma as a result of development of ion-acoustic instability. Account is taken simultaneously of the linear induced scattering of the waves by the ions and of the quasilinear relaxation of the electrons by the ion-acoustic pulsations. The distribution of the ion-acoustic turbulence in frequency and in angle is obtained. An Ohm's law is established and expressions are obtained for the electronic heat flux and for the relaxation time of the electron temperature in a turbulent plasma. Anomalously large absorption and scattering of the electromagnetic waves by the ion-acoustic pulsations is predicted

  18. Application of TXRF for ion implanter dose matching experiments

    Science.gov (United States)

    Frost, M. R.; French, M.; Harris, W.

    2004-06-01

    Secondary ion mass spectrometry (SIMS) has been utilized for many years to measure the dose of ion implants in silicon for the purpose of verifying the ability of ion implantation equipment to accurately and reproducibly implant the desired species at the target dose. The development of statistically and instrumentally rigorous protocols has lead to high confidence levels, particularly with regard to accuracy and short-term repeatability. For example, high-dose, high-energy B implant dosimetry can be targeted to within ±1%. However, performing dose determination experiments using SIMS does have undesirable aspects, such as being highly labor intensive and sample destructive. Modern total reflection X-ray fluorescence (TXRF) instruments are equipped with capabilities for full 300 mm wafer handling, automated data acquisition software and intense X-ray sources. These attributes enable the technique to overcome the SIMS disadvantages listed above, as well as provide unique strengths that make it potentially highly amenable to implanter dose matching. In this paper, we report on data collected to date that provides confidence that TXRF is an effective and economical method to perform these measurements within certain limitations. We have investigated a number of ion implanted species that are within the "envelope" of TXRF application. This envelope is defined by a few important parameters. Species: For the anode materials used in the more common X-ray sources on the market, each has its own set of elements that can be detected. We have investigated W and Mo X-ray sources, which are the most common in use in commercial instrumentation. Implant energy: In general, if the energy of the implanted species is too high (or more specifically, the distribution of the implanted species is too deep), the amount of dopant not detected by TXRF may be significant, increasing the error of the measurement. Therefore, for each species investigated, the implant energy cannot exceed a

  19. Formation of InN phase by sequential ion implantation

    International Nuclear Information System (INIS)

    Santhana Raman, P.; Ravichandran, V.; Nair, K.G.M.; Kesavamoorthy, R.; Kalavathi, S.; Panigrahi, B.K.; Dhara, S.

    2006-01-01

    Formation of InN phase by sequentially implanting nitrogen on indium implanted silica was demonstrated. The growth of embedded InN phase on as-implanted and post-implantation annealed sample was studied using Glancing Incidence X-Ray Diffraction (GIXRD) and Raman spectroscopy. Existence of both cubic and hexagonal phases of InN was observed. Results of irradiation induced ripening of In nanoclusters due to N + ion implantation was also studied. (author)

  20. Report on the FY 1999 investigational survey on the activation of oxygen electrode by ion implantation; 1999 nendo ion chunyuho ni yoru sanso denkyoku no kasseika ni kansuru kenkyu chosa hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    The oxygen electrode is important as the base electrode for water electrolysis and fuel cell, but to move it, overvoltage (activated energy) in addition to equilibrium voltage is necessary, which leads to the lowering of energy efficiency. By forming the active spot by ion implantation, the lowering of overvoltage was studied. The implantation of Ru{sup +} ion in Ruthenium dioxide thin film electrode reduced the oxygen generating overvoltage by 15-20mV. Even in the oxygen reduction, activity was also increased. The chemical composition of thin film does not change by ion implantation. The increase in activity is based on a physical change which is called the surface defect formation. The layer of ion implantation is composed of microcrystals, which is thought to contribute to the formation of any active spot. Ions were implanted in Pt electrode as a practical use material, and even in the oxygen reduction of Pt, a possibility of heightening activity by ion implantation was admitted even in the oxygen reduction of Pt. The generation of high activity oxygen by ion plantation and development of oxygen reduction electrode were established as one method as a rule. (NEDO)