WorldWideScience

Sample records for plasma-assisted physical vapor

  1. Plasma and Ion Assistance in Physical Vapor Deposition: AHistorical Perspective

    Energy Technology Data Exchange (ETDEWEB)

    Anders, Andre

    2007-02-28

    Deposition of films using plasma or plasma-assist can betraced back surprisingly far, namely to the 18th century for arcs and tothe 19th century for sputtering. However, only since the 1960s thecoatings community considered other processes than evaporation for largescale commercial use. Ion Plating was perhaps the first importantprocess, introducing vapor ionization and substrate bias to generate abeam of ions arriving on the surface of the growing film. Ratherindependently, cathodic arc deposition was established as an energeticcondensation process, first in the former Soviet Union in the 1970s, andin the 1980s in the Western Hemisphere. About a dozen various ion-basedcoating technologies evolved in the last decades, all characterized byspecific plasma or ion generation processes. Gridded and gridless ionsources were taken from space propulsion and applied to thin filmdeposition. Modeling and simulation have helped to make plasma and ionseffects to be reasonably well understood. Yet--due to the complex, oftennon-linear and non-equilibrium nature of plasma and surfaceinteractions--there is still a place for the experience plasma"sourcerer."

  2. Plasma and Ion Assistance in Physical Vapor Deposition: A Historical Perspective

    International Nuclear Information System (INIS)

    Anders, Andre

    2007-01-01

    Deposition of films using plasma or plasma-assist can be traced back surprisingly far, namely to the 18th century for arcs and to the 19th century for sputtering. However, only since the 1960s the coatings community considered other processes than evaporation for large scale commercial use. Ion Plating was perhaps the first important process, introducing vapor ionization and substrate bias to generate a beam of ions arriving on the surface of the growing film. Rather independently, cathodic arc deposition was established as an energetic condensation process, first in the former Soviet Union in the 1970s, and in the 1980s in the Western Hemisphere. About a dozen various ion-based coating technologies evolved in the last decades, all characterized by specific plasma or ion generation processes. Gridded and gridless ion sources were taken from space propulsion and applied to thin film deposition. Modeling and simulation have helped to make plasma and ions effects to be reasonably well understood. Yet--due to the complex, often non-linear and non-equilibrium nature of plasma and surface interactions--there is still a place for the experience plasma 'sourcerer'

  3. Gaseous material capacity of open plasma jet in plasma spray-physical vapor deposition process

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Plasma spray-physical vapor deposition (PS-PVD) process, emerging as a highly efficient hybrid approach, is based on two powerful technologies of both plasma spray and physical vapor deposition. The maximum production rate is affected by the material feed rate apparently, but it is determined by the material vapor capacity of transporting plasma actually and essentially. In order to realize high production rate, the gaseous material capacity of plasma jet must be fundamentally understood. In this study, the thermal characteristics of plasma were measured by optical emission spectrometry. The results show that the open plasma jet is in the local thermal equilibrium due to a typical electron number density from 2.1 × 1015 to 3.1 × 1015 cm-3. In this condition, the temperature of gaseous zirconia can be equal to the plasma temperature. A model was developed to obtain the vapor pressure of gaseous ZrO2 molecules as a two dimensional map of jet axis and radial position corresponding to different average plasma temperatures. The overall gaseous material capacity of open plasma jet, take zirconia for example, was further established. This approach on evaluating material capacity in plasma jet would shed light on the process optimization towards both depositing columnar coating and a high production rate of PS-PVD.

  4. Highly ionized physical vapor deposition plasma source working at very low pressure

    Science.gov (United States)

    Stranak, V.; Herrendorf, A.-P.; Drache, S.; Cada, M.; Hubicka, Z.; Tichy, M.; Hippler, R.

    2012-04-01

    Highly ionized discharge for physical vapor deposition at very low pressure is presented in the paper. The discharge is generated by electron cyclotron wave resonance (ECWR) which assists with ignition of high power impulse magnetron sputtering (HiPIMS) discharge. The magnetron gun (with Ti target) was built into the single-turn coil RF electrode of the ECWR facility. ECWR assistance provides pre-ionization effect which allows significant reduction of pressure during HiPIMS operation down to p = 0.05 Pa; this is nearly more than an order of magnitude lower than at typical pressure ranges of HiPIMS discharges. We can confirm that nearly all sputtered particles are ionized (only Ti+ and Ti++ peaks are observed in the mass scan spectra). This corresponds well with high plasma density ne ˜ 1018 m-3, measured during the HiPIMS pulse.

  5. Highly ionized physical vapor deposition plasma source working at very low pressure

    International Nuclear Information System (INIS)

    Stranak, V.; Herrendorf, A.-P.; Drache, S.; Hippler, R.; Cada, M.; Hubicka, Z.; Tichy, M.

    2012-01-01

    Highly ionized discharge for physical vapor deposition at very low pressure is presented in the paper. The discharge is generated by electron cyclotron wave resonance (ECWR) which assists with ignition of high power impulse magnetron sputtering (HiPIMS) discharge. The magnetron gun (with Ti target) was built into the single-turn coil RF electrode of the ECWR facility. ECWR assistance provides pre-ionization effect which allows significant reduction of pressure during HiPIMS operation down to p = 0.05 Pa; this is nearly more than an order of magnitude lower than at typical pressure ranges of HiPIMS discharges. We can confirm that nearly all sputtered particles are ionized (only Ti + and Ti ++ peaks are observed in the mass scan spectra). This corresponds well with high plasma density n e ∼ 10 18 m -3 , measured during the HiPIMS pulse.

  6. Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming

    2011-01-01

    In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers

  7. Novel Prospects for Plasma Spray-Physical Vapor Deposition of Columnar Thermal Barrier Coatings

    Science.gov (United States)

    Anwaar, Aleem; Wei, Lianglinag; Guo, Qian; Zhang, Baopeng; Guo, Hongbo

    2017-12-01

    Plasma spray-physical vapor deposition (PS-PVD) is an emerging coating technique that can produce columnar thermal barrier coatings from vapor phase. Feedstock treatment at the start of its trajectory in the plasma torch nozzle is important for such vapor-phase deposition. This study describes the effects of the plasma composition (Ar/He) on the plasma characteristics, plasma-particle interaction, and particle dynamics at different points spatially distributed inside the plasma torch nozzle. The results of calculations show that increasing the fraction of argon in the plasma gas mixture enhances the momentum and heat flow between the plasma and injected feedstock. For the plasma gas combination of 45Ar/45He, the total enthalpy transferred to a representative powder particle inside the plasma torch nozzle is highest ( 9828 kJ/kg). Moreover, due to the properties of the plasma, the contribution of the cylindrical throat, i.e., from the feed injection point (FIP) to the start of divergence (SOD), to the total transferred energy is 69%. The carrier gas flow for different plasma gas mixtures was also investigated by optical emission spectroscopy (OES) measurements of zirconium emissions. Yttria-stabilized zirconia (YSZ) coating microstructures were produced when using selected plasma gas compositions and corresponding carrier gas flows; structural morphologies were found to be in good agreement with OES and theoretical predictions. Quasicolumnar microstructure was obtained with porosity of 15% when applying the plasma composition of 45Ar/45He.

  8. Hydrogen plasma enhanced alignment on CNT-STM tips grown by liquid catalyst-assisted microwave plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Tung, Fa-Kuei; Yoshimura, Masamichi; Ueda, Kazuyuki; Ohira, Yutaka; Tanji, Takayoshi

    2008-01-01

    Carbon nanotubes are grown directly on a scanning tunneling microscopy tip by liquid catalyst-assisted microwave-enhanced chemical vapor deposition, and effects of hydrogen plasma treatment on the tip have been investigated in detail by field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Raman spectroscopy. The unaligned CNTs on the as-grown tip apex have been realigned and reshaped by subsequent hydrogen plasma treatment. The diameter of CNTs is enlarged mainly due to amorphous layers being re-sputtered over their outer shells

  9. Evaporation of Droplets in Plasma Spray-Physical Vapor Deposition Based on Energy Compensation Between Self-Cooling and Plasma Heat Transfer

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2017-10-01

    In the plasma spray-physical vapor deposition process (PS-PVD), there is no obvious heating to the feedstock powders due to the free molecular flow condition of the open plasma jet. However, this is in contrast to recent experiments in which the molten droplets are transformed into vapor atoms in the open plasma jet. In this work, to better understand the heating process of feedstock powders in the open plasma jet of PS-PVD, an evaporation model of molten ZrO2 is established by examining the heat and mass transfer process of molten ZrO2. The results reveal that the heat flux in PS-PVD open plasma jet (about 106 W/m2) is smaller than that in the plasma torch nozzle (about 108 W/m2). However, the flying distance of molten ZrO2 in the open plasma jet is much longer than that in the plasma torch nozzle, so the heating in the open plasma jet cannot be ignored. The results of the evaporation model show that the molten ZrO2 can be partly evaporated by self-cooling, whereas the molten ZrO2 with a diameter <0.28 μm and an initial temperature of 3247 K can be completely evaporated within the axial distance of 450 mm by heat transfer.

  10. Reactive physical vapor deposition of TixAlyN: Integrated plasma-surface modeling characterization

    International Nuclear Information System (INIS)

    Zhang Da; Schaeffer, J.K.

    2004-01-01

    Reactive physical vapor deposition (RPVD) has been widely applied in the microelectronic industry for producing thin films. Fundamental understanding of RPVD mechanisms is needed for successful process development due to the high sensitivity of film properties on process conditions. An integrated plasma equipment-target nitridation modeling infrastructure for RPVD has therefore been developed to provide mechanistic insights and assist optimal process design. The target nitridation model computes target nitride coverage based on self-consistently derived plasma characteristics from the plasma equipment model; target sputter yields needed in the plasma equipment model are also self-consistently derived taking into account the yield-suppressing effect from nitridation. The integrated modeling infrastructure has been applied to investigating RPVD processing with a Ti 0.8 Al 0.2 compound target and an Ar/N 2 gas supply. It has been found that the process produces athermal metal neutrals as the primary deposition precursor. The metal stoichiometry in the deposited film is close to the target composition due to the predominance of athermal species in the flux that reaches the substrate. Correlations between process parameters (N 2 flow, target power), plasma characteristics, surface conditions, and deposition kinetics have been studied with the model. The deposition process is characterized by two regimes when the N 2 flow rate is varied. When N 2 is dilute relative to argon, target nitride coverage increases rapidly with increasing N 2 flow. The sputter yield and deposition rate consequently decrease. For less dilute N 2 mixtures, the sputter yield and deposition rate are stable due to the saturation of target nitridation. With increasing target power, the electron density increases nearly linearly while the variation of N generation is much smaller. Target nitridation and its suppression of the sputter yield saturate at high N 2 flow rendering these parameters

  11. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  12. Properties of plasma assisted chemical vapor deposited coatings of titanium boride on Ti--6Al--4V alloy substrates

    International Nuclear Information System (INIS)

    Otter, F.A.; Amisola, G.B.; Roman, W.C.; Hay, S.O.

    1992-01-01

    Coatings prepared in a radio-frequency-plasma (plasma assisted chemical vapor deposition) reactor employing in situ laser diagnostics have been tested and characterized. Detailed characterization studies are important to relate gas phase laser diagnostic studies and concurrent heterogeneous modeling efforts to coating characteristics. Establishing how deposition conditions are correlated with coating properties is expected to provide needed methodology for scale up of applications in the hard face protective coating area. After a brief discussion of preparation conditions and mechanical test results, we present results of chemical and physical measurements on these coatings. Measurement techniques include x-ray diffraction, Dektak surface roughness, scanning tunneling microscopy, scanning electron microscopy, and SEI, Auger electron spectroscopy, x-ray photoelectron spectroscopy, and Rutherford backscattering spectroscopy. The coatings (∼20 μm thick) are very hard (40 GPa at depths over 100 nm), adherent (60 N on scratch test), and sand erosion resistant (>40x as durable as Ti-6Al-4V). They are highly oriented with the c axis (hexagonal-close-packed) normal to the coating surface, rough (∼1 μm), and off-stoichiometry (TiB 2.2 )

  13. Plasma physics

    CERN Document Server

    Drummond, James E

    1961-01-01

    A historic snapshot of the field of plasma physics, this fifty-year-old volume offers an edited collection of papers by pioneering experts in the field. In addition to assisting students in their understanding of the foundations of classical plasma physics, it provides a source of historic context for modern physicists. Highly successful upon its initial publication, this book was the standard text on plasma physics throughout the 1960s and 70s.Hailed by Science magazine as a ""well executed venture,"" the three-part treatment ranges from basic plasma theory to magnetohydrodynamics and microwa

  14. High Temperature Multilayer Environmental Barrier Coatings Deposited Via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan James; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2014-01-01

    Si-based ceramic matrix composites (CMCs) require environmental barrier coatings (EBCs) in combustion environments to avoid rapid material loss. Candidate EBC materials have use temperatures only marginally above current technology, but the addition of a columnar oxide topcoat can substantially increase the durability. Plasma Spray-Physical Vapor Deposition (PS-PVD) allows application of these multilayer EBCs in a single process. The PS-PVD technique is a unique method that combines conventional thermal spray and vapor phase methods, allowing for tailoring of thin, dense layers or columnar microstructures by varying deposition conditions. Multilayer coatings were deposited on CMC specimens and assessed for durability under high heat flux and load. Coated samples with surface temperatures ranging from 2400-2700F and 10 ksi loads using the high heat flux laser rigs at NASA Glenn. Coating morphology was characterized in the as-sprayed condition and after thermomechanical loading using electron microscopy and the phase structure was tracked using X-ray diffraction.

  15. Microwave plasma-assisted chemical vapor deposition of porous carbon film as supercapacitive electrodes

    Science.gov (United States)

    Wu, Ai-Min; Feng, Chen-Chen; Huang, Hao; Paredes Camacho, Ramon Alberto; Gao, Song; Lei, Ming-Kai; Cao, Guo-Zhong

    2017-07-01

    Highly porous carbon film (PCF) coated on nickel foam was prepared successfully by microwave plasma-assisted chemical vapor deposition (MPCVD) with C2H2 as carbon source and Ar as discharge gas. The PCF is uniform and dense with 3D-crosslinked nanoscale network structure possessing high degree of graphitization. When used as the electrode material in an electrochemical supercapacitor, the PCF samples verify their advantageous electrical conductivity, ion contact and electrochemical stability. The test results show that the sample prepared under 1000 W microwave power has good electrochemical performance. It displays the specific capacitance of 62.75 F/g at the current density of 2.0 A/g and retains 95% of its capacitance after 10,000 cycles at the current density of 2.0 A/g. Besides, its near-rectangular shape of the cyclic voltammograms (CV) curves exhibits typical character of an electric double-layer capacitor, which owns an enhanced ionic diffusion that can fit the requirements for energy storage applications.

  16. Physics of zinc vaporization and plasma absorption during CO2 laser welding

    International Nuclear Information System (INIS)

    Dasgupta, A. K.; Mazumder, J.; Li, P.

    2007-01-01

    A number of mathematical models have been developed earlier for single-material laser welding processes considering one-, two-, and three-dimensional heat and mass transfers. However, modeling of laser welding of materials with multiple compositions has been a difficult problem. This paper addresses a specific case of this problem where CO 2 laser welding of zinc-coated steel, commonly used in automobile body manufacturing, is mathematically modeled. The physics of a low boiling point material, zinc, is combined with a single-material (steel) welding model, considering multiple physical phenomena such as keyhole formation, capillary and thermocapillary forces, recoil and vapor pressures, etc. The physics of laser beam-plasma interaction is modeled to understand the effect on the quality of laser processing. Also, an adaptive meshing scheme is incorporated in the model for improving the overall computational efficiency. The model, whose results are found to be in close agreement with the experimental observations, can be easily extended for studying zinc-coated steel welding using other high power, continuous wave lasers such as Nd:YAG and Yb:YAG

  17. Time-Resolved Quantum Cascade Laser Absorption Spectroscopy of Pulsed Plasma Assisted Chemical Vapor Deposition Processes Containing BCl3

    Science.gov (United States)

    Lang, Norbert; Hempel, Frank; Strämke, Siegfried; Röpcke, Jürgen

    2011-08-01

    In situ measurements are reported giving insight into the plasma chemical conversion of the precursor BCl3 in industrial applications of boriding plasmas. For the online monitoring of its ground state concentration, quantum cascade laser absorption spectroscopy (QCLAS) in the mid-infrared spectral range was applied in a plasma assisted chemical vapor deposition (PACVD) reactor. A compact quantum cascade laser measurement and control system (Q-MACS) was developed to allow a flexible and completely dust-sealed optical coupling to the reactor chamber of an industrial plasma surface modification system. The process under the study was a pulsed DC plasma with periodically injected BCl3 at 200 Pa. A synchronization of the Q-MACS with the process control unit enabled an insight into individual process cycles with a sensitivity of 10-6 cm-1·Hz-1/2. Different fragmentation rates of the precursor were found during an individual process cycle. The detected BCl3 concentrations were in the order of 1014 molecules·cm-3. The reported results of in situ monitoring with QCLAS demonstrate the potential for effective optimization procedures in industrial PACVD processes.

  18. Structural and photoluminescence investigation on the hot-wire assisted plasma enhanced chemical vapor deposition growth silicon nanowires

    International Nuclear Information System (INIS)

    Chong, Su Kong; Goh, Boon Tong; Wong, Yuen-Yee; Nguyen, Hong-Quan; Do, Hien; Ahmad, Ishaq; Aspanut, Zarina; Muhamad, Muhamad Rasat; Dee, Chang Fu; Rahman, Saadah Abdul

    2012-01-01

    High density of silicon nanowires (SiNWs) were synthesized by a hot-wire assisted plasma enhanced chemical vapor deposition technique. The structural and optical properties of the as-grown SiNWs prepared at different rf power of 40 and 80 W were analyzed in this study. The SiNWs prepared at rf power of 40 W exhibited highly crystalline structure with a high crystal volume fraction, X C of ∼82% and are surrounded by a thin layer of SiO x . The NWs show high absorption in the high energy region (E>1.8 eV) and strong photoluminescence at 1.73 to 2.05 eV (red–orange region) with a weak shoulder at 1.65 to 1.73 eV (near IR region). An increase in rf power to 80 W reduced the X C to ∼65% and led to the formation of nanocrystalline Si structures with a crystallite size of <4 nm within the SiNWs. These NWs are covered by a mixture of uncatalyzed amorphous Si layer. The SiNWs prepared at 80 W exhibited a high optical absorption ability above 99% in the broadband range between 220 and ∼1500 nm and red emission between 1.65 and 1.95 eV. The interesting light absorption and photoluminescence properties from both SiNWs are discussed in the text. - Highlights: ► Growth of random oriented silicon nanowires using hot-wire assisted plasma enhanced chemical vapor deposition. ► Increase in rf power reduces the crystallinity of silicon nanowires. ► High density and nanocrystalline structure in silicon nanowires significant enhance the near IR light absorption. ► Oxide defects and silicon nanocrystallites in silicon nanowires reveal photoluminescence in red–orange and red regions.

  19. Performance Improvement of Microcrystalline p-SiC/i-Si/n-Si Thin Film Solar Cells by Using Laser-Assisted Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Hsin-Ying Lee

    2014-01-01

    Full Text Available The microcrystalline p-SiC/i-Si/n-Si thin film solar cells treated with hydrogen plasma were fabricated at low temperature using a CO2 laser-assisted plasma enhanced chemical vapor deposition (LAPECVD system. According to the micro-Raman results, the i-Si films shifted from 482 cm−1 to 512 cm−1 as the assisting laser power increased from 0 W to 80 W, which indicated a gradual transformation from amorphous to crystalline Si. From X-ray diffraction (XRD results, the microcrystalline i-Si films with (111, (220, and (311 diffraction were obtained. Compared with the Si-based thin film solar cells deposited without laser assistance, the short-circuit current density and the power conversion efficiency of the solar cells with assisting laser power of 80 W were improved from 14.38 mA/cm2 to 18.16 mA/cm2 and from 6.89% to 8.58%, respectively.

  20. Properties of Erbium Doped Hydrogenated Amorphous Carbon Layers Fabricated by Sputtering and Plasma Assisted Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    V. Prajzler

    2008-01-01

    Full Text Available We report about properties of carbon layers doped with Er3+ ions fabricated by Plasma Assisted Chemical Vapor Deposition (PACVD and by sputtering on silicon or glass substrates. The structure of the samples was characterized by X-ray diffraction and their composition was determined by Rutherford Backscattering Spectroscopy and Elastic Recoil Detection Analysis. The Absorbance spectrum was taken in the spectral range from 400 nm to 600 nm. Photoluminescence spectra were obtained using two types of Ar laser (λex=514.5 nm, lex=488 nm and also using a semiconductor laser (λex=980 nm. Samples fabricated by magnetron sputtering exhibited typical emission at 1530 nm when pumped at 514.5 nm. 

  1. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  2. Comprehensive physical models and simulation package for plasma/material interactions during plasma instabilities

    International Nuclear Information System (INIS)

    Hassanein, A.; Konkashbaev, I.

    1999-01-01

    Damage to plasma-facing components (PFCs) from plasma instabilities remains a major obstacle to a successful tokamak concept. The extent of the damage depends on the detailed physics of the disrupting plasma, as well as on the physics of plasma-material interactions. A comprehensive computer package called high energy interaction with general heterogeneous target systems (HEIGHTS) has been developed and consists of several integrated computer models that follow the beginning of a plasma disruption at the scrape-off layer (SOL) through the transport of the eroded debris and splashed target materials to nearby locations as a result of the deposited energy. The package can study, for the first time, plasma-turbulent behavior in the SOL and predict the plasma parameters and conditions at the divertor plate. Full two-dimensional (2-D) comprehensive radiation magnetohydrodynamic (MHD) models are coupled with target thermodynamics and liquid hydrodynamics to evaluate the integrated response of plasma-facing materials. Factors that influence the lifetime of plasma-facing and nearby components, such as loss of vapor cloud confinement and vapor removal due to MHD effects, damage to nearby components due to intense vapor radiation, melt splashing, and brittle destruction of target materials, are also modeled and discussed. (orig.)

  3. Comprehensive physical models and simulation package for plasma/material interactions during plasma instabilities

    International Nuclear Information System (INIS)

    Hassanein, A.

    1998-01-01

    Damage to plasma-facing components (PFCS) from plasma instabilities remains a major obstacle to a successful tokamak concept. The extent of the damage depends on the detailed physics of the disrupting plasma, as well as on the physics of plasma-material interactions. A comprehensive computer package called High Energy Interaction with General Heterogeneous Target Systems (HEIGHTS) has been developed and consists of several integrated computer models that follow the beginning of a plasma disruption at the scrape-off layer (SOL) through the transport of the eroded debris and splashed target materials to nearby locations as a result of the deposited energy. The package can study, for the first time, plasma-turbulent behavior in the SOL and predict the plasma parameters and conditions at the divertor plate. Full two-dimensional (2-D) comprehensive radiation magnetohydrodynamic (MHD) models are coupled with target thermodynamics and liquid hydrodynamics to evaluate the integrated response of plasma-facing materials. Factors that influence the lifetime of plasma-facing and nearby components, such as loss of vapor-cloud confinement and vapor removal due to MHD effects, damage to nearby components due to intense vapor radiation, melt splashing, and brittle destruction of target materials, are also modeled and discussed

  4. Plasma-surface interaction at sharp edges and corners during ion-assisted physical vapor deposition. Part I: Edge-related effects and their influence on coating morphology and composition

    International Nuclear Information System (INIS)

    Macak, E.B.; Muenz, W.-D.; Rodenburg, J.M.

    2003-01-01

    Ion-assisted physical vapor deposition (PVD) is a common industrial method for growing thin coatings of various interstitial nitride alloys. The interaction between the ions and three-dimensional nonflat samples during the deposition can, however, lead to unwanted local changes in the properties of the coating and thus its performance. We analyze the characteristics of the ion bombardment during ion-assisted PVD on sharp convex substrates and their effect on the growing coating. We show that the magnitude and the spatial extent of the edge-related changes are directly related to the characteristics of the plasma sheath around the biased edges. We examine the influence of the edge geometry and the deposition conditions. The edge-related effects are studied on the example of wedge-shaped samples coated with TiAlN/VN by closed-field unbalanced magnetron deposition process using high-flux low-energy Ar + -ion irradiation (J i /J me ∼4, E i =75-150 eV). The samples are analyzed by scanning electron microscopy and energy-dispersive x-ray spectroscopy. Significant changes in the morphology, thickness, and composition of the coatings are found in the edge region. In order to account for the changes, we apply a self-consistent model of the plasma sheath around wedge-shaped samples proposed by Watterson [J. Phys. D 22, 1300 (1989)], to our conditions. For a 30 deg. wedge coated at -150 V, the resputtering rate in the edge region is found to be increased by up to ten times as compared to flat substrate areas. The effect is due to the combined action of an increased ion flux and increased sputtering yield as a result of the nonperpendicular angle of incidence of ions in the edge region. The situation at sharp corners, where even more severe effects are observed, is analyzed and modeled in the companion article E. B. Macak et al., J. Appl. Phys. (2003) (Part II)

  5. Plasma-assisted synthesis of MoS2

    Science.gov (United States)

    Campbell, Philip M.; Perini, Christopher J.; Chiu, Johannes; Gupta, Atul; Ray, Hunter S.; Chen, Hang; Wenzel, Kevin; Snyder, Eric; Wagner, Brent K.; Ready, Jud; Vogel, Eric M.

    2018-03-01

    There has been significant interest in transition metal dichalcogenides (TMDs), including MoS2, in recent years due to their potential application in novel electronic and optical devices. While synthesis methods have been developed for large-area films of MoS2, many of these techniques require synthesis temperatures of 800 °C or higher. As a result of the thermal budget, direct synthesis requiring high temperatures is incompatible with many integrated circuit processes as well as flexible substrates. This work explores several methods of plasma-assisted synthesis of MoS2 as a way to lower the synthesis temperature. The first approach used is conversion of a naturally oxidized molybdenum thin film to MoS2 using H2S plasma. Conversion is demonstrated at temperatures as low as 400 °C, and the conversion is enabled by hydrogen radicals which reduce the oxidized molybdenum films. The second method is a vapor phase reaction incorporating thermally evaporated MoO3 exposed to a direct H2S plasma, similar to chemical vapor deposition (CVD) synthesis of MoS2. Synthesis at 400 °C results in formation of super-stoichiometric MoS2 in a beam-interrupted growth process. A final growth method relies on a cyclical process in which a small amount of Mo is sputtered onto the substrate and is subsequently sulfurized in a H2S plasma. Similar results could be realized using an atomic layer deposition (ALD) process to deposit the Mo film. Compared to high temperature synthesis methods, the lower temperature samples are lower quality, potentially due to poor crystallinity or higher defect density in the films. Temperature-dependent conductivity measurements are consistent with hopping conduction in the plasma-assisted synthetic MoS2, suggesting a high degree of disorder in the low-temperature films. Optimization of the plasma-assisted synthesis process for slower growth rate and better stoichiometry is expected to lead to high quality films at low growth temperature.

  6. Observation and particle simulation of vaporized W, Mo, and Be in PISCES-B plasma for vapor-shielding studies

    Directory of Open Access Journals (Sweden)

    K. Ibano

    2017-08-01

    Full Text Available Interactions of Tungsten (W, Molybdenum (Mo, and Beryllium (Be vapors with a steady-state plasma were studied by the PISCES-B liner plasma experiments as well as Particle-In-Cell (PIC simulations for the understanding of vapor-shielding phenomena. Effective cooling of the plasma by laser-generated Be vapor was observed in PISCES-B. On the other hand, no apparent cooling was observed for W and Mo vapors. The PIC simulation explains these experimental observations of the difference between low-Z and high-Z vapors. Decrease of electron temperature due to the vapor ejection was observed in case of a simulation of the Be vapor. As for the W vapor, it was found that the plasma cooling is localized only near the wall at a higher electron density plasma (∼1019m−3. On the other hand, the appreciable plasma cooling can be observed in a lower density plasma (∼1018m−3 for the W vapor.

  7. Low temperature metal free growth of graphene on insulating substrates by plasma assisted chemical vapor deposition

    Science.gov (United States)

    Muñoz, R.; Munuera, C.; Martínez, J. I.; Azpeitia, J.; Gómez-Aleixandre, C.; García-Hernández, M.

    2017-03-01

    Direct growth of graphene films on dielectric substrates (quartz and silica) is reported, by means of remote electron cyclotron resonance plasma assisted chemical vapor deposition r-(ECR-CVD) at low temperature (650 °C). Using a two step deposition process- nucleation and growth- by changing the partial pressure of the gas precursors at constant temperature, mostly monolayer continuous films, with grain sizes up to 500 nm are grown, exhibiting transmittance larger than 92% and sheet resistance as low as 900 Ω sq-1. The grain size and nucleation density of the resulting graphene sheets can be controlled varying the deposition time and pressure. In additon, first-principles DFT-based calculations have been carried out in order to rationalize the oxygen reduction in the quartz surface experimentally observed. This method is easily scalable and avoids damaging and expensive transfer steps of graphene films, improving compatibility with current fabrication technologies.

  8. CMAS Interactions with Advanced Environmental Barrier Coatings Deposited via Plasma Spray- Physical Vapor Deposition

    Science.gov (United States)

    Harder, B. J.; Wiesner, V. L.; Zhu, D.; Johnson, N. S.

    2017-01-01

    Materials for advanced turbine engines are expected to have temperature capabilities in the range of 1370-1500C. At these temperatures the ingestion of sand and dust particulate can result in the formation of corrosive glass deposits referred to as CMAS. The presence of this glass can both thermomechanically and thermochemically significantly degrade protective coatings on metallic and ceramic components. Plasma Spray- Physical Vapor Deposition (PS-PVD) was used to deposit advanced environmental barrier coating (EBC) systems for investigation on their interaction with CMAS compositions. Coatings were exposed to CMAS and furnace tested in air from 1 to 50 hours at temperatures ranging from 1200-1500C. Coating composition and crystal structure were tracked with X-ray diffraction and microstructure with electron microscopy.

  9. Properties of amorphous silicon thin films synthesized by reactive particle beam assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Choi, Sun Gyu; Wang, Seok-Joo; Park, Hyeong-Ho; Jang, Jin-Nyoung; Hong, MunPyo; Kwon, Kwang-Ho; Park, Hyung-Ho

    2010-01-01

    Amorphous silicon thin films were formed by chemical vapor deposition of reactive particle beam assisted inductively coupled plasma type with various reflector bias voltages. During the deposition, the substrate was heated at 150 o C. The effects of reflector bias voltage on the physical and chemical properties of the films were systematically studied. X-ray diffraction and Raman spectroscopy results showed that the deposited films were amorphous and the films under higher reflector voltage had higher internal energy to be easily crystallized. The chemical state of amorphous silicon films was revealed as metallic bonding of Si atoms by using X-ray photoelectron spectroscopy. An increase in reflector voltage induced an increase of surface morphology of films and optical bandgap and a decrease of photoconductivity.

  10. Thermal recrystallization of physical vapor deposition based germanium thin films on bulk silicon (100)

    KAUST Repository

    Hussain, Aftab M.

    2013-08-16

    We demonstrate a simple, low-cost, and scalable process for obtaining uniform, smooth surfaced, high quality mono-crystalline germanium (100) thin films on silicon (100). The germanium thin films were deposited on a silicon substrate using plasma-assisted sputtering based physical vapor deposition. They were crystallized by annealing at various temperatures ranging from 700 °C to 1100 °C. We report that the best quality germanium thin films are obtained above the melting point of germanium (937 °C), thus offering a method for in-situ Czochralski process. We show well-behaved high-κ /metal gate metal-oxide-semiconductor capacitors (MOSCAPs) using this film. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Low-temperature synthesis of diamond films by photoemission-assisted plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kawata, Mayuri, E-mail: kawata@mail.tagen.tohoku.ac.jp; Ojiro, Yoshihiro; Ogawa, Shuichi; Takakuwa, Yuji [Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Masuzawa, Tomoaki; Okano, Ken [International Christian University, 3-10-2 Osawa, Mitaka 181-8585 (Japan)

    2014-03-15

    Photoemission-assisted plasma-enhanced chemical vapor deposition (PA-PECVD), a process in which photoelectrons emitted from a substrate irradiated with ultraviolet light are utilized as a trigger for DC discharge, was investigated in this study; specifically, the DC discharge characteristics of PA-PECVD were examined for an Si substrate deposited in advance through hot-filament chemical vapor deposition with a nitrogen-doped diamond layer of thickness ∼1 μm. Using a commercially available Xe excimer lamp (hν = 7.2 eV) to illuminate the diamond surface with and without hydrogen termination, the photocurrents were found to be 3.17 × 10{sup 12} and 2.11 × 10{sup 11} electrons/cm{sup 2}/s, respectively. The 15-fold increase in photocurrent was ascribed to negative electron affinity (NEA) caused by hydrogen termination on the diamond surfaces. The DC discharge characteristics revealed that a transition bias voltage from a Townsend-to-glow discharge was considerably decreased because of NEA (from 490 to 373 V for H{sub 2} gas and from 330 to 200 V for Ar gas), enabling a reduction in electric power consumption needed to synthesize diamond films through PA-PECVD. In fact, the authors have succeeded in growing high-quality diamond films of area 2.0 cm{sup 2} at 540 °C with a discharge power of only 1.8 W, plasma voltage of 156.4 V, and discharge current of 11.7 mA under the glow discharge of CH{sub 4}/H{sub 2}/Ar mixed gases. In addition to having only negligible amounts of graphite and amorphous carbon, the diamond films exhibit a relatively high diamond growth rate of 0.5 μm/h at temperatures as low as 540 °C, which is attributed to Ar{sup +} ions impinging on the diamond surface, and causing the removal of hydrogen atoms from the surface through sputtering. This process leads to enhanced CH{sub x} radical adsorption, because the sample was applied with a negative potential to accelerate photoelectrons in PA-PECVD.

  12. Laser pulse propagation in a meter scale rubidium vapor/plasma cell in AWAKE experiment

    Energy Technology Data Exchange (ETDEWEB)

    Joulaei, A. [Max-Planck Institute for Physics, Munich (Germany); University of Mazandaran (Iran, Islamic Republic of); Moody, J. [Max-Planck Institute for Physics, Munich (Germany); Berti, N.; Kasparian, J. [University of Geneva (Switzerland); Mirzanejhad, S. [University of Mazandaran (Iran, Islamic Republic of); Muggli, P. [Max-Planck Institute for Physics, Munich (Germany)

    2016-09-01

    We present the results of numerical studies of laser pulse propagating in a 3.5 cm Rb vapor cell in the linear dispersion regime by using a 1D model and a 2D code that has been modified for our special case. The 2D simulation finally aimed at finding laser beam parameters suitable to make the Rb vapor fully ionized to obtain a uniform, 10 m-long, at least 1 mm in radius plasma in the next step for the AWAKE experiment. - Highlights: • Discussion the AWAKE plasma source based on photoionization of rubidium vapor with a TW/cm^2 Intensity laser with a spectrum across valence ground state transition resonances. • Examines the propagation of the AWAKE ionization laser through rubidium vapor at design density on a small scale and reduced intensity with a linear numerical model compared to experimental results. • Discusses physics of pulse propagation through the vapor at high intensity regime where strong ionization occurs within the laser pulse.

  13. Solvent-vapor-assisted imprint lithography

    NARCIS (Netherlands)

    Voicu, Nicoleta E.; Ludwigs, Sabine; Crossland, Edward J. W.; Andrew, Piers; Steiner, Ullrich

    2007-01-01

    Sub-micrometer features are replicated into high-molecular-weight polymer resists by using solvent-assisted nanoimprint lithography (see figure). By swelling the polymer in a controlled solvent-vapor atmosphere, millibar pressures and ambient temperatures are sufficient to achieve high-fidelity

  14. Microstructural Effects and Properties of Non-line-of-Sight Coating Processing via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2017-08-01

    Plasma spray-physical vapor deposition (PS-PVD) is a unique processing method that bridges the gap between conventional thermal spray and vapor phase methods, and enables highly tailorable coatings composed of a variety of materials in thin, dense layers or columnar microstructures with modification of the processing conditions. The strengths of this processing technique are material and microstructural flexibility, deposition speed, and potential for non-line-of-sight (NLOS) capability by vaporization of the feedstock material. The NLOS capability of PS-PVD is investigated here using yttria-stabilized zirconia and gadolinium zirconate, which are materials of interest for turbine engine applications. PS-PVD coatings were applied to static cylindrical substrates approximately 6-19 mm in diameter to study the coating morphology as a function of angle. In addition, coatings were deposited on flat substrates under various impingement configurations. Impingement angle had significant effects on the deposition mode, and microscopy of coatings indicated that there was a shift in the deposition mode at approximately 90° from incidence on the cylindrical samples, which may indicate the onset of more turbulent flow and PVD-like growth. Coatings deposited at non-perpendicular angles exhibited a higher density and nearly a 2× improvement in erosion performance when compared to coatings deposited with the torch normal to the surface.

  15. Vaporization studies of plasma interactive materials in simulated plasma disruption events

    International Nuclear Information System (INIS)

    Stone, C.A. IV; Croessmann, C.D.; Whitley, J.B.

    1988-03-01

    The melting and vaporization that occur when plasma facing materials are subjected to a plasma disruption will severely limit component lifetime and plasma performance. A series of high heat flux experiments was performed on a group of fusion reactor candidate materials to model material erosion which occurs during plasma disruption events. The Electron Beam Test System was used to simulate single disruption and multiple disruption phenomena. Samples of aluminum, nickel, copper, molybdenum, and 304 stainless steel were subjected to a variety of heat loads, ranging from 100 to 400 msec pulses of 8 to 18 kWcm 2 . It was found that the initial surface temperature of a material strongly influences the vaporization process and that multiple disruptions do not scale linearly with respect to single disruption events. 2 refs., 9 figs., 5 tabs

  16. PWFA plasma source - interferometric diagnostics for Li vapor density measurements

    International Nuclear Information System (INIS)

    Sivakumaran, V.; Mohandas, K.K.; Singh, Sneha; Ravi Kumar, A.V.

    2015-01-01

    A prototype (40 cm long) plasma source based on Li heat pipe oven has been developed for the Plasma Wakefield Acceleration (PWFA) experiments at IPR (IPR), Gujarat as a part of the ongoing Accelerator Programme. Li vapor in the oven is produced by heating solid Li in helium buffer gas. A uniform column of Li plasma is generated by UV photo ionization (193 nm) of the Li vapor in the heat pipe oven. In these experiments, an accurate measurement of Li vapor density is important as it has got a direct consequence on the plasma electron density. In the present experiment, the vapor density is measured optically by using Hook method (spectrally resolved white light interferometry). The hook like structure formed near the vicinity of the Li 670.8 nm resonance line was recorded with a white light Mach Zehnder interferometer crossed with an imaging spectrograph to estimate the Li vapor density. The vapor density measurements have been carried out as a function of external oven temperature and the He buffer gas pressure. This technique has the advantage of being insensitive to line broadening and line shape, and its high dynamic range even with optically thick absorption line. Here, we present the line integrated Lithium vapor density measurement using Hook method and also compare the same with other optical diagnostic techniques (White light absorption and UV absorption) for Li vapor density measurements. (author)

  17. High temperature dielectric properties of (BxNyOz thin films deposited using ion source assisted physical vapor deposition

    Directory of Open Access Journals (Sweden)

    N. Badi

    2015-12-01

    Full Text Available The dielectric integrity has been one of the major obstacle in bringing out capacitor devices with suitable performance characteristics at high temperatures. In this paper, BxNyOz dielectric films for high temperature capacitors solutions are investigated. The films were grown on silicon substrate by using ion source assisted physical vapor deposition technique. The as-grown films were characterized by SEM, XRD, and XPS. The capacitor structures were fabricated using BxNyOz as a dielectric and titanium as metal electrodes. The elaborated devices were subjected to electrical and thermal characterization. They exhibited low electrical loss and very good stability when subjected to high temperature for a prolonged period of time.

  18. Water-assisted growth of graphene-carbon nanotube hybrids in plasma

    Science.gov (United States)

    Tewari, Aarti; Ghosh, Santanu; Srivastava, Pankaj

    2018-04-01

    The enhanced growth of graphene-carbon nanotube (CNT) hybrids in a hydrocarbon and hydrogen plasma assisted by water is numerically formulated. The catalyst activity and agglomeration of catalyst particles are the rate determining factors in the growth of hybrids and their constituents, i.e., the CNT and graphene. The water vapor concentration is varied to investigate its effect on the growth process. The enhanced catalyst activity on account of oxidation by hydroxyl ions of water to impede the agglomeration of catalyst particles and the removal of amorphous carbon through etching by hydrogen ions of water are seen to be the main driving forces behind the many fold increase in the dimensions of constituent nanostructures and the hybrids with water vapor concentration. Importantly, beyond a certain specific water vapor concentration, the growth rates dropped due to active oxidation of the catalyst particle.

  19. RF-plasma vapor deposition of siloxane on paper. Part 1: Physical evolution of paper surface

    Science.gov (United States)

    Sahin, Halil Turgut

    2013-01-01

    An alternative, new approach to improve the hydrophobicity and barrier properties of paper was evaluated by radio-frequency (RF) plasma octamethylcyclotetrasiloxane (OMCTSO) vapor treatment. The interaction between OMCTSO and paper, causing the increased hydophobicity, is likely through covalent bonding. The deposited thin silicone-like polymeric layer from OMCTSO plasma treatment possessed desirable hydrophobic properties. The SEM micrographs showed uniformly distributed grainy particles with various shapes on the paper surface. Deposition of the silicone polymer-like layer with the plasma treatment affects the distribution of voids in the network structure and increases the barrier against water intake and air. The water absorptivity was reduced by 44% for the OMCTSO plasma treated sheet. The highest resistance to air flow was an approximately 41% lower air permeability than virgin paper.

  20. Vaporized wall material/plasma interaction during plasma disruption

    International Nuclear Information System (INIS)

    Merrill, B.J.; Carroll, M.C.; Jardin, S.C.

    1983-01-01

    The purpose of this paper is to discuss a new plasma disruption model that has been developed for analyzing the consequences to the limiter/first wall structures. This model accounts for: nonequilibrium surface vaporization for the ablating structure, nonequilibrium ionization of and radiation emitted from the ablated material in the plasma, plasma particle and energy transport, and plasma electromagnetic field evolution during the disruption event. Calculations were performed for a 5 ms disruption on a stainless steel flat limiter as part of a D-shaped first wall. These results indicated that the effectiveness of the ablated wall material to shield the exposed structure is greater than predicted by earlier models, and that the rate of redeposition of the ablated wall material ions is very dramatic. Impurity transport along magnetic field lines, global plasma motion, and radiation transport in an optically thick plasma are important factors that require additional modeling. Experimental measurements are needed to verify these models

  1. Microspectroscopic imaging of solution plasma: How do its physical properties and chemical species evolve in atmospheric-pressure water vapor bubbles?

    Science.gov (United States)

    Yui, Hiroharu; Banno, Motohiro

    2018-01-01

    In this article, we review the development of scientific instruments for obtaining information on the evolution of physical properties and chemical species of solution plasma (SP). When a pulsed high voltage is applied between electrodes immersed in an aqueous solution, SP is formed in water vapor bubbles transiently generated in the solution under atmospheric pressure. To clarify how SP emerges in water vapor bubbles and is sustained in solutions, an instrument with micrometer spatial resolution and nanosecond temporal resolution is required. To meet these requirements, a microscopic system with a custom-made optical discharge cell was newly developed, where the working distance between the SP and the microscopic objective lens was minimized. A hollow electrode equipped in the discharge cell also enabled us to control the chemical composition in water vapor bubbles. To study the spatial and temporal evolutions of chemical species in micrometer and nano- to microsecond regions, a streak camera with a spectrometer and a CCD detector with a time-gated electronic device were combined with the microscope system. The developed instrument is expected to contribute to providing a new means of developing new schemes for chemical reactions and material syntheses.

  2. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  3. Experimental setup for producing tungsten coated graphite tiles using plasma enhanced chemical vapor deposition technique for fusion plasma applications

    International Nuclear Information System (INIS)

    Chauhan, Sachin Singh; Sharma, Uttam; Choudhary, K.K.; Sanyasi, A.K.; Ghosh, J.; Sharma, Jayshree

    2013-01-01

    Plasma wall interaction (PWI) in fusion grade machines puts stringent demands on the choice of materials in terms of high heat load handling capabilities and low sputtering yields. Choice of suitable material still remains a challenge and open topic of research for the PWI community. Carbon fibre composites (CFC), Beryllium (Be), and Tungsten (W) are now being considered as first runners for the first wall components of future fusion machines. Tungsten is considered to be one of the suitable materials for the job because of its superior properties than carbon like low physical sputtering yield and high sputter energy threshold, high melting point, fairly high re-crystallization temperature, low fuel retention capabilities, low chemical sputtering with hydrogen and its isotopes and most importantly the reparability with various plasma techniques both ex-situ and in-situ. Plasma assisted chemical vapour deposition is considered among various techniques as the most preferable technique for fabricating tungsten coated graphite tiles to be used as tokamak first wall and target components. These coated tiles are more favourable compared to pure tungsten due to their light weight and easier machining. A system has been designed, fabricated and installed at SVITS, Indore for producing tungsten coated graphite tiles using Plasma Enhanced Chemical Vapor Deposition (PE-CVD) technique for Fusion plasma applications. The system contains a vacuum chamber, a turbo-molecular pump, two electrodes, vacuum gauges, mass analyzer, mass flow controllers and a RF power supply for producing the plasma using hydrogen gas. The graphite tiles will be put on one of the electrodes and WF6 gas will be inserted in a controlled manner in the hydrogen plasma to achieve the tungsten-coating with WF6 dissociation. The system is integrated at SVITS, Indore and a vacuum of the order of 3*10 -6 is achieved and glow discharge plasma has been created to test all the sub-systems. The system design with

  4. Physical properties of dense, low-temperature plasmas

    International Nuclear Information System (INIS)

    Redmer, R.

    1997-01-01

    Plasmas occur in a wide range of the density-temperature plane. The physical quantities can be expressed by Green's functions which are evaluated by means of standard quantum statistical methods. The influences of many-particle effects such as dynamic screening and self-energy, structure factor and local-field corrections, formation and decay of bound states, degeneracy and Pauli exclusion principle are studied. As a basic concept for partially ionized plasmas, a cluster decomposition is performed for the self-energy as well as for the polarization function. The general model of a partially ionized plasma interpolates between low-density, nonmetallic systems such as atomic vapors and high-density, conducting systems such as metals or fully ionized plasmas. The equations of state, including the location of the critical point and the shape of the coexistence curve, are determined for expanded alkali-atom and mercury fluids. The occurrence of a metal-nonmetal transition near the critical point of the liquid-vapor phase transition leads in these materials to characteristic deviations from the behavior of nonconducting fluids such as the inert gases. Therefore, a unified approach is needed to describe the drastic changes of the electronic properties as well as the variation of the physical properties with the density. Similar results are obtained for the hypothetical plasma phase transition in hydrogen plasma. The transport coefficients (electrical and thermal conductivity, thermopower) are studied wthin linear response theory given here in the formulation of Zubarev which is valid for arbitrary degeneracy and yields the transport coefficients for the limiting cases of nondegenerate, weakly coupled plasmas (Spitzer theory) as well as degenerate, strongly coupled plasmas (Ziman theory). mercury within the MHNC scheme via effective ion-ion potentials which are derived from the polarization function within an extended RPA. The optical properties of dense plasmas, the shift

  5. Synergy between plasma-assisted ALD and roll-to-roll atmospheric pressure PE-CVD processing of moisture barrier films on polymers

    NARCIS (Netherlands)

    Starostin, S.A.; Keuning, W.; Schalken, J.R.G.; Creatore, M.; Kessels, W.M.M.; Bouwstra, J.B.; Sanden, van de M.C.M.; Vries, de H.W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  6. Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers

    NARCIS (Netherlands)

    Starostin, S. A.; Keuning, W.; Schalken, J.; Creatore, M.; Kessels, W. M. M.; Bouwstra, J. B.; van de Sanden, M. C. M.; de Vries, H. W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  7. Plasma and process characterization of high power magnetron physical vapor deposition with integrated plasma equipment--feature profile model

    International Nuclear Information System (INIS)

    Zhang Da; Stout, Phillip J.; Ventzek, Peter L.G.

    2003-01-01

    High power magnetron physical vapor deposition (HPM-PVD) has recently emerged for metal deposition into deep submicron features in state of the art integrated circuit fabrication. However, the plasma characteristics and process mechanism are not well known. An integrated plasma equipment-feature profile modeling infrastructure has therefore been developed for HPM-PVD deposition, and it has been applied to simulating copper seed deposition with an Ar background gas for damascene metalization. The equipment scale model is based on the hybrid plasma equipment model [M. Grapperhaus et al., J. Appl. Phys. 83, 35 (1998); J. Lu and M. J. Kushner, ibid., 89, 878 (2001)], which couples a three-dimensional Monte Carlo sputtering module within a two-dimensional fluid model. The plasma kinetics of thermalized, athermal, and ionized metals and the contributions of these species in feature deposition are resolved. A Monte Carlo technique is used to derive the angular distribution of athermal metals. Simulations show that in typical HPM-PVD processing, Ar + is the dominant ionized species driving sputtering. Athermal metal neutrals are the dominant deposition precursors due to the operation at high target power and low pressure. The angular distribution of athermals is off axis and more focused than thermal neutrals. The athermal characteristics favor sufficient and uniform deposition on the sidewall of the feature, which is the critical area in small feature filling. In addition, athermals lead to a thick bottom coverage. An appreciable fraction (∼10%) of the metals incident to the wafer are ionized. The ionized metals also contribute to bottom deposition in the absence of sputtering. We have studied the impact of process and equipment parameters on HPM-PVD. Simulations show that target power impacts both plasma ionization and target sputtering. The Ar + ion density increases nearly linearly with target power, different from the behavior of typical ionized PVD processing. The

  8. The gridless plasma ion source (GIS) for plasma ion assisted optical coating

    International Nuclear Information System (INIS)

    You Dawei; Li Xiaoqian; Wang Yu; Lin Yongchang

    2004-01-01

    High-quality optical coating is a key technology for modern optics. Ion-assisted deposition technology was used to improve the vaporized coating in 1980's. The GIS (gridless ion source), which is an advanced plasma source for producing a high-quality optical coating in large area, can produce a large area uniformity>1000 mm (diameter), a high ion current density ∼0.5 mA/cm 2 , 20 eV-200 eV energetic plasma ions and can activate reactive gas and film atoms. Now we have developed a GIS system. The GIS and the plasma ion-assisted deposition technology are investigated to achieve a high-quality optical coating. The GIS is a high power and high current source with a power of 1 kW-7.5 kW, a current of 10 A- 70 A and an ion density of 200 μA/cm 2 -500 μA/cm 2 . Because of the special magnetic structure, the plasma-ion extraction efficiency has been improved to obtain a maximum ion density of 500 μA/cm 2 in the medium power (∼4 kW) level. The GIS applied is of a special cathode structure, so that the GIS operation can be maintained under a rather low power and the lifetime of cathode will be extended. The GIS has been installed in the LPSX-1200 type box coating system. The coated TiO 2 , SiO 2 films such as antireflective films with the system have the same performance reported by Leybold Co, 1992, along with a controllable refractive index and film structure. (authors)

  9. Terahertz radiation in alkali vapor plasmas

    International Nuclear Information System (INIS)

    Sun, Xuan; Zhang, X.-C.

    2014-01-01

    By taking advantage of low ionization potentials of alkali atoms, we demonstrate terahertz wave generation from cesium and rubidium vapor plasmas with an amplitude nearly one order of magnitude larger than that from nitrogen gas at low pressure (0.02–0.5 Torr). The observed phenomena are explained by the numerical modeling based upon electron tunneling ionization

  10. Instantaneous formation of SiOx nanocomposite for high capacity lithium ion batteries by enhanced disproportionation reaction during plasma spray physical vapor deposition.

    Science.gov (United States)

    Tashiro, Tohru; Dougakiuchi, Masashi; Kambara, Makoto

    2016-01-01

    Nanocomposite SiO x particles have been produced by a single step plasma spray physical vapor deposition (PS-PVD) through rapid condensation of SiO vapors and the subsequent disproportionation reaction. Core-shell nanoparticles, in which 15 nm crystalline Si is embedded within the amorphous SiO x matrix, form under typical PS-PVD conditions, while 10 nm amorphous particles are formed when processed with an increased degree of non-equilibrium effect. Addition of CH 4 promotes reduction in the oxygen content x of SiO x , and thereby increases the Si volume in a nanocomposite particle. As a result, core-shell nanoparticles with x  = 0.46 as anode exhibit increased initial efficiency and the capacity of lithium ion batteries while maintaining cyclability. Furthermore, it is revealed that the disproportionation reaction of SiO is promoted in nanosized particles attaining increased Si diffusivity by two orders of magnitude compared to that in bulk, which facilitates instantaneous composite nanoparticle formation during PS-PVD.

  11. One-step synthesis of chlorinated graphene by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Liwei; Zhang, Hui; Zhang, Pingping; Sun, Xuhui, E-mail: xhsun@suda.edu.cn

    2015-08-30

    Highlights: • We developed a simple approach to synthesize the single layer chlorinated graphene. • CuCl{sub 2} on Cu surface is used as Cl source under the plasma treatment. • The formation of covalent C−Cl bond has been investigated by Raman and XPS. • Raman results indicate the p-type doping effect of chlorination. - Abstract: We developed an approach to synthesize the chlorinated single layer graphene (Cl-G) by one-step plasma enhanced chemical vapor deposition. Copper foil was simply treated with hydrochloric acid and then CuCl{sub 2} formed on the surface was used as Cl source under the assistance of plasma treatment. Compared with other two-step methods by post plasma/photochemical treatment of CVD-grown single layer graphene (SLG), one-step Cl-G synthesis approach is quite straightforward and effective. X-ray photoelectron spectroscopy (XPS) revealed that ∼2.45 atom% Cl remained in SLG. Compared with the pristine SLG, the obvious blue shifts of G band and 2D band along with the appearance of D’ band and D + G band in the Raman spectra indicate p-type doping of Cl-G.

  12. Microwave assisted chemical vapor infiltration

    International Nuclear Information System (INIS)

    Devlin, D.J.; Currier, R.P.; Barbero, R.S.; Espinoza, B.F.; Elliott, N.

    1991-01-01

    A microwave assisted process for production of continuous fiber reinforced ceramic matrix composites is described. A simple apparatus combining a chemical vapor infiltration reactor with a conventional 700 W multimode oven is described. Microwave induced inverted thermal gradients are exploited with the ultimate goal of reducing processing times on complex shapes. Thermal gradients in stacks of SiC (Nicalon) cloths have been measured using optical thermometry. Initial results on the ''inside out'' deposition of SiC via decomposition of methyltrichlorosilane in hydrogen are presented. Several key processing issues are identified and discussed. 5 refs

  13. 15th International Congress on Plasma Physics & 13th Latin American Workshop on Plasma Physics

    Science.gov (United States)

    Soto, Leopoldo

    2014-05-01

    : Fundamentals of Plasma Physics, Fusion Plasmas, Plasmas in Astrophysics and Space Physics, Plasma Applications and Technologies, Complex Plasmas, High Energy Density Plasmas, Quantum Plasmas, Laser-Plasma Interaction and among others. A total of 180 delegates from 34 different countries took part in the ICPP-LAWPP-2010. Sixty delegates received economical assistance from the local organized committee, thanks to the support of the International Union for Pure and Applied Physics (IUPAP) and the Chilean Nuclear Energy Commission (CCHEN). The ICPP-LAWPP-2010 Program was elaborated by the following Program Committee: Carlos Alejaldre, ITER Maria Virginia Alves, Brazil Julio Herrera, Mexico Günter Mank, IAEA George Morales, USA Padma Kant Shukla, Germany Guido Van Oost, Belgium Leopoldo Soto, Chile (Chairman) This Program Committee was formed by selected members from the International Advisory Committee of the ICPP and by selected members from the International Advisory Committee of the LAWPP. In particular, Plenary Lectures and Invited Topical Lectures were selected by the Program Committee from a list of nominated presentations by the International Advisory Committees of both ICPP and LAWPP. Also, the classification of oral and poster presentations was elaborated by the Program Committee. The congress included: 15 invited plenary talks, 33 invited topical talks, 45 oral contributions, and 160 poster contributions. A major part of the plenary and topical lectures were published in a special issue of the Plasma Physics and Controlled Fusion, IOP Publishing (Plasma Phys. Control Fusion Volume 53, Number 7, July 2011: http://iopscience.iop.org/0741-3335/53/7). The papers were refereed according to the standards of the journal Plasma Physics and Controlled Fusion. An large number of the participants sent their contributions articles to this volume of Journal of Physics: Conference Series, IOP Publishing. The articles received were reviewed by the local organizing committee and by

  14. Highly ionized physical vapor deposition plasma source working at very low pressure

    Czech Academy of Sciences Publication Activity Database

    Straňák, V.; Herrendorf, A.-P.; Drache, S.; Čada, Martin; Hubička, Zdeněk; Tichý, M.; Hippler, R.

    2012-01-01

    Roč. 100, č. 14 (2012), "141604-1"-"141604-3" ISSN 0003-6951 R&D Projects: GA TA ČR TA01010517; GA ČR(CZ) GAP205/11/0386; GA ČR GAP108/12/1941 Institutional research plan: CEZ:AV0Z10100522 Keywords : magnetron * ECWR * low-pressure * sputtering * plasma diagnostics Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 3.794, year: 2012 http://dx.doi.org/10.1063/1.3699229

  15. A low aspect ratio electrothermal gun for metal plasma vapor discharge and ceramic nanopowder production

    International Nuclear Information System (INIS)

    Kim, Kyoung Jin; Peterson, Dennis R.

    2008-01-01

    Traditionally, the electrothermal gun design has the bore of a large aspect ratio: however, a low aspect ratio design with a shorter bore length has been employed for efficient production of metal plasma vapors and synthesis of nanomaterials. In a comparison of the arc resistance-current relationship, a low aspect ratio design is found to exhibit distinctively different characteristics compared to a high aspect ratio design, and this trend is explained by the scaling law of plasma properties including theory of plasma electrical conductivity. A one-dimensional isothermal model has been applied to the present experiments to confirm the scaling laws, and it was found that the present modification of the electrothermal gun is able to produce fully ionized metal plasma vapor, while the plasma vapor produced in a conventional design is partially ionized. Also, by reacting metal plasma vapors with the controlled gases in the reaction chamber, nanoscale materials such as aluminum oxide, aluminum nitride, and titanium oxide were synthesized successfully

  16. Physical vapor deposition of cubic boron nitride thin films

    International Nuclear Information System (INIS)

    Kester, D.J.

    1991-01-01

    Cubic boron nitride was successfully deposited using physical vapor-deposition methods. RF-sputtering, magnetron sputtering, dual-ion-beam deposition, and ion-beam-assisted evaporation were all used. The ion-assisted evaporation, using boron evaporation and bombardment by nitrogen and argon ions, led to successful cubic boron nitride growth over the widest and most controllable range of conditions. It was found that two factors were important for c-BN growth: bombardment of the growing film and the presence of argon. A systematic study of the deposition conditions was carried out. It was found that the value of momentum transferred into the growing from by the bombarding ions was critical. There was a very narrow transition range in which mixed cubic and hexagonal phase films were prepared. Momentum-per-atom value took into account all the variables involved in ion-assisted deposition: deposition rate, ion energy, ion flux, and ion species. No other factor led to the same control of the process. The role of temperature was also studied; it was found that at low temperatures only mixed cubic and hexagonal material are deposited

  17. Physical Vapor Deposition of Thin Films

    Science.gov (United States)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  18. Effect of vapor plasma on the coupling of laser radiation with aluminum targets

    Energy Technology Data Exchange (ETDEWEB)

    Shui, V H; Kivel, B; Weyl, G M

    1978-12-01

    The effect of vapor plasma on thermal and impulse coupling of laser radiation with aluminum targets is studied to understand and explain experimental data showing anomalously high coupling to 10.6-micron laser radiation. Heating of vapor by inverse bremsstrahlung absorption of laser radiation, subsequent reradiation in the uv and deep uv by ionized species, and vapor layer growth are modeled. A computer code has been developed to solve the governing equations. Major conclusions include the following: (1) vapor plasma radiative transport can be an important mechanism for laser/target coupling, (2) aluminum vapor (density times thickness) approximately equal to 10 to the 17th power/sq cm (corresponding to about 0.01 micron of target material) can result in thermal coupling coefficients of 20% or more, and (3) too much vapor reduces the net flux at the target.

  19. Growth of highly oriented carbon nanotubes by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Z.P.; Xu, J.W.; Ren, Z.F.; Wang, J.H. [Materials Synthesis Laboratory, Departments of Physics and Chemistry, and Center for Advanced Photonic and Electronic Materials (CAPEM), State University of New York at Buffalo, Buffalo, New York 14260 (United States); Siegal, M.P.; Provencio, P.N. [Sandia National Laboratories, Albuquerque, New Mexico 87185-1421 (United States)

    1998-12-01

    Highly oriented, multiwalled carbon nanotubes were grown on polished polycrystalline and single crystal nickel substrates by plasma enhanced hot filament chemical vapor deposition at temperatures below 666 {degree}C. The carbon nanotubes range from 10 to 500 nm in diameter and 0.1 to 50 {mu}m in length depending on growth conditions. Acetylene is used as the carbon source for the growth of the carbon nanotubes and ammonia is used for dilution gas and catalysis. The plasma intensity, acetylene to ammonia gas ratio, and their flow rates, etc. affect the diameters and uniformity of the carbon nanotubes. {copyright} {ital 1998 American Institute of Physics.}

  20. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  1. Fusion plasma physics

    CERN Document Server

    Stacey, Weston M

    2012-01-01

    This revised and enlarged second edition of the popular textbook and reference contains comprehensive treatments of both the established foundations of magnetic fusion plasma physics and of the newly developing areas of active research. It concludes with a look ahead to fusion power reactors of the future. The well-established topics of fusion plasma physics -- basic plasma phenomena, Coulomb scattering, drifts of charged particles in magnetic and electric fields, plasma confinement by magnetic fields, kinetic and fluid collective plasma theories, plasma equilibria and flux surface geometry, plasma waves and instabilities, classical and neoclassical transport, plasma-materials interactions, radiation, etc. -- are fully developed from first principles through to the computational models employed in modern plasma physics. The new and emerging topics of fusion plasma physics research -- fluctuation-driven plasma transport and gyrokinetic/gyrofluid computational methodology, the physics of the divertor, neutral ...

  2. Modeling of Plasma Assisted Combustion

    Science.gov (United States)

    Akashi, Haruaki

    2012-10-01

    Recently, many experimental study of plasma-assisted combustion has been done. However, numerous complex reactions in combustion of hydrocarbons are preventing from theoritical study for clarifying inside the plasma-assisted combustion, and the effect of plasma-assist is still not understood. Shinohara and Sasaki [1,2] have reported that the shortening of flame length by irradiating microwave without increase of gas temperature. And they also reported that the same phenomena would occur when applying dielectric barrier discharges to the flame using simple hydrocarbon, methane. It is suggested that these phenomena may result by the electron heating. To clarify this phenomena, electron behavior under microwave and DBD was examined. For the first step of DBD plasma-assisted combustion simulation, electron Monte Carlo simulation in methane, oxygen and argon mixture gas(0.05:0.14:0.81) [2] has been done. Electron swarm parameters are sampled and electron energy distribution function (EEDF)s are also determined. In the combustion, gas temperature is higher(>1700K), so reduced electric field E/N becomes relatively high(>10V/cm/Torr). The electrons are accelerated to around 14 eV. This result agree with the optical emission from argon obtained by the experiment of reference [2]. Dissociation frequency of methane and oxygens are obtained in high. This might be one of the effect of plasma-assist. And it is suggested that the electrons should be high enough to dissociate methane, but plasma is not needed.[4pt] [1] K. Shinohara et al, J. Phys. D:Appl. Phys., 42, 182008 (1-7) (2009).[0pt] [2] K. Sasaki, 64th Annual Gaseous Electronic Conference, 56, 15 CT3.00001(2011).

  3. Design and physical features of inductive coaxial copper vapor lasers

    Energy Technology Data Exchange (ETDEWEB)

    Batenin, V. M. [Russian Academy of Sciences, Joint Institute for High Temperatures (Russian Federation); Kazaryan, M. A. [Russian Academy of Sciences, Lebedev Physical Institute (Russian Federation); Karpukhin, V. T. [Russian Academy of Sciences, Joint Institute for High Temperatures (Russian Federation); Lyabin, N. A. [Istok Research and Production Corporation (Russian Federation); Malikov, M. M., E-mail: mmalikov@oivtran.ru [Russian Academy of Sciences, Joint Institute for High Temperatures (Russian Federation)

    2016-11-15

    A physical model of a copper vapor laser pumped by a pulse-periodic inductive (electrodeless) discharge is considered. The feasibility of efficient laser pumping by an inductive discharge and reaching high output parameters comparable to those of conventional copper vapor lasers pumped by a longitudinal electrode discharge is demonstrated. The design and physical features of an inductive copper vapor laser with an annular working volume are discussed.

  4. High throughput production of nanocomposite SiO x powders by plasma spray physical vapor deposition for negative electrode of lithium ion batteries

    Directory of Open Access Journals (Sweden)

    Keiichiro Homma

    2014-04-01

    Full Text Available Nanocomposite Si/SiO x powders were produced by plasma spray physical vapor deposition (PS-PVD at a material throughput of 480 g h−1. The powders are fundamentally an aggregate of primary ~20 nm particles, which are composed of a crystalline Si core and SiO x shell structure. This is made possible by complete evaporation of raw SiO powders and subsequent rapid condensation of high temperature SiO x vapors, followed by disproportionation reaction of nucleated SiO x nanoparticles. When CH4 was additionally introduced to the PS-PVD, the volume of the core Si increases while reducing potentially the SiO x shell thickness as a result of the enhanced SiO reduction, although an unfavorable SiC phase emerges when the C/Si molar ratio is greater than 1. As a result of the increased amount of Si active material and reduced source for irreversible capacity, half-cell batteries made of PS-PVD powders with C/Si = 0.25 have exhibited improved initial efficiency and maintenance of capacity as high as 1000 mAh g−1 after 100 cycles at the same time.

  5. High throughput production of nanocomposite SiO x powders by plasma spray physical vapor deposition for negative electrode of lithium ion batteries.

    Science.gov (United States)

    Homma, Keiichiro; Kambara, Makoto; Yoshida, Toyonobu

    2014-04-01

    Nanocomposite Si/SiO x powders were produced by plasma spray physical vapor deposition (PS-PVD) at a material throughput of 480 g h -1 . The powders are fundamentally an aggregate of primary ∼20 nm particles, which are composed of a crystalline Si core and SiO x shell structure. This is made possible by complete evaporation of raw SiO powders and subsequent rapid condensation of high temperature SiO x vapors, followed by disproportionation reaction of nucleated SiO x nanoparticles. When CH 4 was additionally introduced to the PS-PVD, the volume of the core Si increases while reducing potentially the SiO x shell thickness as a result of the enhanced SiO reduction, although an unfavorable SiC phase emerges when the C/Si molar ratio is greater than 1. As a result of the increased amount of Si active material and reduced source for irreversible capacity, half-cell batteries made of PS-PVD powders with C/Si = 0.25 have exhibited improved initial efficiency and maintenance of capacity as high as 1000 mAh g -1 after 100 cycles at the same time.

  6. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Turski, H.; Muziol, G.; Wolny, P.; Cywiński, G.; Grzanka, S.; Sawicka, M.; Perlin, P.; Skierbiszewski, C.

    2014-01-01

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ N ) during quantum wells (QWs) growth. We found that high Φ N improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold current density are discussed

  7. Field-matter interaction in atomic and plasma physics, from fluctuations to the strongly nonlinear regime

    International Nuclear Information System (INIS)

    Benisti, D.

    2011-01-01

    This manuscript provides a theoretical description, sometimes illustrated by experimental results, of several examples of field-matter interaction in various domains of physics, showing how the same basic concepts and theoretical methods may be used in very different physics situations. The issues addressed here are nonlinear field-matter interaction in plasma physics within the framework of classical mechanics (with a particular emphasis on wave-particle interaction), the linear analysis of beam-plasma instabilities in the relativistic regime, and the quantum description of laser-atom interaction, including quantum electrodynamics. Novel methods are systematically introduced in order to solve some very old problems, like the nonlinear counterpart of the Landau damping rate in plasma physics, for example. Moreover, our results directly apply to inertial confinement fusion, laser propagation in an atomic vapor, ion acceleration in a magnetized plasma and the physics of the Reversed Field Pinch for magnetic fusion. (author)

  8. Contemporary plasma physics

    International Nuclear Information System (INIS)

    Sodha, M.S.; Tewari, D.P.; Subbarao, D.

    1983-01-01

    The book consists of review articles on some selected contemporary aspects of plasma physics. The selected topics present a panoramic view of contemporary plasma physics and applications to fusion, space and MHD power generation. Basic non-linear plasma theory is also covered. The book is supposed to be useful for M.S./M.Sc. students specialising in plasma physics and for those beginning research work in plasma physics. It will also serve as a valuable reference book for more advanced research workers. (M.G.B.)

  9. Experimental investigation of vapor shielding effects induced by ELM-like pulsed plasma loads using the double plasma gun device

    Science.gov (United States)

    Sakuma, I.; Kikuchi, Y.; Kitagawa, Y.; Asai, Y.; Onishi, K.; Fukumoto, N.; Nagata, M.

    2015-08-01

    We have developed a unique experimental device of so-called double plasma gun, which consists of two magnetized coaxial plasma gun (MCPG) devices, in order to clarify effects of vapor shielding on material erosion due to transient events in magnetically confined fusion devices. Two ELM-like pulsed plasmas produced by the two MCPG devices were injected into a target chamber with a variable time difference. For generating ablated plasmas in front of a target material, an aluminum foil sample in the target chamber was exposed to a pulsed plasma produced by the 1st MCPG device. The 2nd pulsed plasma was produced with a time delay of 70 μs. It was found that a surface absorbed energy measured by a calorimeter was reduced to ∼66% of that without the Al foil sample. Thus, the reduction of the incoming plasma energy by the vapor shielding effect was successfully demonstrated in the present experiment.

  10. Experimental investigation of vapor shielding effects induced by ELM-like pulsed plasma loads using the double plasma gun device

    Energy Technology Data Exchange (ETDEWEB)

    Sakuma, I., E-mail: eu13z002@steng.u-hyogo.ac.jp; Kikuchi, Y.; Kitagawa, Y.; Asai, Y.; Onishi, K.; Fukumoto, N.; Nagata, M.

    2015-08-15

    We have developed a unique experimental device of so-called double plasma gun, which consists of two magnetized coaxial plasma gun (MCPG) devices, in order to clarify effects of vapor shielding on material erosion due to transient events in magnetically confined fusion devices. Two ELM-like pulsed plasmas produced by the two MCPG devices were injected into a target chamber with a variable time difference. For generating ablated plasmas in front of a target material, an aluminum foil sample in the target chamber was exposed to a pulsed plasma produced by the 1st MCPG device. The 2nd pulsed plasma was produced with a time delay of 70 μs. It was found that a surface absorbed energy measured by a calorimeter was reduced to ∼66% of that without the Al foil sample. Thus, the reduction of the incoming plasma energy by the vapor shielding effect was successfully demonstrated in the present experiment.

  11. Direct Fabrication of Carbon Nanotubes STM Tips by Liquid Catalyst-Assisted Microwave Plasma-Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Fa-Kuei Tung

    2009-01-01

    Full Text Available Direct and facile method to make carbon nanotube (CNT tips for scanning tunneling microscopy (STM is presented. Cobalt (Co particles, as catalysts, are electrochemically deposited on the apex of tungsten (W STM tip for CNT growth. It is found that the quantity of Co particles is well controlled by applied DC voltage, concentration of catalyst solution, and deposition time. Using optimum growth condition, CNTs are successfully synthesized on the tip apex by catalyst-assisted microwave-enhanced chemical vapor deposition (CA-MPECVD. A HOPG surface is clearly observed at an atomic scale using the present CNT-STM tip.

  12. Spectroscopic ellipsometry characterization of nano-crystalline diamondfilms prepared at various substrate temperatures and pulsed plasma frequencies using microwave plasma enhanced chemical vapor deposition apparatus with linear antenna delivery

    Czech Academy of Sciences Publication Activity Database

    Mistrík, J.; Janíček, P.; Taylor, Andrew; Fendrych, František; Fekete, Ladislav; Jäger, Aleš; Nesládek, M.

    2014-01-01

    Roč. 571, č. 1 (2014), s. 230-237 ISSN 0040-6090 R&D Projects: GA ČR GA13-31783S; GA MŠk(CZ) LM2011026 Grant - others: COST Nano TP(XE) MP0901; OP VK(XE) CZ.1.07/2.3.00/20.0306 Institutional support: RVO:68378271 Keywords : nanocrystalline diamond * thin films * microwave plasma-enhanced chemical vapor deposition * pulsed plasma * low deposition temperature Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.759, year: 2014

  13. The structure and growth mechanism of Si nanoneedles prepared by plasma-enhanced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Červenka, Jiří; Ledinský, Martin; Stuchlík, Jiří; Stuchlíková, The-Ha; Bakardjieva, Snejana; Hruška, Karel; Fejfar, Antonín; Kočka, Jan

    2010-01-01

    Roč. 21, č. 41 (2010), 415604/1-415604/7 ISSN 0957-4484 R&D Projects: GA MŠk(CZ) LC06040; GA AV ČR KAN400100701; GA MŠk LC510 EU Projects: European Commission(XE) 240826 - PolySiMode Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z40320502 Keywords : nanoneedles * nanowires * silicon * plasma * chemical vapor deposition * crystal structure * growth * phonon * SEM * Raman Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.644, year: 2010

  14. EDITORIAL: Invited papers from the 15th International Congress on Plasma Physics combined with the 13th Latin American Workshop on Plasma Physics Invited papers from the 15th International Congress on Plasma Physics combined with the 13th Latin American Workshop on Plasma Physics

    Science.gov (United States)

    Soto, Leopoldo

    2011-07-01

    , amongst others, the following topics: fundamentals of plasma physics, fusion plasmas, plasmas in astrophysics and space physics, plasma applications and technologies, complex plasmas, high energy density plasmas, quantum plasmas and laser-plasma interaction. A total of 180 delegates from 34 different countries took part in ICPP-LAWPP-2010, and 60 delegates received financial assistance from the Local Organizing Committee, thanks to the support granted by the International Union for Pure and Applied Physics (IUPAP) and by CCHEN. The ICPP-LAWPP-2010 Program was established by the following Program Committee: • Carlos Alejaldre, ITER • Maria Virginia Alves, Brazil • Julio Herrera, Mexico • Günter Mank, IAEA • George Morales, USA • Padma Kant Shukla, Germany • Guido Van Oost, Belgium • Leopoldo Soto, Chile (Chairman) This Program Committee was formed of selected members from the International Advisory Committee of the ICPP and from the International Advisory Committee of the LAWPP (http://www.icpp-lawpp-2010.cl/page/committees.php). In particular, plenary lectures and invited topical lectures were selected by the Program Committee from a list of nominated lectures presented by the International Advisory Committees of both ICPP and LAWPP. Also, the classification of oral and poster presentations was established by the Program Committee. The Congress included 15 invited plenary talks, 33 invited topical talks, 45 oral contributions, and 160 poster contributions. Most of the plenary and topical lectures are published in this special issue of Plasma Physics and Controlled Fusion. The papers were refereed according to the usual standards of the journal. Prior to ICPP-LAWPP 2010, an important activity usually associated with the Latin American Workshop on Plasma Physics took place. This activity was the LAWPP School on Plasma Physics, which was open to participants from all over the world, providing basic training to students and young researchers. The School was

  15. Method of plasma enhanced chemical vapor deposition of diamond using methanol-based solutions

    Science.gov (United States)

    Tzeng, Yonhua (Inventor)

    2009-01-01

    Briefly described, methods of forming diamond are described. A representative method, among others, includes: providing a substrate in a reaction chamber in a non-magnetic-field microwave plasma system; introducing, in the absence of a gas stream, a liquid precursor substantially free of water and containing methanol and at least one carbon and oxygen containing compound having a carbon to oxygen ratio greater than one, into an inlet of the reaction chamber; vaporizing the liquid precursor; and subjecting the vaporized precursor, in the absence of a carrier gas and in the absence in a reactive gas, to a plasma under conditions effective to disassociate the vaporized precursor and promote diamond growth on the substrate in a pressure range from about 70 to 130 Torr.

  16. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) yields better Hydrolytical Stability of Biocompatible SiOx Thin Films on Implant Alumina Ceramics compared to Rapid Thermal Evaporation Physical Vapor Deposition (PVD).

    Science.gov (United States)

    Böke, Frederik; Giner, Ignacio; Keller, Adrian; Grundmeier, Guido; Fischer, Horst

    2016-07-20

    Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma-optical emission spectroscopy (ICP-OES), and tensile strength tests. Differences in surface functionalization were investigated via two model organosilanes as well as the cell-cytotoxicity and viability on murine fibroblasts and human mesenchymal stromal cells (hMSC). We found that both SiOx interfaces did not affect the cell viability of both cell types. No significant differences between both films with regard to their interfacial tensile strength were detected, although failure mode analyses revealed a higher interfacial stability of the PE-CVD films compared to the PVD films. Twenty-eight day exposure to simulated body fluid (SBF) at 37 °C revealed a partial delamination of the thermally deposited PVD films whereas the PE-CVD films stayed largely intact. SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina. However, PE-CVD appears to be favorable for long-term direct film exposure to aqueous

  17. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  18. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  19. Modeling plasma/material interactions during a tokamak disruption

    International Nuclear Information System (INIS)

    Hassanein, A.; Konkashbaev, I.

    1994-10-01

    Disruptions in tokamak reactors are still of serious concern and present a potential obstacle for successful operation and reliable design. Erosion of plasma-facing materials due to thermal energy dump during a disruption can severely limit the lifetime of these components, therefore diminishing the economic feasibility of the reactor. A comprehensive disruption erosion model which takes into account the interplay of major physical processes during plasma-material interaction has been developed. The initial burst of energy delivered to facing-material surfaces from direct impact of plasma particles causes sudden ablation of these materials. As a result, a vapor cloud is formed in front of the incident plasma particles. Shortly thereafter, the plasma particles are stopped in the vapor cloud, heating and ionizing it. The energy transmitted to the material surfaces is then dominated by photon radiation. It is the dynamics and the evolution of this vapor cloud that finally determines the net erosion rate and, consequently, the component lifetime. The model integrates with sufficient detail and in a self-consistent way, material thermal evolution response, plasma-vapor interaction physics, vapor hydrodynamics, and radiation transport in order to realistically simulate the effects of a plasma disruption on plasma-facing components. Candidate materials such as beryllium and carbon have been analyzed. The dependence of the net erosion rate on disruption physics and various parameters was analyzed and is discussed

  20. Impurities in chromium deposits produced by electroplating and physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dini, J.W.

    1994-05-01

    Impurity contents in electrodeposited (hexavalent and trivalent) chromium deposits and physically vapor deposited (thermal evaporation, electron beam evaporation and rf-sputtering) were compared. Oxygen is the key impurity obtained in electrodeposited films but it can be minimized in hexavalent plating solutions by operating at high temperature, e. g., 85 C. Electrodeposits produced in trivalent chromium plating solutions and physically vapor deposited films have much higher oxygen contents than electrodeposits produced in hexavalent chromium solutions operated at temperatures around 85 C. Depending on the target material used for physically vapor deposited films, these films can also have high amounts of other impurities.

  1. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  2. Dynamic behavior of plasma-facing materials during plasma instabilities in tokamak reactors

    International Nuclear Information System (INIS)

    Hassanein, A.; Konkashbaev, I.

    1997-01-01

    Damage to plasma-facing and nearby components due to plasma instabilities remains a major obstacle to a successful tokamak concept. The high energy deposited on facing materials during plasma instabilities can cause severe erosion, plasma contamination, and structural failure of these components. Erosion damage can take various forms such as surface vaporization, spallation, and liquid ejection of metallic materials. Comprehensive thermodynamic and radiation hydrodynamic codes have been developed, integrated, and used to evaluate the extent of various damage to plasma-facing and nearby components. The eroded and splashed materials will be transported and then redeposited elsewhere on other plasma-facing components. Detailed physics of plasma/solid-liquid/vapor interaction in a strong magnetic field have been developed, optimized, and implemented in a self-consistent model. The plasma energy deposited in the evolving divertor debris is quickly and intensely reradiated, which may cause severe erosion and melting of other nearby components. Factors that influence and reduce vapor-shielding efficiency such as vapor diffusion and turbulence are also discussed and evaluated

  3. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  4. Plasma ``anti-assistance'' and ``self-assistance'' to high power impulse magnetron sputtering

    Science.gov (United States)

    Anders, André; Yushkov, Georgy Yu.

    2009-04-01

    A plasma assistance system was investigated with the goal to operate high power impulse magnetron sputtering (HiPIMS) at lower pressure than usual, thereby to enhance the utilization of the ballistic atoms and ions with high kinetic energy in the film growth process. Gas plasma flow from a constricted plasma source was aimed at the magnetron target. Contrary to initial expectations, such plasma assistance turned out to be contraproductive because it led to the extinction of the magnetron discharge. The effect can be explained by gas rarefaction. A better method of reducing the necessary gas pressure is operation at relatively high pulse repetition rates where the afterglow plasma of one pulse assists in the development of the next pulse. Here we show that this method, known from medium-frequency (MF) pulsed sputtering, is also very important at the much lower pulse repetition rates of HiPIMS. A minimum in the possible operational pressure is found in the frequency region between HiPIMS and MF pulsed sputtering.

  5. Plasma 'anti-assistance' and 'self-assistance' to high power impulse magnetron sputtering

    International Nuclear Information System (INIS)

    Anders, Andre; Yushkov, Georgy Yu.

    2009-01-01

    A plasma assistance system was investigated with the goal to operate high power impulse magnetron sputtering (HiPIMS) at lower pressure than usual, thereby to enhance the utilization of the ballistic atoms and ions with high kinetic energy in the film growth process. Gas plasma flow from a constricted plasma source was aimed at the magnetron target. Contrary to initial expectations, such plasma assistance turned out to be contraproductive because it led to the extinction of the magnetron discharge. The effect can be explained by gas rarefaction. A better method of reducing the necessary gas pressure is operation at relatively high pulse repetition rates where the afterglow plasma of one pulse assists in the development of the next pulse. Here we show that this method, known from medium-frequency (MF) pulsed sputtering, is also very important at the much lower pulse repetition rates of HiPIMS. A minimum in the possible operational pressure is found in the frequency region between HiPIMS and MF pulsed sputtering

  6. Plasma physics

    CERN Document Server

    Cairns, R A

    1985-01-01

    This book is intended as an introduction to plasma physics at a level suitable for advanced undergraduates or beginning postgraduate students in physics, applied mathematics or astrophysics. The main prerequisite is a knowledge of electromagnetism and of the associated mathematics of vector calculus. SI units are used throughout. There is still a tendency amongst some plasma physics researchers to· cling to C.g.S. units, but it is the author's view that universal adoption of SI units, which have been the internationally agreed standard since 1960, is to be encouraged. After a short introductory chapter, the basic properties of a plasma con­ cerning particle orbits, fluid theory, Coulomb collisions and waves are set out in Chapters 2-5, with illustrations drawn from problems in nuclear fusion research and space physics. The emphasis is on the essential physics involved and (he theoretical and mathematical approach has been kept as simple and intuitive as possible. An attempt has been made to draw attention t...

  7. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  8. Plasma physics an introduction

    CERN Document Server

    Fitzpatrick, Richard

    2014-01-01

    Plasma Physics: An Introduction is based on a series of university course lectures by a leading name in the field, and thoroughly covers the physics of the fourth state of matter. This book looks at non-relativistic, fully ionized, nondegenerate, quasi-neutral, and weakly coupled plasma. Intended for the student market, the text provides a concise and cohesive introduction to plasma physics theory, and offers a solid foundation for students wishing to take higher level courses in plasma physics.

  9. Evaporation and vapor shielding of CFC targets exposed to plasma heat fluxes relevant to ITER ELMs

    International Nuclear Information System (INIS)

    Safronov, V.M.; Arkhipov, N.I.; Landman, I.S.; Pestchanyi, S.E.; Toporkov, D.A.; Zhitlukhin, A.M.

    2009-01-01

    Carbon fibre composite NB31 was tested at plasma gun facility MK-200UG by plasma heat fluxes relevant to Edge Localised Modes in ITER. The paper reports the results obtained on the evaporation threshold of carbon fibre composite, the velocity of carbon vapor motion along and across the magnetic field lines, and the parameters of carbon plasma such as temperature, density and ionization state. First experimental results on investigation of the vapor shield onset conditions are presented also. The obtained experimental data are compared with the results of numerical modeling.

  10. TOPICAL REVIEW: Plasma assisted ignition and combustion

    Science.gov (United States)

    Starikovskaia, S. M.

    2006-08-01

    In recent decades particular interest in applications of nonequilibrium plasma for the problems of plasma-assisted ignition and plasma-assisted combustion has been observed. A great amount of experimental data has been accumulated during this period which provided the grounds for using low temperature plasma of nonequilibrium gas discharges for a number of applications at conditions of high speed flows and also at conditions similar to automotive engines. The paper is aimed at reviewing the data obtained and discusses their treatment. Basic possibilities of low temperature plasma to ignite gas mixtures are evaluated and historical references highlighting pioneering works in the area are presented. The first part of the review discusses plasmas applied to plasma-assisted ignition and combustion. The paper pays special attention to experimental and theoretical analysis of some plasma parameters, such as reduced electric field, electron density and energy branching for different gas discharges. Streamers, pulsed nanosecond discharges, dielectric barrier discharges, radio frequency discharges and atmospheric pressure glow discharges are considered. The second part depicts applications of discharges to reduce the ignition delay time of combustible mixtures, to ignite transonic and supersonic flows, to intensify ignition and to sustain combustion of lean mixtures. The results obtained by different authors are cited, and ways of numerical modelling are discussed. Finally, the paper draws some conclusions on the main achievements and prospects of future investigations in the field.

  11. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  12. Physical domains in plasma physics

    International Nuclear Information System (INIS)

    Liboff, R.L.

    1987-01-01

    Do the plasma in the sun's core and the electron-conduction plasma in a semiconductor behave in the same way? This question is both fundamental and practical, for plasma physics plays a role in a vast area of natural phenomena and in many engineering devices. Understanding the cosmos, or designing a computer chip or a thermonuclear fusion reactor, requires first of all a realization of equations of motion that are appropriate to the particular problem. Similar physical differences occur in engineered structures. The plasmas in most thermonuclear fusion devices are basically like the plasma in the core of the sun: weakly coupled and classical - that is, obeying Newton's laws and Maxwell's equations. The conduction electrons in a semiconductor, on the other hand, obey the laws of quantum mechanics

  13. The Plasma Archipelago: Plasma Physics in the 1960s

    Science.gov (United States)

    Weisel, Gary J.

    2017-09-01

    With the foundation of the Division of Plasma Physics of the American Physical Society in April 1959, plasma physics was presented as the general study of ionized gases. This paper investigates the degree to which plasma physics, during its first decade, established a community of interrelated specialties, one that brought together work in gaseous electronics, astrophysics, controlled thermonuclear fusion, space science, and aerospace engineering. It finds that, in some regards, the plasma community was indeed greater than the sum of its parts and that its larger identity was sometimes glimpsed in inter-specialty work and studies of fundamental plasma behaviors. Nevertheless, the plasma specialties usually worked separately for two inter-related reasons: prejudices about what constituted "basic physics," both in the general physics community and within the plasma community itself; and a compartmentalized funding structure, in which each funding agency served different missions.

  14. Plasma physics and fusion plasma electrodynamics

    CERN Document Server

    Bers, Abraham

    2016-01-01

    Plasma is a ubiquitous state of matter at high temperatures. The electrodynamics of plasmas encompasses a large number of applications, from understanding plasmas in space and the stars, to their use in processing semiconductors, and their role in controlled energy generation by nuclear fusion. This book covers collective and single particle dynamics of plasmas for fully ionized as well as partially ionized plasmas. Many aspects of plasma physics in current fusion energy generation research are addressed both in magnetic and inertial confinement plasmas. Linear and nonlinear dynamics in hydrodynamic and kinetic descriptions are offered, making both simple and complex aspects of the subject available in nearly every chapter. The approach of dividing the basic aspects of plasma physics as "linear, hydrodynamic descriptions" to be covered first because they are "easier", and postponing the "nonlinear and kinetic descriptions" for later because they are "difficult" is abandoned in this book. For teaching purpose...

  15. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    Science.gov (United States)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  16. Effects of assistant anode on planar inductively coupled magnetized argon plasma in plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Tang, Deli; Chu, Paul K.

    2003-01-01

    The enhancement of planar radio frequency (RF) inductively coupled argon plasma is studied in the presence of an assistant anode and an external magnetic field at low pressure. The influence of the assistant anode and magnetic field on the efficiency of RF power absorption and plasma parameters is investigated. An external axial magnetic field is coupled into the plasma discharge region by an external electromagnetic coil outside the discharge chamber and an assistant cylindrical anode is inserted into the discharge chamber to enhance the plasma discharge. The plasma parameters and density profile are measured by an electrostatic Langmuir probe at different magnetic fields and anode voltages. The RF power absorption by the plasma can be effectively enhanced by the external magnetic field compared with the nonmagnetized discharge. The plasma density can be further increased by the application of a voltage to the assistant anode. Owing to the effective power absorption and enhanced plasma discharge by the assistant anode in a longitudinal magnetic field, the plasma density can be enhanced by more than a factor of two. Meanwhile, the nonuniformity of the plasma density is less than 10% and it can be achieved in a process chamber with a diameter of 600 mm

  17. Vapor shielding effects on energy transfer from plasma-gun generated ELM-like transient loads to material surfaces

    Science.gov (United States)

    Kikuchi, Y.; Sakuma, I.; Asai, Y.; Onishi, K.; Isono, W.; Nakazono, T.; Nakane, M.; Fukumoto, N.; Nagata, M.

    2016-02-01

    Energy transfer processes from ELM-like pulsed helium (He) plasmas with a pulse duration of ˜0.1 ms to aluminum (Al) and tungsten (W) surfaces were experimentally investigated by the use of a magnetized coaxial plasma gun device. The surface absorbed energy density of the He pulsed plasma on the W surface measured with a calorimeter was ˜0.44 MJ m-2, whereas it was ˜0.15 MJ m-2 on the Al surface. A vapor layer in front of the Al surface exposed to the He pulsed plasma was clearly identified by Al neutral emission line (Al i) measured with a high time resolution spectrometer, and fast imaging with a high-speed visible camera filtered around the Al i emission line. On the other hand, no clear evaporation in front of the W surface exposed to the He pulsed plasma was observed in the present condition. Discussions on the reduction in the surface absorbed energy density on the Al surface are provided by considering the latent heat of vaporization and radiation cooling due to the Al vapor cloud.

  18. Development of a double plasma gun device for investigation of effects of vapor shielding on erosion of PFC materials under ELM-like pulsed plasma bombardment

    Science.gov (United States)

    Sakuma, I.; Iwamoto, D.; Kitagawa, Y.; Kikuchi, Y.; Fukumoto, N.; Nagata, M.

    2012-10-01

    It is considered that thermal transient events such as type I edge localized modes (ELMs) could limit the lifetime of plasma-facing components (PFCs) in ITER. We have investigated surface damage of tungsten (W) materials under transient heat and particle loads by using a magnetized coaxial plasma gun (MCPG) device at University of Hyogo. The capacitor bank energy for the plasma discharge is 144 kJ (2.88 mF, 10 kVmax). Surface melting of a W material was clearly observed at the energy density of ˜2 MJ/m2. It is known that surface melting and evaporation during a transient heat load could generate a vapor cloud layer in front of the target material [1]. Then, the subsequent erosion could be reduced by the vapor shielding effect. In this study, we introduce a new experiment using two MCPG devices (MCPG-1, 2) to understand vapor shielding effects of a W surface under ELM-like pulsed plasma bombardment. The capacitor bank energy of MCPG-2 is almost same as that of MCPG-1. The second plasmoid is applied with a variable delay time after the plasmoid produced by MCPG-1. Then, a vapor cloud layer could shield the second plasma load. To verify the vapor shielding effects, surface damage of a W material is investigated by changing the delay time. In the conference, the preliminary experimental results will be shown.[4pt] [1] A. Hassanein et al., J. Nucl. Mater. 390-391, pp. 777-780 (2009).

  19. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  20. Applied plasma physics

    International Nuclear Information System (INIS)

    Anon.

    1978-01-01

    Applied Plasma Physics is a major sub-organizational unit of the MFE Program. It includes Fusion Plasma Theory and Experimental Plasma Research. The Fusion Plasma Theory group has the responsibility for developing theoretical-computational models in the general areas of plasma properties, equilibrium, stability, transport, and atomic physics. This group has responsibility for giving guidance to the mirror experimental program. There is a formal division of the group into theory and computational; however, in this report the efforts of the two areas are not separated since many projects have contributions from members of both. Under the Experimental Plasma Research Program, we are developing the intense, pulsed neutral-beam source (IPINS) for the generation of a reversed-field configuration on 2XIIB. We are also studying the feasibility of utilizing certain neutron-detection techniques as plasma diagnostics in the next generation of thermonuclear experiments

  1. Applied plasma physics

    International Nuclear Information System (INIS)

    Anon.

    1979-01-01

    Applied Plasma Physics is a major sub-organizational unit of the Magnetic Fusion Energy (MFE) Program. It includes Fusion Plasma Theory and Experimental Plasma Research. The Fusion Plasma Theory group has the responsibility for developing theoretical-computational models in the general areas of plasma properties, equilibrium, stability, transport, and atomic physics. This group has responsibility for giving guidance to the mirror experimental program. There is a formal division of the group into theory and computational; however, in this report the efforts of the two areas are not separated since many projects have contributions from members of both. Under the Experimental Plasma Research Program we are developing a neutral-beam source, the intense, pulsed ion-neutral source (IPINS), for the generation of a reversed-field configuration on 2XIIB. We are also studying the feasibility of using certain neutron-detection techniques as plasma diagnostics in the next generation of thermonuclear experiments

  2. Numerical simulation in plasma physics

    International Nuclear Information System (INIS)

    Samarskii, A.A.

    1980-01-01

    Plasma physics is not only a field for development of physical theories and mathematical models but also an object of application of the computational experiment comprising analytical and numerical methods adapted for computers. The author considers only MHD plasma physics problems. Examples treated are dissipative structures in plasma; MHD model of solar dynamo; supernova explosion simulation; and plasma compression by a liner. (Auth.)

  3. Etching characteristics and application of physical-vapor-deposited amorphous carbon for multilevel resist

    International Nuclear Information System (INIS)

    Kim, H. T.; Kwon, B. S.; Lee, N.-E.; Park, Y. S.; Cho, H. J.; Hong, B.

    2008-01-01

    For the fabrication of a multilevel resist (MLR) based on a very thin, physical-vapor-deposited (PVD) amorphous carbon (a-C) layer, the etching characteristics of the PVD a-C layer with a SiO x hard mask were investigated in a dual-frequency superimposed capacitively coupled plasma etcher by varying the following process parameters in O 2 /N 2 /Ar plasmas: high-frequency/low-frequency combination (f HF /f LF ), HF/LF power ratio (P HF /P LF ), and O 2 and N 2 flow rates. The very thin nature of the a-C layer helps to keep the aspect ratio of the etched features low. The etch rate of the PVD a-C layer increased with decreasing f HF /f LF combination and increasing P LF and was initially increased but then decreased with increasing N 2 flow rate in O 2 /N 2 /Ar plasmas. The application of a 30 nm PVD a-C layer in the MLR structure of ArF PR/BARC/SiO x /PVD a-C/TEOS oxide supported the possibility of using a very thin PVD a-C layer as an etch-mask layer for the TEOS-oxide layer

  4. Computations in plasma physics

    International Nuclear Information System (INIS)

    Cohen, B.I.; Killeen, J.

    1984-01-01

    A review of computer application in plasma physics is presented. Computer contribution to the investigation of magnetic and inertial confinement of a plasma and charged particle beam propagation is described. Typical utilization of computer for simulation and control of laboratory and cosmic experiments with a plasma and for data accumulation in these experiments is considered. Basic computational methods applied in plasma physics are discussed. Future trends of computer utilization in plasma reseaches are considered in terms of an increasing role of microprocessors and high-speed data plotters and the necessity of more powerful computer application

  5. Physics of laser plasma

    International Nuclear Information System (INIS)

    Rubenchik, A.; Witkowski, S.

    1991-01-01

    This book provides a comprehensive review of laser fusion plasma physics and contains the most up-to-date information on high density plasma physics and radiation transport, useful for astrophysicists and high density physicists

  6. Experimental simulation and numerical modeling of vapor shield formation and divertor material erosion for ITER typical plasma disruptions

    International Nuclear Information System (INIS)

    Wuerz, H.; Arkhipov, N.I.; Bakhtin, V.P.; Konkashbaev, I.; Landman, I.; Safronov, V.M.; Toporkov, D.A.; Zhitlukhin, A.M.

    1995-01-01

    The high divertor heat load during a tokamak plasma disruption results in sudden evaporation of a thin layer of divertor plate material, which acts as vapor shield and protects the target from further excessive evaporation. Formation and effectiveness of the vapor shield are theoretically modeled and are experimentally analyzed at the 2MK-200 facility under conditions simulating the thermal quench phase of ITER tokamak plasma disruptions. ((orig.))

  7. Electrochemical properties of N-doped hydrogenated amorphous carbon films fabricated by plasma-enhanced chemical vapor deposition methods

    Energy Technology Data Exchange (ETDEWEB)

    Tanaka, Yoriko; Furuta, Masahiro; Kuriyama, Koichi; Kuwabara, Ryosuke; Katsuki, Yukiko [Division of Environmental Science and Engineering, Graduate School of Science and Engineering, Yamaguchi University, 1677-1 Yoshida, Yamaguchi-shi, Yamaguchi 753-8512 (Japan); Kondo, Takeshi [Department of Pure and Applied Chemistry, Faculty of Science and Technology, Tokyo University of Science, 2641 Yamazaki, Noda 278-8510 (Japan); Fujishima, Akira [Kanagawa Advanced Science and Technology (KAST), 3-2-1, Sakato, Takatsu-ku, Kawasaki-shi, Kanagawa 213-0012 (Japan); Honda, Kensuke, E-mail: khonda@yamaguchi-u.ac.j [Division of Environmental Science and Engineering, Graduate School of Science and Engineering, Yamaguchi University, 1677-1 Yoshida, Yamaguchi-shi, Yamaguchi 753-8512 (Japan)

    2011-01-01

    Nitrogen-doped hydrogenated amorphous carbon thin films (a-C:N:H, N-doped DLC) were synthesized with microwave-assisted plasma-enhanced chemical vapor deposition widely used for DLC coating such as the inner surface of PET bottles. The electrochemical properties of N-doped DLC surfaces that can be useful in the application as an electrochemical sensor were investigated. N-doped DLC was easily fabricated using the vapor of nitrogen contained hydrocarbon as carbon and nitrogen source. A N/C ratio of resulting N-doped DLC films was 0.08 and atomic ratio of sp{sup 3}/sp{sup 2}-bonded carbons was 25/75. The electrical resistivity and optical gap were 0.695 {Omega} cm and 0.38 eV, respectively. N-doped DLC thin film was found to be an ideal polarizable electrode material with physical stability and chemical inertness. The film has a wide working potential range over 3 V, low double-layer capacitance, and high resistance to electrochemically induced corrosion in strong acid media, which were the same level as those for boron-doped diamond (BDD). The charge transfer rates for the inorganic redox species, Fe{sup 2+/3+} and Fe(CN){sub 6}{sup 4-/3-} at N-doped DLC were sufficiently high. The redox reaction of Ce{sup 2+/3+} with standard potential higher than H{sub 2}O/O{sub 2} were observed due to the wider potential window. At N-doped DLC, the change of the kinetics of Fe(CN){sub 6}{sup 3-/4-} by surface oxidation is different from that at BDD. The rate of Fe(CN){sub 6}{sup 3-/4-} was not varied before and after oxidative treatment on N-doped DLC includes sp{sup 2} carbons, which indicates high durability of the electrochemical activity against surface oxidation.

  8. Plasma assisted heat treatment: annealing

    International Nuclear Information System (INIS)

    Brunatto, S F; Guimaraes, N V

    2009-01-01

    This work comprises a new dc plasma application in the metallurgical-mechanical field, called plasma assisted heat treatment, and it presents the first results for annealing. Annealing treatments were performed in 90% reduction cold-rolled niobium samples at 900 deg. C and 60 min, in two different heating ways: (a) in a hollow cathode discharge (HCD) configuration and (b) in a plasma oven configuration. The evolution of the samples' recrystallization was determined by means of the microstructure, microhardness and softening rate characterization. The results indicate that plasma species (ions and neutrals) bombardment in HCD plays an important role in the recrystallization process activation and could lead to technological and economical advantages considering the metallic materials' heat treatment application. (fast track communication)

  9. Plasma-assisted cleaning of extreme UV optics

    NARCIS (Netherlands)

    Dolgov, Alexandr Alexeevich

    2018-01-01

    Plasma-assisted cleaning of extreme UV optics EUV-induced surface plasma chemistry of photo-active agents The next generation of photolithography, extreme ultraviolet (EUV) lithography, makes use of 13.5 nm radiation. The ionizing photon flux, and vacuum requirements create a challenging operating

  10. Microstructure of vapor deposited coatings on curved substrates

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-09-15

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness.

  11. Microstructure of vapor deposited coatings on curved substrates

    International Nuclear Information System (INIS)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G.

    2015-01-01

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness

  12. Plasma-assisted self-formation of nanotip arrays on the surface of Cu(In,Ga)Se{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Zimin, Sergey P.; Mokrov, Dmitry A. [Yaroslavl State University (Russian Federation); Gorlachev, Egor S.; Amirov, Ildar I.; Naumov, Viktor V. [Institute of Physics and Technology, Russian Academy of Sciences, Yaroslavl (Russian Federation); Gremenok, Valery F. [Scientific-Practical Materials Research Center, NAS of Belarus, Minsk (Belarus); Bente, Klaus [Applied Mineralogy, University Tuebingen (Germany); Kim, Woo Y. [Fusion Research Center, Hoseo University, Asan-City (Korea, Republic of)

    2017-06-15

    In this paper, we report on the phenomenon of nanostructure self-formation on the surface of Cu(In,Ga)Se{sub 2} (CIGS) thin films during inductively coupled argon plasma treatment with its duration varied from 10 to 120 s. The initial films were grown on glass substrates using the selenization technique. During the CIGS film surface treatment in the high-density low-pressure radio-frequency inductively coupled argon plasma there took place a formation of arrays of uniform vertical nanostructures, which shape with increasing processing duration changed from nanocones to nanorods and back to nanocones. A model of the nanotip plasma-assisted self-formation associated with the implementation of micromasking and vapor-liquid-solid mechanisms involving metallic In-Ga (In-Ga-Cu) liquid alloy droplets is proposed. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  13. Experimental plasma physics

    International Nuclear Information System (INIS)

    Dreicer, H.; Banton, M.E.; Ingraham, J.C.; Wittman, F.; Wright, B.L.

    1976-01-01

    The Experimental Plasma Physics group's main efforts continue to be directed toward the understanding of the mechanisms of electromagnetic energy absorption in a plasma, and the resultant plasma heating and energy transport. The high-frequency spectrum of plasma waves parametrically excited by the microwave signal at high powers has been measured. The absorption of a small test microwave signal in a plasma made parametrically unstable by a separate high-power driver microwave signal was also studied

  14. Plasma Physics. Lectures Presented at the Seminar on Plasma Physics

    International Nuclear Information System (INIS)

    1965-01-01

    The International Seminar on Plasma Physics held in Trieste during 5- 1 October 1964 was the first major activity of the International Atomic Energy Agency's new International Centre for Theoretical Physics. In bringing together plasma physicists belonging to three distinct schools, the American, West European and the Soviet schools, the Seminar provided a unique opportunity for extended contacts between physicists in this field. It is hoped that these Proceedings will be of permanent value in the literature of the subject

  15. Plasma Physics. Lectures Presented at the Seminar on Plasma Physics

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1965-06-15

    The International Seminar on Plasma Physics held in Trieste during 5- 1 October 1964 was the first major activity of the International Atomic Energy Agency's new International Centre for Theoretical Physics. In bringing together plasma physicists belonging to three distinct schools, the American, West European and the Soviet schools, the Seminar provided a unique opportunity for extended contacts between physicists in this field. It is hoped that these Proceedings will be of permanent value in the literature of the subject.

  16. Plasma Physics Applied (New Book)

    Science.gov (United States)

    Grabbe, Crockett

    2007-03-01

    0.5cm Plasma physics applications are one of the most rapidly growing fields in engineering & applied science today. The last decade alone has seen the rapid emergence of new applications such as dusty plasmas in the semiconductor and microchip industries, and plasma TVs. In addition, this last decade saw the achievement of the 50-year Lawson breakeven condition for fusion. With new discoveries in space plasma physics and applications to spacecraft for worldwide communication and space weather, as well as new applications being discovered, this diversity is always expanding. The new book Plasma Physics Applied reviews developments in several of these areas. Chapter 1 reviews the content and its authors, and is followed by a more comprehensive review of plasma physics applications in general in Chapter 2. Plasma applications in combustion and environmental uses are presented in Chapter 3. Lightning effects in planetary magnetospheres and potential application are described in Chapter 4. The area of dusty plasmas in both industrial and space plasmas and their applications are reviewed in Chapter 5. The particular area of Coulomb clusters in dusty plasmas is presented in Chapter 6. The variety of approaches to plasma confinement in magnetic devices for fusion are laid out in Chapter 7. Finally, an overview of plasma accelerator developments and their applications are presented in Chapter 8.

  17. Modeling CO2 laser ablation impulse of polymers in vapor and plasma regimes

    International Nuclear Information System (INIS)

    Sinko, John E.; Phipps, Claude R.

    2009-01-01

    An improved model for CO 2 laser ablation impulse in polyoxymethylene and similar polymers is presented that describes the transition effects from the onset of vaporization to the plasma regime in a continuous fashion. Several predictions are made for ablation behavior.

  18. Plasma-enhanced chemical vapor deposition of graphene on copper substrates

    Directory of Open Access Journals (Sweden)

    Nicolas Woehrl

    2014-04-01

    Full Text Available A plasma enhanced vapor deposition process is used to synthesize graphene from a hydrogen/methane gas mixture on copper samples. The graphene samples were transferred onto SiO2 substrates and characterized by Raman spectroscopic mapping and atomic force microscope topographical mapping. Analysis of the Raman bands shows that the deposited graphene is clearly SLG and that the sheets are deposited on large areas of several mm2. The defect density in the graphene sheets is calculated using Raman measurements and the influence of the process pressure on the defect density is measured. Furthermore the origin of these defects is discussed with respect to the process parameters and hence the plasma environment.

  19. Evaporation and Vapor Shielding of CFC Targets Exposed to Plasma Heat Fluxes Relevant to ITER ELMs

    International Nuclear Information System (INIS)

    Safronov, V.; Arkhipov, N.I.; Toporkov, D.A.; Zhitlukhin, A.M.; Landman, I.

    2007-01-01

    Full text of publication follows: Carbon-fibre composite (CFC) is foreseen presently as armour material for the divertor target in ITER. During the transient processes such as instabilities of Edge Localized Modes (ELMs) the target as anticipated will be exposed to the plasma heat loads of a few MJ/m 2 on the time scale of a fraction of ms, which causes an intense evaporation at the target surface and contaminates tokamak plasma by evaporated carbon. The ITER transient loads are not achievable at existing tokamaks therefore for testing divertor armour materials other facilities, in particular plasma guns are employed. In the present work the CFC targets have been tested for ITER at the plasma gun facility MK- 200 UG in Troitsk by ELM relevant heat fluxes. The targets in the applied magnetic field up to 2 T were irradiated by hydrogen plasma streams of diameter 6 - 8 cm, impact ion energy 2 - 3 keV, pulse duration 0.05 ms and energy density varying in the range 0.05 - 1 MJ/m 2 . Primary attention has been focused on the measurement of evaporation threshold and investigation of carbon vapor properties. Fast infrared pyrometer, optical and VUV spectrometers, framing cameras and plasma calorimeters were applied as diagnostics. The paper reports the results obtained on the evaporation threshold of CFC, the evaporation rate of the carbon fibers oriented parallel and perpendicular to the exposed target surface, the velocity of carbon vapor motion along and across the magnetic field lines, and the parameters of carbon plasma such as temperature, density and ionization state measured up to the distance 15 cm at varying plasma load. First experimental results on investigation of the vapor shield onset conditions are presented also. (authors)

  20. Plasma physics and engineering

    CERN Document Server

    Fridman, Alexander

    2011-01-01

    Part I: Fundamentals of Plasma Physics and Plasma ChemistryPlasma in Nature, in the Laboratory, and in IndustryOccurrence of Plasma: Natural and Man MadeGas DischargesPlasma Applications, Plasmas in IndustryPlasma Applications for Environmental ControlPlasma Applications in Energy ConversionPlasma Application for Material ProcessingBreakthrough Plasma Applications in Modern TechnologyElementary Processes of Charged Species in PlasmaElementary Charged Particles in Plasma and Their Elastic and Inelastic CollisionsIonization ProcessesMechanisms of Electron Losses: The Electron-Ion RecombinationEl

  1. Plasma effects in aligned carbon nanoflake growth by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wang, B.B. [College of Chemistry and Chemical Engineering, Chongqing University of Technology, 69 Hongguang Rd, Lijiatuo, Banan District, Chongqing 400054 (China); Zheng, K. [Institute of Microstructure and Properties of Advanced Materials, Beijing University of Technology, Beijing 100124 (China); Cheng, Q.J., E-mail: qijin.cheng@xmu.edu.cn [School of Energy Research, Xiamen University, Xiamen 361005 (China); Ostrikov, K. [Plasma Nanoscience Center Australia (PNCA), Manufacturing Flagship, Commonwealth Scientific and Industrial Research Organization, PO Box 218, Lindfield 2070, NSW (Australia); Institute for Future Environments and School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, Brisbane 4000, QLD (Australia); Plasma Nanoscience, School of Physics, The University of Sydney, Sydney 2006, NSW (Australia)

    2015-01-15

    Highlights: • Plasma-specific effects in the growth of carbon nanoflakes (CNFs) are studied. • Electic field in the plasma sheath promotes separation of CNFs from the substrate. • The orentention of GNFs is related to the combined electic force and growth effects. • The high growth grates of aligned GNFs are plasma-related. - Abstract: Carbon nanofilms are directly grown on silicon substrates by plasma-enhanced hot filament chemical vapor deposition in methane environment. It is shown that the nanofilms are composed of aligned carbon nanoflakes by extensive investigation of experimental results of field emission scanning electron microscopy, micro-Raman spectroscopy and transmission electron microscopy. In comparison with the graphene-like films grown without plasmas, the carbon nanoflakes grow in an alignment mode and the growth rate of the films is increased. The effects of the plasma on the growth of the carbon nanofilms are studied. The plasma plays three main effects of (1) promoting the separation of the carbon nanoflakes from the silicon substrate, (2) accelerating the motion of hydrocarbon radicals, and (3) enhancing the deposition of hydrocarbon ions onto the substrate surface. Due to these plasma-specific effects, the carbon nanofilms can be formed from the aligned carbon nanoflakes with a high rate. These results advance our knowledge on the synthesis, properties and applications of graphene-based materials.

  2. Ion - beam assisted process in the physical deposition of organic thin layers

    Energy Technology Data Exchange (ETDEWEB)

    Dimov, D; Spassova, E; Assa, J; Danev, G [Acad. J .Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl.109, 1113 Sofia (Bulgaria); Georgiev, A, E-mail: dean@clf.bas.b [University of Chemical Technology and Metallurgy, 8 Kl. Ohridski Blvd., 1756 Sofia (Bulgaria)

    2010-04-01

    A novel method was developed for physical deposition of thin polyimide layers by applying an argon plasma assisted process. The influence was investigated of the plasma on the combined molecular flux of the two thermally evaporated precursors - oxydianiline and pyromellitic dianhydride. The effects observed on the properties of the deposited films are explained with the increased energy of the precursor molecules resulting from the ion-molecular collisions. As could be expected, molecules with higher energy possess higher mobility and thus determine the modification of the films structure and their electrical properties.

  3. Corrosion processes of physical vapor deposition-coated metallic implants.

    Science.gov (United States)

    Antunes, Renato Altobelli; de Oliveira, Mara Cristina Lopes

    2009-01-01

    Protecting metallic implants from the harsh environment of physiological fluids is essential to guaranteeing successful long-term use in a patient's body. Chemical degradation may lead to the failure of an implant device in two different ways. First, metal ions may cause inflammatory reactions in the tissues surrounding the implant and, in extreme cases, these reactions may inflict acute pain on the patient and lead to loosening of the device. Therefore, increasing wear strength is beneficial to the performance of the metallic implant. Second, localized corrosion processes contribute to the nucleation of fatigue cracks, and corrosion fatigue is the main reason for the mechanical failure of metallic implants. Common biomedical alloys such as stainless steel, cobalt-chrome alloys, and titanium alloys are prone to at least one of these problems. Vapor-deposited hard coatings act directly to improve corrosion, wear, and fatigue resistances of metallic materials. The effectiveness of the corrosion protection is strongly related to the structure of the physical vapor deposition layer. The aim of this paper is to present a comprehensive review of the correlation between the structure of physical vapor deposition layers and the corrosion properties of metallic implants.

  4. Plasma physics and nuclear fusion research

    CERN Document Server

    Gill, Richard D

    1981-01-01

    Plasma Physics and Nuclear Fusion Research covers the theoretical and experimental aspects of plasma physics and nuclear fusion. The book starts by providing an overview and survey of plasma physics; the theory of the electrodynamics of deformable media and magnetohydrodynamics; and the particle orbit theory. The text also describes the plasma waves; the kinetic theory; the transport theory; and the MHD stability theory. Advanced theories such as microinstabilities, plasma turbulence, anomalous transport theory, and nonlinear laser plasma interaction theory are also considered. The book furthe

  5. Princeton Plasma Physics Laboratory

    Energy Technology Data Exchange (ETDEWEB)

    1990-01-01

    This report discusses the following topics: principal parameters achieved in experimental devices fiscal year 1990; tokamak fusion test reactor; compact ignition tokamak; Princeton beta experiment- modification; current drive experiment-upgrade; international collaboration; x-ray laser studies; spacecraft glow experiment; plasma processing: deposition and etching of thin films; theoretical studies; tokamak modeling; international thermonuclear experimental reactor; engineering department; project planning and safety office; quality assurance and reliability; technology transfer; administrative operations; PPPL patent invention disclosures for fiscal year 1990; graduate education; plasma physics; graduate education: plasma science and technology; science education program; and Princeton Plasma Physics Laboratory reports fiscal year 1990.

  6. Princeton Plasma Physics Laboratory

    International Nuclear Information System (INIS)

    1990-01-01

    This report discusses the following topics: principal parameters achieved in experimental devices fiscal year 1990; tokamak fusion test reactor; compact ignition tokamak; Princeton beta experiment- modification; current drive experiment-upgrade; international collaboration; x-ray laser studies; spacecraft glow experiment; plasma processing: deposition and etching of thin films; theoretical studies; tokamak modeling; international thermonuclear experimental reactor; engineering department; project planning and safety office; quality assurance and reliability; technology transfer; administrative operations; PPPL patent invention disclosures for fiscal year 1990; graduate education; plasma physics; graduate education: plasma science and technology; science education program; and Princeton Plasma Physics Laboratory reports fiscal year 1990

  7. Determination of the characteristics of an electric arc plasma contaminated by vapors from insulators

    International Nuclear Information System (INIS)

    Abbaoui, M.; Cheminat, B.

    1991-01-01

    An experimental study at atmospheric pressure carried out on plasma penetrated by vapors from different industrial insulators allowed the showing of the influence of the nature of the insulator upon the characteristics of the electric arc plasma; i.e., an increase of the temperature, electron density, electric field, and extinction velocity of the arc. Measurements have been made spectrometrically and by means of probes

  8. Emission characteristics of kerosene-air spray combustion with plasma assistance

    Directory of Open Access Journals (Sweden)

    Xingjian Liu

    2015-09-01

    Full Text Available A plasma assisted combustion system for combustion of kerosene-air mixtures was developed to study emission levels of O2, CO2, CO, and NOx. The emission measurement was conducted by Testo 350-Pro Flue Gas Analyzer. The effect of duty ratio, feedstock gas flow rate and applied voltage on emission performance has been analyzed. The results show that O2 and CO emissions reduce with an increase of applied voltage, while CO2 and NOx emissions increase. Besides, when duty ratio or feedstock gas flow rate decreases, the same emission results would appear. The emission spectrum of the air plasma of plasma assisted combustion actuator was also registered to analyze the kinetic enhancement effect of plasma, and the generation of ozone was believed to be the main factor that plasma makes a difference in our experiment. These results are valuable for the future optimization of kerosene-fueled aircraft engine when using plasma assisted combustion devices to exert emission control.

  9. Chemically assisted release of transition metals in graphite vaporizers for atomic spectrometry

    International Nuclear Information System (INIS)

    Katskov, Dmitri; Darangwa, Nicholas; Grotti, Marco

    2006-01-01

    decomposition of metal oxide, is the most probable source of chemical energy, which facilitates the vaporization. Intensity of the process depends on chemical properties of the sample and substrate and efficiency of mass and heat transfer by the protective gas. The discussed mechanism of chemically assisted vapor release signifies the energy exchange between all participants of the vaporization process in ET AAS including the matrix, modifier, purge gas and analyte. The finding contributes in the ET AAS theory regarding the mechanisms of vaporization and mass transfer in the presence of matrix and modifiers

  10. Plasma-polymerized films providing selective affinity to the polarity of vaporized organic solvents

    International Nuclear Information System (INIS)

    Akimoto, Takuo; Ikeshita, Yusuke; Terashima, Ryo; Karube, Isao

    2009-01-01

    Plasma-polymerized films (PPFs) were fabricated as recognition membranes for a vapor-sensing device, and their affinity to vaporized organic solvents was evaluated with surface plasmon resonance. The affinity we intended to create is the selective sorption of the vaporized organic solvents depending on their polarity. For this purpose, acetonitrile, ethylenediamine (EDA), styrene, hexamethyldisiloxane (HMDSO), and hexamethyldisilazane were used to fabricate PPFs. Vaporized methanol, ethanol, and 1-propanol were used as high-polar solvents to be analyzed. Hexane, toluene, and p-xylene were used as low-polar solvents. As a result, the HMDSO-PPF with 97.3 o of contact angle was found to provide affinity to the low-polar solvents. In contrast, the EDA-PPF with 7.1 o of contact angle provided affinity to the high-polar solvents. Observations of the surface morphology of the HMDSO- and EDA-PPFs with a scanning electron microscope revealed that they are composed of nano-scale islands.

  11. Ionized physical vapor deposition (IPVD): A review of technology and applications

    International Nuclear Information System (INIS)

    Helmersson, Ulf; Lattemann, Martina; Bohlmark, Johan; Ehiasarian, Arutiun P.; Gudmundsson, Jon Tomas

    2006-01-01

    In plasma-based deposition processing, the importance of low-energy ion bombardment during thin film growth can hardly be exaggerated. Ion bombardment is an important physical tool available to materials scientists in the design of new materials and new structures. Glow discharges and in particular, the magnetron sputtering discharge have the advantage that the ions of the discharge are abundantly available to the deposition process. However, the ion chemistry is usually dominated by the ions of the inert sputtering gas while ions of the sputtered material are rare. Over the last few years, various ionized sputtering techniques have appeared that can achieve a high degree of ionization of the sputtered atoms, often up to 50% but in some cases as much as approximately 90%. This opens a complete new perspective in the engineering and design of new thin film materials. The development and application of magnetron sputtering systems for ionized physical vapor deposition (IPVD) is reviewed. The application of a secondary discharge, inductively coupled plasma magnetron sputtering (ICP-MS) and microwave amplified magnetron sputtering, is discussed as well as the high power impulse magnetron sputtering (HIPIMS), the self-sustained sputtering (SSS) magnetron, and the hollow cathode magnetron (HCM) sputtering discharges. Furthermore, filtered arc-deposition is discussed due to its importance as an IPVD technique. Examples of the importance of the IPVD-techniques for growth of thin films with improved adhesion, improved microstructures, improved coverage of complex shaped substrates, and increased reactivity with higher deposition rate in reactive processes are reviewed

  12. Heteroepitaxial Growth of Germanium-on-Silicon Using Ultrahigh-Vacuum Chemical Vapor Deposition with RF Plasma Enhancement

    Science.gov (United States)

    Alharthi, Bader; Grant, Joshua M.; Dou, Wei; Grant, Perry C.; Mosleh, Aboozar; Du, Wei; Mortazavi, Mansour; Li, Baohua; Naseem, Hameed; Yu, Shui-Qing

    2018-05-01

    Germanium (Ge) films have been grown on silicon (Si) substrate by ultrahigh-vacuum chemical vapor deposition with plasma enhancement (PE). Argon plasma was generated using high-power radiofrequency (50 W) to assist in germane decomposition at low temperature. The growth temperature was varied in the low range of 250°C to 450°C to make this growth process compatible with complementary metal-oxide-semiconductor technology. The material and optical properties of the grown Ge films were investigated. The material quality was determined by Raman and x-ray diffraction techniques, revealing growth of crystalline films in the temperature range of 350°C to 450°C. Photoluminescence spectra revealed improved optical quality at growth temperatures of 400°C and 450°C. Furthermore, material quality study using transmission electron microscopy revealed existence of defects in the Ge layer grown at 400°C. Based on the etch pit density, the average threading dislocation density in the Ge layer obtained at this growth temperature was measured to be 4.5 × 108 cm-2. This result was achieved without any material improvement steps such as use of graded buffer or thermal annealing. Comparison between PE and non-plasma-enhanced growth, in the same machine at otherwise the same growth conditions, indicated increased growth rate and improved material and optical qualities for PE growth.

  13. Plasma disruption modeling and simulation

    International Nuclear Information System (INIS)

    Hassanein, A.

    1994-01-01

    Disruptions in tokamak reactors are considered a limiting factor to successful operation and reliable design. The behavior of plasma-facing components during a disruption is critical to the overall integrity of the reactor. Erosion of plasma facing-material (PFM) surfaces due to thermal energy dump during the disruption can severely limit the lifetime of these components and thus diminish the economic feasibility of the reactor. A comprehensive understanding of the interplay of various physical processes during a disruption is essential for determining component lifetime and potentially improving the performance of such components. There are three principal stages in modeling the behavior of PFM during a disruption. Initially, the incident plasma particles will deposit their energy directly on the PFM surface, heating it to a very high temperature where ablation occurs. Models for plasma-material interactions have been developed and used to predict material thermal evolution during the disruption. Within a few microseconds after the start of the disruption, enough material is vaporized to intercept most of the incoming plasma particles. Models for plasma-vapor interactions are necessary to predict vapor cloud expansion and hydrodynamics. Continuous heating of the vapor cloud above the material surface by the incident plasma particles will excite, ionize, and cause vapor atoms to emit thermal radiation. Accurate models for radiation transport in the vapor are essential for calculating the net radiated flux to the material surface which determines the final erosion thickness and consequently component lifetime. A comprehensive model that takes into account various stages of plasma-material interaction has been developed and used to predict erosion rates during reactor disruption, as well during induced disruption in laboratory experiments

  14. EDITORIAL 37th European Physical Society Conference on Plasma Physics 37th European Physical Society Conference on Plasma Physics

    Science.gov (United States)

    Mendonça, Tito; Hidalgo, Carlos

    2010-12-01

    Introduction We are very pleased to present this special issue of Plasma Physics and Controlled Fusion dedicated to another annual EPS Plasma Physics Division Conference. It contains the invited papers of the 37th Conference, which was held at the Helix Arts Centre of the Dublin City University Campus, in Dublin, Ireland, from 21 to 25 June 2010. It was locally organized by a team drawn from different Irish institutions, led by Dublin City University and Queen's University Belfast. This team was coordinated by Professor Miles Turner (DCU), with the help of Dr Deborah O'Connell (QUB) as Scientific Secretary, and Ms Samantha Fahy (DCU) as Submissions Secretary. It attracted a large number of delegates (nearly 750), coming from 37 countries. Our Irish hosts provided an excellent atmosphere for the conference and social programme, very helpful for promoting personal links between conference participants. The Conference hosted three satellite meetings, and two special evening sessions. The satellite meetings were the Third Workshop on Plasma for Environmental Issues, the International Workshop on the Role of Arcing and Hot Spots in Magnetic Fusion Devices, and the Workshop on Electric Fields, Turbulence and Self-Organization in Magnetic Plasmas. The aim of this annual EPS Conference is to bring together the different communities of plasma physicists, in order to stimulate cross-collaboration and to promote in an integrated way this area of science. As in previous Conferences, we tried to attract the more relevant researchers and to present the latest developments in plasma physics and related areas. The Programme Committee was divided into four sub-committees, representing the main areas of plasma science. These four areas were magnetic confinement fusion (MCF), still the dominant area of this Conference with the largest number of participants, beam plasma and inertial fusion (BPIF), low temperature plasmas (LTP), which attracted a significant and growing number of

  15. Synthesis of nanocrystalline Cu1-xTax composites using physical vapor deposition

    International Nuclear Information System (INIS)

    Savage, H.S.; Wang, H.; Rigsbee, J.M.

    1993-01-01

    Physical vapor deposition (PVD) processes provide the capability for creating new types of metallic, ceramic, and polymeric composites by allowing atomic-scale engineering of structure and chemistry. Because PVD processes provide the capacity for circumventing thermodynamic factors, such as solubility limits, it is possible to produce nonequilibrium alloys and materials with unique mixtures of phases. The ease by which PVD produces materials with nanocrystalline microstructures is an added benefit of these processes. This paper describes ion plating, a plasma-assisted PVD process, and its application for the development of a new class of nanoscale dispersion-strengthened Cu 1-x Ta x alloys. Copper-tantalum was selected as a model system because the extensive liquid miscibility gap and nearly zero mutual solid solubilities prevent creation of Cu-Ta alloys by conventional or rapid solidification processes. Microchemical analyses of the family of Cu 1-x Ta x alloys indicate that PVD can produce materials with any desired level of Ta. X-ray diffraction and transmission electron microscopy analyses show that the as-deposited microstructures consist generally of a Cu matrix supersaturated with Ta and containing a uniform dispersion of Ta particles with diameters below 10 nm. The Ta particles are face centered cubic (exceptionally large Ta particles, larger than ∼100 nm, are body centered cubic) and are oriented identically with the Cu matrix. Particle coarsening studies, at temperatures up to 900C and for times as long as 100 hours, indicate an extreme degree of microstructural stability. The Ta particles also appear highly effective at maintaining a submicron Cu matrix grain size even after annealing at 900C

  16. Nonlinear Physics of Plasmas

    CERN Document Server

    Kono, Mitsuo

    2010-01-01

    A nonlinearity is one of the most important notions in modern physics. A plasma is rich in nonlinearities and provides a variety of behaviors inherent to instabilities, coherent wave structures and turbulence. The book covers the basic concepts and mathematical methods, necessary to comprehend nonlinear problems widely encountered in contemporary plasmas, but also in other fields of physics and current research on self-organized structures and magnetized plasma turbulence. The analyses make use of strongly nonlinear models solved by analytical techniques backed by extensive simulations and available experiments. The text is written for senior undergraduates, graduate students, lecturers and researchers in laboratory, space and fusion plasmas.

  17. Fundamentals of Plasma Physics

    International Nuclear Information System (INIS)

    Cargill, P J

    2007-01-01

    The widespread importance of plasmas in many areas of contemporary physics makes good textbooks in the field that are both introductory and comprehensive invaluable. This new book by Paul Bellen from CalTech by and large meets these goals. It covers the traditional textbook topics such as particle orbits, the derivation of the MHD equations from Vlasov theory, cold and warm plasma waves, Landau damping, as well as in the later chapters less common subjects such as magnetic helicity, nonlinear processes and dusty plasmas. The book is clearly written, neatly presented, and each chapter has a number of exercises or problems at their end. The author has also thankfully steered clear of the pitfall of filling the book with his own research results. The preface notes that the book is designed to provide an introduction to plasma physics for final year undergraduate and post-graduate students. However, it is difficult to see many physics undergraduates now at UK universities getting to grips with much of the content since their mathematics is not of a high enough standard. Students in Applied Mathematics departments would certainly fare better. An additional problem for the beginner is that some of the chapters do not lead the reader gently into a subject, but begin with quite advanced concepts. Being a multi-disciplinary subject, beginners tend to find plasma physics quite hard enough even when done simply. For postgraduate students these criticisms fade away and this book provides an excellent introduction. More senior researchers should also enjoy the book, especially Chapters 11-17 where more advanced topics are discussed. I found myself continually comparing the book with my favourite text for many years, 'The Physics of Plasmas' by T J M Boyd and J J Sanderson, reissued by Cambridge University Press in 2003. Researchers would want both books on their shelves, both for the different ways basic plasma physics is covered, and the diversity of more advanced topics. For

  18. The lithium vapor box divertor

    International Nuclear Information System (INIS)

    Goldston, R J; Schwartz, J; Myers, R

    2016-01-01

    It has long been recognized that volumetric dissipation of the plasma heat flux from a fusion power system is preferable to its localized impingement on a material surface. Volumetric dissipation mitigates both the anticipated very high heat flux and intense particle-induced damage due to sputtering. Recent projections to a tokamak demonstration power plant suggest an immense upstream parallel heat flux, of order 20 GW m −2 , implying that fully detached operation may be a requirement for the success of fusion power. Building on pioneering work on the use of lithium by Nagayama et al and by Ono et al as well as earlier work on the gas box divertor by Watkins and Rebut, we present here a concept for a lithium vapor box divertor, in which lithium vapor extracts momentum and energy from a fusion-power-plant divertor plasma, using fully volumetric processes. At the high powers and pressures that are projected this requires a high density of lithium vapor, which must be isolated from the main plasma in order to avoid lithium build-up on the chamber walls or in the plasma. Isolation is achieved through a powerful multi-box differential pumping scheme available only for condensable vapors. The preliminary box-wise calculations are encouraging, but much more work is required to demonstrate the practical viability of this scheme, taking into account at least 2D plasma and vapor flows within and between the vapor boxes and out of the vapor boxes to the main plasma. (paper)

  19. Enhanced field emission characteristics of boron doped diamond films grown by microwave plasma assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Koinkar, Pankaj M. [Center for International Cooperation in Engineering Education (CICEE), University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); Patil, Sandip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Kim, Tae-Gyu [Department of Nano System and Process Engineering, Pusan National University, 50 Cheonghak-ri, Samrangjin-eup, Miryang, Gyeongnam, Pusan 627-706 (Korea, Republic of); Yonekura, Daisuke [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); More, Mahendra A., E-mail: mam@physics.unipune.ac.in [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Joag, Dilip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Murakami, Ri-ichi, E-mail: murakami@me.tokushima-u.ac.jp [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan)

    2011-01-01

    Boron doped diamond films were synthesized on silicon substrates by microwave plasma chemical vapor deposition (MPCVD) technique. The effect of B{sub 2}O{sub 3} concentration varied from 1000 to 5000 ppm on the field emission characteristics was examined. The surface morphology and quality of films were characterized by scanning electron microscope (SEM) and Raman spectroscopy. The surface morphology obtained by SEM showed variation from facetted microcrystal covered with nanometric grains to cauliflower of nanocrystalline diamond (NCD) particles with increasing B{sub 2}O{sub 3} concentration. The Raman spectra confirm the formation of NCD films. The field emission properties of NCD films were observed to improve upon increasing boron concentration. The values of the onset field and threshold field are observed to be as low as 0.36 and 0.08 V/{mu}m, respectively. The field emission current stability investigated at the preset value of {approx}1 {mu}A is observed to be good, in each case. The enhanced field emission properties are attributed to the better electrical conductivity coupled with the nanometric features of the diamond films.

  20. Plasma physics

    International Nuclear Information System (INIS)

    1979-01-01

    This report contains the papers delivered at the AEB - Natal University summer school on plasma physics held in Durban during January 1979. The following topics were discussed: Tokamak devices; MHD stability; trapped particles in tori; Tokamak results and experiments; operating regime of the AEB Tokamak; Tokamak equilibrium; high beta Tokamak equilibria; ideal Tokamak stability; resistive MHD instabilities; Tokamak diagnostics; Tokamak control and data acquisition; feedback control of Tokamaks; heating and refuelling; neutral beam injection; radio frequency heating; nonlinear drift wave induced plasma transport; toroidal plasma boundary layers; microinstabilities and injected beams and quasilinear theory of the ion acoustic instability

  1. Influence of atmospheric plasma on physicochemical properties of vapor-grown graphite nanofibers.

    Science.gov (United States)

    Seo, Min-Kang; Park, Soo-Jin; Lee, Sang-Kwan

    2005-05-01

    Vapor-grown graphite nanofibers (GNFs) were modified by plasma treatments using low-pressure plasmas with different gases (Ar gas only and/or Ar/O2 gases), flow rates, pressures, and powers. Surface characterizations and morphologies of the GNFs after plasma treatment were investigated by X-ray photoelectron spectroscopy (XPS), contact angle, titration, and transmission electron microscopy (TEM) measurements. Also, the investigation of thermomechanical behavior and impact strengths of the GNFs/epoxy composites was performed by dynamic-mechanical thermal analysis (DMTA) and Izod impact testing, respectively. The plasma treatment of the fibers changed the surface morphologies by forming a layer with a thickness on the order of 1 nm, mainly consisting of oxygen functional groups such as hydroxyl, carbonyl, and carboxyl groups. After functionalization of the complete surfaces, further plasma treatment did not enhance the superficial oxygen content but slightly changed the portions of the functional groups. Also, the composites with plasma-treated GNFs showed an increase in T(g) and impact strength compared to the composites containing the same amount of plasma-untreated GNFs.

  2. Physical and mathematical modeling of diesel fuel liquid and vapor movement in porous media

    International Nuclear Information System (INIS)

    Johnson, T.E.; Kreamer, D.K.

    1994-01-01

    Two-dimensional physical modeling of diesel fuel leaks was conducted in sand tanks to determine liquid and vapor migration characteristics. Mathematical modeling provided estimation of vapor concentrations at discrete times and distances from the vapor source and was compared to the physical experiment. The mathematical gaseous diffusion model was analogous to the Theis equation for ground-water flow, accounted for sorptive effects of the media, and was calibrated using measured concentrations from the sand tank. Mathematically different positions of the vapor source were tested to better relate observed liquid flow rates and media configuration to gaseous concentrations. The calculated diffusion parameters were then used to estimate theoretical, three-dimensional vapor transport from a hypothetical liquid leak of 2.0 1/hr for 30 days. The associated three-dimensional vapor plume, which would be reasonably detectable by commercially available vadose zone monitors, was estimated to have a diameter of 8 m with a vapor concentration of 50 ppm at the outside edge of the vapor plume. A careful application of the method and values can be used to give a first approximation to the number of vapor monitors required at a field site as well as the optimal locations for the monitors

  3. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Numerical modeling and experimental simulation of vapor shield formation and divertor material erosion for ITER typical plasma disruptions

    International Nuclear Information System (INIS)

    Wuerz, H.; Arkhipov, N.I.; Bakhin, V.P.; Goel, B.; Hoebel, W.; Konkashbaev, I.; Landman, I.; Piazza, G.; Safronov, V.M.; Sherbakov, A.R.; Toporkov, D.A.; Zhitlukhin, A.M.

    1994-01-01

    The high divertor heat load during a tokamak plasma disruption results in sudden evaporation of a thin layer of divertor plate material, which acts as vapor shield and protects the target from further excessive evaporation. Formation and effectiveness of the vapor shield are theoretically modeled and experimentally investigated at the 2MK-200 facility under conditions simulating the thermal quench phase of ITER tokamak plasma disruptions. In the optical wavelength range C II, C III, C IV emission lines for graphite, Cu I, Cu II lines for copper and continuum radiation for tungsten samples are observed in the target plasma. The plasma expands along the magnetic field lines with velocities of (4±1)x10 6 cm/s for graphite and 10 5 cm/s for copper. Modeling was done with a radiation hydrodynamics code in one-dimensional planar geometry. The multifrequency radiation transport is treated in flux limited diffusion and in forward reverse transport approximation. In these first modeling studies the overall shielding efficiency for carbon and tungsten defined as ratio of the incident energy and the vaporization energy for power densities of 10 MW/cm 2 exceeds a factor of 30. The vapor shield is established within 2 μs, the power fraction to the target after 10 μs is below 3% and reaches in the stationary state after about 20 μs a value of around 1.5%. ((orig.))

  5. Laser pulse propagation in a meter scale rubidium vapor/plasma cell in AWAKE experiment

    CERN Document Server

    Joulaei, Atefeh; Berti, Nicolas; Kasparian, Jerome; Mirzanejhad, Saeed; Muggli, Patric

    2016-01-01

    We present the results of numerical studies of laser pulse propagating in a 3.5 cm Rb vapor cell in the linear dispersion regime by using a 1D model and a 2D code that has been modified for our special case. The 2D simulation finally aimed at finding laser beam parameters suitable to make the Rb vapor fully ionized to obtain a uniform, 10 m-long, at least 1 mm in radius plasma in the next step for the AWAKE experiment.

  6. Optical emission spectroscopy of metal vapor dominated laser-arc hybrid welding plasma

    International Nuclear Information System (INIS)

    Ribic, B.; DebRoy, T.; Burgardt, P.

    2011-01-01

    During laser-arc hybrid welding, plasma properties affect the welding process and the weld quality. However, hybrid welding plasmas have not been systematically studied. Here we examine electron temperatures, species densities, and electrical conductivity for laser, arc, and laser-arc hybrid welding using optical emission spectroscopy. The effects of arc currents and heat source separation distances were examined because these parameters significantly affect weld quality. Time-average plasma electron temperatures, electron and ion densities, electrical conductivity, and arc stability decrease with increasing heat source separation distance during hybrid welding. Heat source separation distance affects these properties more significantly than the arc current within the range of currents considered. Improved arc stability and higher electrical conductivity of the hybrid welding plasma result from increased heat flux, electron temperatures, electron density, and metal vapor concentrations relative to arc or laser welding.

  7. High-temperature plasma physics

    International Nuclear Information System (INIS)

    Furth, H.P.

    1988-03-01

    Both magnetic and inertial confinement research are entering the plasma parameter range of fusion reactor interest. This paper reviews the individual and common technical problems of these two approaches to the generation of thermonuclear plasmas, and describes some related applications of high-temperature plasma physics

  8. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  9. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  10. International conference on plasma physics

    International Nuclear Information System (INIS)

    Silin, V.P.; Sitenko, A.G.

    1985-01-01

    A brief report on the 6th International conference on plasma physics and on the 6th International Congress on plasma waves and plasma instabilities, which have taken place in summer 1984 in Losanne, is presented. Main items of the conference are enlightened, such as the general theory of a plasma, laboratory plasma, thermonuclear plasma, cosmic plasma and astrophysics

  11. Improved ERO modelling for spectroscopy of physically and chemically assisted eroded beryllium from the JET-ILW

    Directory of Open Access Journals (Sweden)

    D. Borodin

    2016-12-01

    Full Text Available Physical and chemical assisted physical sputtering were characterised by the BeI and BeII line and BeD band emission in the observation chord measuring the sightline integrated emission in front of the inner beryllium limiter at the torus midplane. The 3D local transport and plasma-surface interaction Monte-Carlo modelling (ERO code [18] is a key for the interpretation of the observations in the vicinity of the shaped solid Be limiter. The plasma parameter variation (density scan in limiter regime has provided a useful material for the simulation benchmark. The improved background plasma parameters input, the new analytical expression for particle tracking in the sheath region and implementation of the BeD release into ERO has helped to clarify some deviations between modelling and experiments encountered in the previous studies [4,5]. Reproducing the observations provides additional confidence in our ‘ERO-min’ fit for the physical sputtering yields for the plasma-wetted areas based on simulated data.

  12. Synthesis of TiO2 Nanoparticles from Ilmenite Through the Mechanism of Vapor-Phase Reaction Process by Thermal Plasma Technology

    Science.gov (United States)

    Samal, Sneha

    2017-11-01

    Synthesis of nanoparticles of TiO2 was carried out by non-transferred arc thermal plasma reactor using ilmenite as the precursor material. The powder ilmenite was vaporized at high temperature in plasma flame and converted to a gaseous state of ions in the metastable phase. On cooling, chamber condensation process takes place on recombination of ions for the formation of nanoparticles. The top-to-bottom approach induces the disintegration of complex ilmenite phases into simpler compounds of iron oxide and titanium dioxide phases. The vapor-phase reaction mechanism was carried out in thermal plasma zone for the synthesis of nanoparticles from ilmenite compound in a plasma reactor. The easy separation of iron particles from TiO2 was taken place in the plasma chamber with deposition of light TiO2 particles at the top of the cooling chamber and iron particles at the bottom. The dissociation and combination process of mechanism and synthesis are studied briefly in this article. The product TiO2 nanoparticle shows the purity with a major phase of rutile content. TiO2 nanoparticles produced in vapor-phase reaction process shows more photo-induced capacity.

  13. Physical model for vaporization

    OpenAIRE

    Garai, Jozsef

    2006-01-01

    Based on two assumptions, the surface layer is flexible, and the internal energy of the latent heat of vaporization is completely utilized by the atoms for overcoming on the surface resistance of the liquid, the enthalpy of vaporization was calculated for 45 elements. The theoretical values were tested against experiments with positive result.

  14. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  15. Plasma Physics An Introduction to Laboratory, Space, and Fusion Plasmas

    CERN Document Server

    Piel, Alexander

    2010-01-01

    Plasma Physics gives a comprehensive introduction to the basic processes in plasmas and demonstrates that the same fundamental concepts describe cold gas-discharge plasmas, space plasmas, and hot fusion plasmas. Starting from particle drifts in magnetic fields, the principles of magnetic confinement fusion are explained and compared with laser fusion. Collective processes are discussed in terms of plasma waves and instabilities. The concepts of plasma description by magnetohydrodynamics, kinetic theory, and particle simulation are stepwise introduced. Space charge effects in sheath regions, double layers and plasma diodes are given the necessary attention. The new fundamental mechanisms of dusty plasmas are explored and integrated into the framework of conventional plasmas. The book concludes with a brief introduction to plasma discharges. Written by an internationally renowned researcher in experimental plasma physics, the text keeps the mathematical apparatus simple and emphasizes the underlying concepts. T...

  16. Using advanced oxidation treatment for biofilm inactivation by varying water vapor content in air plasma

    Science.gov (United States)

    Ryota, Suganuma; Koichi, Yasuoka

    2015-09-01

    Biofilms are caused by environmental degradation in food factories and medical facilities. The inactivation of biofilms involves making them react with chemicals including chlorine, hydrogen peroxide, and ozone, although inactivation using chemicals has a potential problem because of the hazardous properties of the residual substance and hydrogen peroxide, which have slow reaction velocity. We successfully performed an advanced oxidation process (AOP) using air plasma. Hydrogen peroxide and ozone, which were used for the formation of OH radicals in our experiment, were generated by varying the amount of water vapor supplied to the plasma. By varying the content of the water included in the air, the main product was changed from air plasma. When we increased the water content in the air, hydrogen peroxide was produced, while ozone peroxide was produced when we decreased the water content in the air. By varying the amount of water vapor, we realized a 99.9% reduction in the amount of bacteria in the biofilm when we discharged humidified air only. This work was supported by JSPS KAKENHI Grant Number 25630104.

  17. Edge plasma physical investigations of tokamak plasmas in CRIP

    International Nuclear Information System (INIS)

    Bakos, J.; Ignacz, P.; Koltai, L.; Paszti, F.; Petravich, G.; Szigeti, J.; Zoletnik, S.

    1988-01-01

    The results of the measurements performed in the field of thermonuclear high temperature plasma physics in CRIP (Hungary) are summarized. In the field of the edge plasma physics solid probes were used to test the external zone of plasma edges, and atom beams and balls were used to investigate both the external and internal zones. The plasma density distribution was measured by laser blow-off technics, using Na atoms, which are evaporated by laser pulses. The excitation of Na atom ball by tokamak plasma gives information on the status of the plasma edge. The toroidal asymmetry of particle transport in tokamak plasma was measured by erosion probes. The evaporated and transported impurities were collected on an other part of the plasma edge and were analyzed by SIMS and Rutherford backscattering. The interactions in plasma near the limiter were investigated by a special limiter with implemented probes. Recycling and charge exchange processes were measured. Disruption phenomena of tokamak plasma were analyzed and a special kind of disruptions, 'soft disruptions' and the related preliminary perturbations were discovered. (D.Gy.) 10 figs

  18. Physics through the 1990s: Plasmas and fluids

    International Nuclear Information System (INIS)

    1986-01-01

    This survey of plasma physics and fluid physics briefly describes present activities and recent major accomplishments. It also identifies research areas that are likely to lead to advances during the next decade. Plasma physics is divided into three major areas: general plasma physics, fusion plasma confinement and heating, and space and astrophysical plasmas. Fluid physics is treated as one topic, although it is an extremely diverse research field ranging from biological fluid dynamics to ship and aircraft performance to geological fluid dynamics. Subpanels, chosen for their technical expertise and scientific breadth, reviewed each of the four areas. The entire survey was coordinated and supervised by an Executive Committee, which is also responsible for the Executive Summary of this volume. Wherever possible, input from recent Advisory Committees was used, e.g., from the Magnetic Fusion Advisory Committee, the Space Science Board, and the Astronomy Survey Committee. This volume is organized as follows: An Introduction and Executive Summary that outlines (1) major findings and recommendations; (2) significant research accomplishments during the past decade and likely areas of future research emphasis; and (3) a brief summary of present funding levels, manpower resources, and institutional involvement; and the subpanel reports constitute Fluid Physics, General Plasma Physics, Fusion Plasma Confinement and Heating, and Space and Astrophysical Plasmas. An important conclusion of this survey is that both plasma physics and fluid physics are scientifically and intellectually well developed, and both ares are broad subdisciplines of physics. We therefore recommend that future physics surveys have separate volumes on the physics of plasmas and the physics of fluids

  19. Plasma Physics and Controlled Nuclear Fusion Research. Vol. II. Proceedings of a Conference on Plasma Physics and Controlled Physics Research

    International Nuclear Information System (INIS)

    1966-01-01

    Research on controlled nuclear fusion was first disclosed at the Second United Nations Conference on the Peaceful Uses of Atomic Energy, held at Geneva in 1958. From the information given, it was evident that a better understanding of the behaviour of hot dense plasmas was needed before the goal of economic energy release from nuclear fusion could be reached. The fact that research since then has been most complex and costly has enhanced the desirability of international co-operation and exchange of information and experience. Having organized its First Conference on Plasma Physics and Controlled Nuclear Fusion Research at Salzburg in 1961, the International Atomic Energy Agency again provided the means for such cooperation in organizing its Second Conference on this subject on 6-10 September, 1965, at Culham, Abingdon, Berks, England. The meeting was arranged with the generous help of the United Kingdom Atomic Energy Authority at their Culham Laboratory, where the facilities and assistance of the staff were greatly appreciated. At the meeting, which was attended by 268 participants from 26 member states and three international organizations, significant results from many experiments, including those from the new and larger machines, became available. It has now become feasible to intercorrelate data obtained from a number of similar machines; this has led to a more complete understanding of plasma behaviour. No breakthrough was reported nor had been expected towards the economical release of the energy from fusion, but there was increased understanding of the problems of production, control and containment of high-density and high-temperature plasmas

  20. Vol. 6: Plasma Physics

    International Nuclear Information System (INIS)

    Sitenko, A.

    1993-01-01

    Problems of modern physics and the situation with physical research in Ukraine are considered. Programme of the conference includes scientific and general problems. Its proceeding are published in 6 volumes. The papers presented in this volume refer to plasma physics

  1. Twentyseventh European physical society conference on controlled fusion and plasma physics

    International Nuclear Information System (INIS)

    Igitkhanov, Y.

    2000-01-01

    The twentyseventh European physical society conference on controlled fusion and plasma physics was held in Budapest, 12-16 June 2000. About 10 invited papers were presented, covering a wide range of problems in plasma physics, including confinement and transport issues in fusion devices, astrophysics and industrial application of plasmas. More than 100 papers were presented on plasma theory and experiments from tokamaks and stellarators. Some of the ITER-relevant issues covered are described in this newsletter

  2. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  3. High-Latitude Space Plasma Physics

    International Nuclear Information System (INIS)

    Hultqvist, B.; Hagfors, T.

    1983-01-01

    This book constitutes the proceedings of the Nobel Symposium No. 54 on High Latitude Magnetospheric/Ionospheric Plasma Physics. The main purpose of the symposium was to prepare for the European research effort in space plasma physics in the mid-1980's, in which two major constituents are the European Incoherent Scatter Association (EISCAT) facilities and the Swedish satellite Viking. The physics of the high-latitude ionosphere and how this part of near space is affected by the properties of the solar wind and the interplanetary magnetic field are explored. A detailed discussion is provided on high-latitude magnetospheric physics at altitudes of 1-2 earth radii, the main focus of the Viking project. Specific topics considered include the role of the auroral ionosphere in magnetospheric substorms, the low altitude cleft, ionospheric modification and stimulated emissions, plasma physics on auroral field lines, solar wind-magnetosphere energy coupling, cold plasma distribution above a few thousand kilometers at high latitudes, hot electrons in and above the auroral ionosphere, the correlation of auroral kilometric radiation with visual auroras and with Birkeland currents, electrostatic waves in the topside ionosphere, solitary waves and double layers, and an Alfven wave model of auroral arcs

  4. Computational Methods in Plasma Physics

    CERN Document Server

    Jardin, Stephen

    2010-01-01

    Assuming no prior knowledge of plasma physics or numerical methods, Computational Methods in Plasma Physics covers the computational mathematics and techniques needed to simulate magnetically confined plasmas in modern magnetic fusion experiments and future magnetic fusion reactors. Largely self-contained, the text presents the basic concepts necessary for the numerical solution of partial differential equations. Along with discussing numerical stability and accuracy, the author explores many of the algorithms used today in enough depth so that readers can analyze their stability, efficiency,

  5. Physical processes in hot cosmic plasmas

    International Nuclear Information System (INIS)

    Fabian, A.G.; Giovannelli, F.

    1990-01-01

    The interpretation of many high energy astrophysical phenomena relies on a detailed knowledge of radiation and transport processes in hot plasmas. The understanding of these plasma properties is one of the aims of terrestrial plasma physics. While the microscopic properties of astrophysical plasmas can hardly be determined experimentally, laboratory plasmas are more easily accessible to experimental techniques, but transient phenomena and the interaction of the plasma with boundaries often make the interpretation of measurements cumbersome. This book contains the talks given at the NATO Advanced Research Workshop on astro- and plasma-physics in Vulcano, Sicily, May 29-June 2, 1989. The book focuses on three main areas: radiation transport processes in hot (astrophysical and laboratory) plasmas; magnetic fields; their generation, reconnection and their effects on plasma transport properties; relativistic and ultra-high density plasmas

  6. Preparation of Pb(Zr, Ti)O3 Thin Films by Plasma-Assisted Sputtering

    Science.gov (United States)

    Hioki, Tsuyoshi; Akiyama, Masahiko; Ueda, Tomomasa; Onozuka, Yutaka; Suzuki, Kouji

    1999-09-01

    A novel plasma-assisted RF magnetron sputtering system with an immersed coil antenna between a target and a substrate was applied for preparing Pb(Zr, Ti)O3 (PZT) thin films. The antenna enabled the generation of inductively coupled plasma (ICP) independently of the target RF source. The plasma assisted by the antenna resulted in the changes of ion fluxes and these energy distributions irradiating to the substrate. The crystalline phase of the deposited PZT thin films was occupied by the perovskite phase depending on the antenna power. In addition, a high deposition rate, modified uniformity of film thickness, and a dense film structure with large columnar grains were obtained as a result of effects of the assisted plasma. The application of the plasma-assisted sputtering method may enable the preparation of PZT thin films that haveexcellent properties.

  7. The physics of non-ideal plasma

    CERN Document Server

    Fortov, Vladimir E

    2000-01-01

    This book is devoted to the physical properties of nonideal plasma which is compressed so strongly that the effects of interparticle interactions govern the plasma behavior. The interest in this plasma was generated by the development of modern technologies and facilities whose operations were based on high densities of energy. In this volume, the methods of nonideal plasma generation and diagnostics are considered. The experimental results are given and the main theoretical models of nonideal plasma state are discussed. The problems of thermodynamics, electro-physics, optics and dynamic stabi

  8. Plasma formulary for physics, astronomy, and technology

    CERN Document Server

    Diver, Declan

    2013-01-01

    This collection of fundamental formulae, up-to-date references and definitions in plasma physics is vital to anyone with an interest in plasmas or ionized gases, whether in physics, astronomy or engineering. Both theorists and experimentalists will find this book useful, as it incorporates the latest results and findings, with extended coverage of fusion plasma, plasma in stellar winds, reaction rates, engineering plasma and many other topics. The text is also unique in treating astrophysical plasmas, fusion plasmas, industrial plasmas and low temperature plasmas as aspects of the same discipline.

  9. DBD plasma assisted combustion for 1D flat flame

    NARCIS (Netherlands)

    Elkholy, A.H.E.

    2015-01-01

    The potential use of non-equilibrium plasma for ignition and combustion control has garnered increasing interest due to the possibility of plasma-assisted approaches for ignition and flame stabilization. During the past decade, significant progress has been made toward understanding the mechanisms

  10. Controlled fusion and plasma physics

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1996-12-31

    This document presents the several speeches that took place during the 22nd European Physical Society conference on Controlled Fusion and Plasma Physics in Bournemouth, UK, between the 2nd and 7th July 1995. The talks deal with new experiments carried out on several tokamaks, particularly Tore Supra, concerning plasma confinement and fusion. Some information on specific fusion devices or tokamak devices is provided, as well as results of experiments concerning plasma instability. Separate abstracts were prepared for all the 31 papers in this volume. (TEC).

  11. Controlled fusion and plasma physics

    International Nuclear Information System (INIS)

    1995-01-01

    This document presents the several speeches that took place during the 22nd European Physical Society conference on Controlled Fusion and Plasma Physics in Bournemouth, UK, between the 2nd and 7th July 1995. The talks deal with new experiments carried out on several tokamaks, particularly Tore Supra, concerning plasma confinement and fusion. Some information on specific fusion devices or tokamak devices is provided, as well as results of experiments concerning plasma instability. Separate abstracts were prepared for all the 31 papers in this volume. (TEC)

  12. Controlled fusion and plasma physics

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-12-31

    This document presents the several speeches that took place during the 22nd European Physical Society conference on Controlled Fusion and Plasma Physics in Bournemouth, UK, between the 2nd and 7th July 1995. The talks deal with new experiments carried out on several tokamaks, particularly Tore Supra, concerning plasma confinement and fusion. Some information on specific fusion devices or tokamak devices is provided, as well as results of experiments concerning plasma instability. Separate abstracts were prepared for all the 31 papers in this volume. (TEC).

  13. Atmospheric pressure plasma enhanced chemical vapor deposition of zinc oxide and aluminum zinc oxide

    International Nuclear Information System (INIS)

    Johnson, Kyle W.; Guruvenket, Srinivasan; Sailer, Robert A.; Ahrenkiel, S. Phillip; Schulz, Douglas L.

    2013-01-01

    Zinc oxide (ZnO) and aluminum-doped zinc oxide (AZO) thin films were deposited via atmospheric pressure plasma enhanced chemical vapor deposition. A second-generation precursor, bis(1,1,1,5,5,5-hexafluoro-2,4-pentanedionato)(N,N′-diethylethylenediamine) zinc, exhibited significant vapor pressure and good stability at one atmosphere where a vaporization temperature of 110 °C gave flux ∼ 7 μmol/min. Auger electron spectroscopy confirmed that addition of H 2 O to the carrier gas stream mitigated F contamination giving nearly 1:1 metal:oxide stoichiometries for both ZnO and AZO with little precursor-derived C contamination. ZnO and AZO thin film resistivities ranged from 14 to 28 Ω·cm for the former and 1.1 to 2.7 Ω·cm for the latter. - Highlights: • A second generation precursor was utilized for atmospheric pressure film growth. • Addition of water vapor to the carrier gas stream led to a marked reduction of ZnF 2 . • Carbonaceous contamination from the precursor was minimal

  14. Plasma Physics at the National Science Foundation

    Science.gov (United States)

    Lukin, Vyacheslav

    2017-10-01

    The Town Meeting on Plasma Physics at the National Science Foundation will provide an opportunity for Q&A about the variety of NSF programs and solicitations relevant to a broad cross-section of the academic plasma science community, from graduating college seniors to senior leaders in the field, and from plasma astrophysics to basic physics to plasma engineering communities. We will discuss recent NSF-hosted events, research awards, and multi-agency partnerships aimed at enabling the progress of science in plasma science and engineering. Future outlook for plasma physics and broader plasma science support at NSF, with an emphasis on how you can help NSF to help the community, will be speculated upon within the uncertainty of the federal budgeting process.

  15. Plasma Physics Network Newsletter. No. 3

    International Nuclear Information System (INIS)

    1991-02-01

    This issue of the Newsletter contains a report on the First South-North International Workshop on Fusion Theory, Tipaza, Algeria, 17-20 September, 1990; a report in the issuance of the ''Buenos Aires Memorandum'' generated during the IV Latin American Workshop on Plasma Physics, Argentina, July 1990, and containing a proposal that the IFRC establish a ''Steering Committee on North-South Collaboration in Controlled Nuclear Fusion and Plasma Physics Research''; the announcement that the 14th International Conference on Plasma Physics and Controlled Nuclear Fusion will be held in Wuerzburg, Germany, September 30 - October 7, 1992; a list of IAEA technical committee meetings for 1991; an item on ITER news; an article ''Long-Term Physics R and D Planning (for ITER)'' by F. Engelmann; in the planned sequence of ''Reports on National Fusion Programmes'' contributions on the Chinese and Yugoslav programmes; finally, the titles and contacts for two other newsletters of potential interest, i.e., the AAAPT (Asian African Association for Plasma Training) Newsletter, and the IPG (International Physics Group-a sub-unit of the American Physical Society) Newsletter

  16. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  17. Physics of Space Plasma Activity

    International Nuclear Information System (INIS)

    Cramer, N F

    2007-01-01

    This book provides a timely review of our present understanding of plasma phenomena in magnetized terrestrial and solar space plasmas. The author's emphasis is on the fluid and particle modeling and interpretation of observed active processes in space plasmas, i.e. 'the physical background of large plasma eruptions in space'. It is somewhat alarming for a plasma physicist to read that an emphasis on processes in spatially inhomogeneous plasmas means that the work '... excludes a considerable fraction of the available methods in space plasma physics, such as the theory of waves, instabilities and wave particle interactions on a homogeneous background', particularly in light of the fact that much of our knowledge of these plasmas is derived from observations of such waves. However, it is clear on reading the book that such a restriction is not a disadvantage, but allows the author to concentrate on the main theme of the book, namely the use of fluid and particle pictures to model the equilibrium and active states of space plasmas. There are many other books which cover the wave aspects of space plasmas, and would complement this book. The book's coverage is based on the extensive and profound research of the author and his colleagues in the area of fluid and particle modeling of space plasma structures. After an introduction to the physical setting of active plasmas, and a necessarily concise, but effective, discussion of the fluid and particle models to be used, the steady states of the magnetized plasmas of interest are treated, including the magnetosphere, solar plasmas and current sheets. Next the dynamics of unstable states is covered, including MHD and tearing instabilities, and nonlinear aspects, with a detailed discussion of magnetic reconnection. Finally, the models are applied to magnetospheric and solar observations. The book is attractively written and produced, and this reviewer managed to find a minimum number of errors. A particularly attractive

  18. Electron cyclotron resonance heating assisted plasma startup in the Tore Supra tokamak

    International Nuclear Information System (INIS)

    Bucalossi, J.; Hertout, P.; Lennholm, M.; Saint-Laurent, F.; Bouquey, F.; Darbos, C.; Traisnel, E.

    2009-04-01

    ECRH assisted plasma startup at fundamental resonance is investigated in Tore Supra in view of ITER operation. ECRH pre-ionisation is found to be very efficient allowing plasma initiation in a wide range of pre-fill pressure compared to ohmic startup. Reliable assisted startup has been achieved at the ITER reference toroidal electric field (0.3 V/m) with 160 kW of ECRH. Resonance location scan indicates that the plasma is initiated at the resonance location and that the plasma current channel position had to be real-time controlled since the very beginning of the discharge to obtain robust plasma startup. (authors)

  19. Highly selective etching of silicon nitride to physical-vapor-deposited a-C mask in dual-frequency capacitively coupled CH2F2/H2 plasmas

    International Nuclear Information System (INIS)

    Kim, J. S.; Kwon, B. S.; Heo, W.; Jung, C. R.; Park, J. S.; Shon, J. W.; Lee, N.-E.

    2010-01-01

    A multilevel resist (MLR) structure can be fabricated based on a very thin amorphous carbon (a-C) layer ( congruent with 80 nm) and Si 3 N 4 hard-mask layer ( congruent with 300 nm). The authors investigated the selective etching of the Si 3 N 4 layer using a physical-vapor-deposited (PVD) a-C mask in a dual-frequency superimposed capacitively coupled plasma etcher by varying the process parameters in the CH 2 F 2 /H 2 /Ar plasmas, viz., the etch gas flow ratio, high-frequency source power (P HF ), and low-frequency source power (P LF ). They found that under certain etch conditions they obtain infinitely high etch selectivities of the Si 3 N 4 layers to the PVD a-C on both the blanket and patterned wafers. The etch gas flow ratio played a critical role in determining the process window for infinitely high Si 3 N 4 /PVD a-C etch selectivity because of the change in the degree of polymerization. The etch results of a patterned ArF photoresisit/bottom antireflective coating/SiO x /PVD a-C/Si 3 N 4 MLR structure supported the idea of using a very thin PVD a-C layer as an etch-mask layer for the Si 3 N 4 hard-mask pattern with a pattern width of congruent with 80 nm and high aspect ratio of congruent with 5.

  20. A Penning-assisted subkilovolt coaxial plasma source

    International Nuclear Information System (INIS)

    Wang Zhehui; Beinke, Paul D.; Barnes, Cris W.; Martin, Michael W.; Mignardot, Edward; Wurden, Glen A.; Hsu, Scott C.; Intrator, Thomas P.; Munson, Carter P.

    2005-01-01

    A Penning-assisted 20 MW coaxial plasma source (plasma gun), which can achieve breakdown at sub-kV voltages, is described. The minimum breakdown voltage is about 400 V, significantly lower than previously reported values of 1-5 kV. The Penning region for electrons is created using a permanent magnet assembly, which is mounted to the inside of the cathode of the coaxial plasma source. A theoretical model for the breakdown is given. A 900 V 0.5 F capacitor bank supplies energy for gas breakdown and plasma sustainment from 4 to 6 ms duration. Typical peak gun current is about 100 kA and gun voltage between anode and cathode after breakdown is about 200 V. A circuit model is used to understand the current-voltage characteristics of the coaxial gun plasma. Energy deposited into the plasma accounts for about 60% of the total capacitor bank energy. This plasma source is uniquely suitable for studying multi-MW multi-ms plasmas with sub-MJ capacitor bank energy

  1. The EPFL Plasma Physics Research Centre

    International Nuclear Information System (INIS)

    2001-01-01

    The Plasma Physics Research Centre (CRPP) is a non-departmental unit of the EPFL, and currently employs about 130 people, about 105 on the EPFL site and the rest at the Paul Scherrer Institute, PSI, in Villigen, Switzerland. The CRPP is a National Competence Centre in the field of Plasma Physics. In addition to plasma physics teaching, its missions are primarily the pursuit of scientific research in the field of controlled fusion within the framework of the EURATOM-Swiss Confederation Association and the development of its expertise as well as technology transfer in the field of materials research. As the body responsible for all scientific work on controlled fusion in Switzerland, the CRPP plays a national role of international significance. This document of 6 pages presents the explanation of the Plasma Physics Research Centre' activities (CRPP). (author)

  2. Plasma assisted combustion of parafin mixture

    International Nuclear Information System (INIS)

    Nedybaliuk, O.A.; Chernyak, V.Ya.; Martysh, E.V.; Lisitchenko, T.E.; Vergun, O.Yu.; Orlovska, S.G.

    2013-01-01

    In this work the results of solid paraffin combustion with the aid of the plasma of transverse and rotational gliding arc studies are represented. The question of the additional activation of paraffin based solid fuels is examined. The mixture of n-paraffin and stearin in the solid state as the model of the solid paraffin based fuel is used. The plasma assisted combustion of this model is experimentally investigated. The voltage-current characteristics of discharge at the different regimes are measured. The population temperatures of excited rotational levels are determined. The flame temperature during the combustion of solid paraffin containing mixture is calculated

  3. A plasma formulary for physics, technology, and astrophysics

    CERN Document Server

    Diver, Declan

    2011-01-01

    Plasma physics has matured rapidly as a discipline, and now touches on many different research areas, including manufacturing processes. This collection of fundamental formulae and definitions in plasma physics is vital to anyone with an interest in plasmas or ionized gases, whether in physics, astronomy or engineering.Both theorists and experimentalists will find this book useful, as it incorporates the latest results and findings.The text treats astrophysical plasmas, fusion plasmas, industrial plasmas and low temperature plasmas as aspects of the same discipline - a unique approach made pos

  4. Considerations of particle vaporization and analyte diffusion in single-particle inductively coupled plasma-mass spectrometry

    International Nuclear Information System (INIS)

    Ho, Koon-Sing; Lui, Kwok-On; Lee, Kin-Ho; Chan, Wing-Tat

    2013-01-01

    The intensity of individual gold nanoparticles with nominal diameters of 80, 100, 150, and 200 nm was measured using single-particle inductively coupled plasma-mass spectrometry (ICP-MS). Since the particles are not perfectly monodisperse, a distribution of ICP-MS intensity was obtained for each nominal diameter. The distribution of particle mass was determined from the transmission electron microscopy (TEM) image of the particles. The distribution of ICP-MS intensity and the distribution of particle mass for each nominal diameter were correlated to give a calibration curve. The calibration curves are linear, but the slope decreases as the nominal diameter increases. The reduced slope is probably due to a smaller degree of vaporization of the large particles. In addition to the degree of particle vaporization, the rate of analyte diffusion in the ICP is an important factor that determines the measured ICP-MS intensity. Simulated ICP-MS intensity versus particle size was calculated using a simple computer program that accounts for the vaporization rate of the gold nanoparticles and the diffusion rate and degree of ionization of the gold atoms. The curvature of the simulated calibration curves changes with sampling depth because the effects of particle vaporization and analyte diffusion on the ICP-MS intensity are dependent on the residence time of the particle in the ICP. Calibration curves of four hypothetical particles representing the four combinations of high and low boiling points (2000 and 4000 K) and high and low analyte diffusion rates (atomic masses of 10 and 200 Da) were calculated to further illustrate the relative effects of particle vaporization and analyte diffusion. The simulated calibration curves show that the sensitivity of single-particle ICP-MS is smaller than that of the ICP-MS measurement of continuous flow of standard solutions by a factor of 2 or more. Calibration using continuous flow of standard solution is semi-quantitative at best. An

  5. A Lithium Vapor Box Divertor Similarity Experiment

    Science.gov (United States)

    Cohen, Robert A.; Emdee, Eric D.; Goldston, Robert J.; Jaworski, Michael A.; Schwartz, Jacob A.

    2017-10-01

    A lithium vapor box divertor offers an alternate means of managing the extreme power density of divertor plasmas by leveraging gaseous lithium to volumetrically extract power. The vapor box divertor is a baffled slot with liquid lithium coated walls held at temperatures which increase toward the divertor floor. The resulting vapor pressure differential drives gaseous lithium from hotter chambers into cooler ones, where the lithium condenses and returns. A similarity experiment was devised to investigate the advantages offered by a vapor box divertor design. We discuss the design, construction, and early findings of the vapor box divertor experiment including vapor can construction, power transfer calculations, joint integrity tests, and thermocouple data logging. Heat redistribution of an incident plasma-based heat flux from a typical linear plasma device is also presented. This work supported by DOE Contract No. DE-AC02-09CH11466 and The Princeton Environmental Institute.

  6. Physics of plasma-wall interactions in controlled fusion

    International Nuclear Information System (INIS)

    Post, D.E.; Behrisch, R.

    1984-01-01

    In the areas of plasma physics, atomic physics, surface physics, bulk material properties and fusion experiments and theory, the following topics are presented: the plasma sheath; plasma flow in the sheath and presheath of a scrape-off layer; probes for plasma edge diagnostics in magnetic confinement fusion devices; atomic and molecular collisions in the plasma boundary; physical sputtering of solids at ion bombardment; chemical sputtering and radiation enhanced sublimation of carbon; ion backscattering from solid surfaces; implantation, retention and release of hydrogen isotopes; surface erosion by electrical arcs; electron emission from solid surfaces;l properties of materials; plasma transport near material boundaries; plasma models for impurity control experiments; neutral particle transport; particle confinement and control in existing tokamaks; limiters and divertor plates; advanced limiters; divertor tokamak experiments; plasma wall interactions in heated plasmas; plasma-wall interactions in tandem mirror machines; and impurity control systems for reactor experiments

  7. Bipolar plasma vaporization using plasma-cutting and plasma-loop electrodes versus cold-knife transurethral incision for the treatment of posterior urethral stricture: a prospective, randomized study.

    Science.gov (United States)

    Cai, Wansong; Chen, Zhiyuan; Wen, Liping; Jiang, Xiangxin; Liu, Xiuheng

    2016-01-01

    Evaluate the efficiency and safety of bipolar plasma vaporization using plasma-cutting and plasma-loop electrodes for the treatment of posterior urethral stricture. Compare the outcomes following bipolar plasma vaporization with conventional cold-knife urethrotomy. A randomized trial was performed to compare patient outcomes from the bipolar and cold-knife groups. All patients were assessed at 6 and 12 months postoperatively via urethrography and uroflowmetry. At the end of the first postoperative year, ureteroscopy was performed to evaluate the efficacy of the procedure. The mean follow-up time was 13.9 months (range: 12 to 21 months). If re-stenosis was not identified by both urethrography and ureteroscopy, the procedure was considered "successful". Fifty-three male patients with posterior urethral strictures were selected and randomly divided into two groups: bipolar group (n=27) or cold-knife group (n=26). Patients in the bipolar group experienced a shorter operative time compared to the cold-knife group (23.45±7.64 hours vs 33.45±5.45 hours, respectively). The 12-month postoperative Qmax was faster in the bipolar group than in the cold-knife group (15.54±2.78 ml/sec vs 18.25±2.12 ml/sec, respectively). In the bipolar group, the recurrence-free rate was 81.5% at a mean follow-up time of 13.9 months. In the cold-knife group, the recurrence-free rate was 53.8%. The application of bipolar plasma-cutting and plasma-loop electrodes for the management of urethral stricture disease is a safe and reliable method that minimizes the morbidity of urethral stricture resection. The advantages include a lower recurrence rate and shorter operative time compared to the cold-knife technique.

  8. Workshop on Molecule Assisted Recombination and Other Processes in Fusion Divertor Plasmas, September 8-9, 2000

    International Nuclear Information System (INIS)

    Janev, R.K.; Schultz, D.R.

    2000-01-01

    A brief proceedings of the two-day Workshop on Molecule Assisted Recombination and Other Processes in Fusion Divertor Plasmas, organized by the ORNL Controlled Fusion Atomic Data Center on September 8-9, 2000, is presented. The conclusions and recommendations of the workshop regarding the topics discussed and the collaboration of the U.S. fusion research and atomic physics communities are also summarized

  9. Vapor phase reactions in polymerization plasma for divinylsiloxane-bis-benzocyclobutene film deposition

    International Nuclear Information System (INIS)

    Kinoshita, Keizo; Nakano, Akinori; Kawahara, Jun; Kunimi, Nobutaka; Hayashi, Yoshihiro; Kiso, Osamu; Saito, Naoaki; Nakamura, Keiji; Kikkawa, Takamaro

    2006-01-01

    Vapor phase reactions in plasma polymerization of divinylsiloxane-bis-benzocyclobutene (DVS-BCB) low-k film depositions on 300 mm wafers were studied using mass spectrometry, in situ Fourier transform infrared, and a surface wave probe. Polymerization via Diels-Alder cycloaddition reaction was identified by the detection of the benzocyclohexene group. Hydrogen addition and methyl group desorption were also detected in DVS-BCB monomer and related large molecules. The dielectric constant k of plasma polymerized DVS-BCB with a plasma source power range up to 250 W was close to ∼2.7 of thermally polymerized DVS-BCB, and increased gradually over 250 W. The electron density at 250 W was about 1.5x10 10 cm -3 . The increase of the k value at higher power was explained by the decrease of both large molecular species via multistep dissociation and incorporation of silica components into the polymer. It was found that the reduction of electron density as well as precursor residence time is important for the plasma polymerization process to prevent the excess dissociation of the precursor

  10. Plasma Physics Network Newsletter, no. 5

    Science.gov (United States)

    1992-08-01

    The fifth Plasma Physics Network Newsletter (IAEA, Vienna, Aug. 1992) includes the following topics: (1) the availability of a list of the members of the Third World Plasma Research Network (TWPRN); (2) the announcement of the fourteenth IAEA International Conference on Plasma Physics and Controlled Nuclear Fusion Research to be held in Wuerzburg, Germany, from 30 Sep. to 7 Oct. 1992; (3) the announcement of a Technical Committee Meeting on research using small tokamaks, organized by the IAEA as a satellite meeting to the aforementioned fusion conference; (4) IAEA Fellowships and Scientific Visits for the use of workers in developing member states, and for which plasma researchers are encouraged to apply through Dr. D. Banner, Head, Physics Section, IAEA, P.O. Box 100, A-1400 Vienna, Austria; (5) the initiation in 1993 of a new Coordinated Research Programme (CRP) on 'Development of Software for Numerical Simulation and Data Processing in Fusion Energy Research', as well as a proposed CRP on 'Fusion Research in Developing Countries using Middle- and Small-Scale Plasma Devices'; (6) support from the International Centre for Theoretical Physics (ICTP) for meetings held in Third World countries; (7) a report by W. Usada on Fusion Research in Indonesia; (8) News on ITER; (9) the Technical Committee Meeting planned 8-12 Sep. 1992, Canada, on Tokamak Plasma Biasing; (10) software made available for the study of tokamak transport; (11) the electronic mail address of the TWPRN; (12) the FAX, e-mail, and postal address for contributions to this plasma physics network newsletter.

  11. Plasma physics network newsletter. No. 5

    International Nuclear Information System (INIS)

    1992-08-01

    The fifth Plasma Physics Network Newsletter (IAEA, Vienna, August 1992) includes the following topics: (i) the availability of a list of the members of the Third World Plasma Research Network (TWPRN); (ii) the announcement of the fourteenth IAEA International Conference on Plasma Physics and Controlled Nuclear Fusion Research to be held in Wuerzburg, Germany, from September 30 to October 7, 1992; (iii) the announcement of a Technical Committee Meeting on research using small tokamaks, organized by the IAEA as a satellite meeting to the aforementioned fusion conference; (iv) IAEA Fellowships and Scientific Visits for the use of workers in developing member states, and for which plasma researchers are encouraged to apply through Dr. D. Banner, Head, Physics Section, IAEA, P.O. Box 100, A-1400 Vienna, Austria; (v) the initiation in 1993 of a new Coordinated Research Programme (CRP) on ''Development of Software for Numerical Simulation and Data Processing in Fusion Energy Research'', as well as a proposed CRP on ''Fusion Research in Developing Countries using Middle- and Small-Scale Plasma Devices''; (vi) support from the International Centre for Theoretical Physics (ICTP) for meetings held in Third World countries; (vii) a report by W. Usada on Fusion Research in Indonesia; (viii) News on ITER; (ix) the Technical Committee Meeting planned September 8-12, 1992, Canada, on Tokamak Plasma Biasing; (x) software made available for the study of tokamak transport; (xi) the electronic mail address of the TWPRN; (xii) and the FAX, e-mail and postal address for contributions to this plasma physics network newsletter (FAX: (43-1)-234564)

  12. PREFACE: 14th Latin American Workshop on Plasma Physics (LAWPP 2011)

    Science.gov (United States)

    Bilbao, Luis; Minotti, Fernando; Kelly, Hector

    2012-06-01

    These proceedings present the written contributions from participants of the Latin American Workshop on Plasma Physics (LAWPP), which was held in Mar del Plata, Argentina, on 20-25 November 2011. This was the 14th session of the series of LAWPP biennial meetings, which started in 1982. The five-day scientific program of LAWPP 2011 consisted of 32 talks and various poster sessions, with the participation of 135 researchers from Argentina, Brazil, Canada, Chile, Colombia, Mexico, Puerto Rico, USA, Venezuela, as well as others from Europe and Asia. In addition, a School on Plasma Physics and a Workshop on Industrial Applications of Plasma Technology (AITP) were organized together with the main meeting. The five-day School held in the week previous to the meeting was intended for young scientists starting their research in Plasma Physics. On the other hand, the objective of the AITP Workshop was to enhance regional academic and industrial cooperation in the field of plasma assisted surface technology. Topics addressed at LAWPP 2011 included space plasmas, dusty plasmas, nuclear fusion, non-thermal plasmas, basic plasma processes, plasma simulation and industrial plasma applications. This variety of subjects is reflected in these proceedings, which the editors hope will result in enjoyable and fruitful reading for those interested in Plasma Physics. It is a pleasure to thank the Institutions that sponsored the meeting, as well as all the participants and collaborators for making this meeting possible. The Editors Luis Bilbao, Fernando Minotti and Hector Kelly LAWPP participants Participants of the 14th Latin American Workshop on Plasma Physics, 20-25 November 2011, Mar del Plata, Argentina International Scientific Committee Carlos Alejaldre, Spain María Virginia Alves, Brazil Ibere Caldas, Brazil Luis Felipe Delgado-Aparicio, Peru Mayo Villagrán, Mexico Kohnosuke Sato, Japan Héctor Kelly, Argentina Edberto Leal-Quirós, Puerto Rico George Morales, USA Julio Puerta

  13. PREFACE: 31st European Physical Society Conference on Plasma Physics

    Science.gov (United States)

    Dendy, Richard

    2004-12-01

    This special issue of Plasma Physics and Controlled Fusion comprises refereed papers contributed by invited speakers at the 31st European Physical Society Conference on Plasma Physics. The conference was jointly hosted by the Rutherford Appleton Laboratory, by the EURATOM/UKAEA Fusion Association and by Imperial College London, where it took place from 28 June to 2 July 2004. The overall agenda for this conference was set by the Board of the Plasma Physics Division of the European Physical Society, chaired by Friedrich Wagner (MPIPP, Garching) and his successor Jo Lister (CRPP, Lausanne). It built on developments in recent years, by further increasing the scientific diversity of the conference programme, whilst maintaining its depth and quality. A correspondingly diverse Programme Committee was set up, whose members are listed below. The final task of the Programme Committee has been the preparation of this special issue. In carrying out this work, as in preparing the scientific programme of the conference, the Programme Committee formed specialist subcommittees representing the different fields of plasma science. The chairmen of these subcommittees, in particular, accepted a very heavy workload on behalf of their respective research communities. It is a great pleasure to take this opportunity to thank: Emilia R Solano (CIEMAT, Madrid), magnetic confinement fusion; Jürgen Meyer-ter-Vehn (MPQ, Garching), laser-plasma interaction and beam plasma physics; and Jean-Luc Dorier (CRPP, Lausanne), dusty plasmas. The relatively few papers in astrophysical and basic plasma physics were co-ordinated by a small subcommittee which I led. Together with Peter Norreys (RAL, Chilton), we five constitute the editorial team for this special issue. The extensive refereeing load, compressed into a short time interval, was borne by the Programme Committee members and by many other experts, to whom this special issue owes much. We are also grateful to the Local Organizing Committee

  14. Chemical vapor deposition diamond based multilayered radiation detector: Physical analysis of detection properties

    International Nuclear Information System (INIS)

    Almaviva, S.; Marinelli, Marco; Milani, E.; Prestopino, G.; Tucciarone, A.; Verona, C.; Verona-Rinati, G.; Angelone, M.; Pillon, M.; Dolbnya, I.; Sawhney, K.; Tartoni, N.

    2010-01-01

    Recently, solid state photovoltaic Schottky diodes, able to detect ionizing radiation, in particular, x-ray and ultraviolet radiation, have been developed at the University of Rome 'Tor Vergata'. We report on a physical and electrical properties analysis of the device and a detailed study of its detection capabilities as determined by its electrical properties. The design of the device is based on a metal/nominally intrinsic/p-type diamond layered structure obtained by microwave plasma chemical vapor deposition of homoepitaxial single crystal diamond followed by thermal evaporation of a metallic contact. The device can operate in an unbiased mode by using the built-in potential arising from the electrode-diamond junction. We compare the expected response of the device to photons of various energies calculated through Monte Carlo simulation with experimental data collected in a well controlled experimental setup i.e., monochromatic high flux x-ray beams from 6 to 20 keV, available at the Diamond Light Source synchrotron in Harwell (U.K.).

  15. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  16. The physics of the low-temperature plasma in Czechoslovakia

    International Nuclear Information System (INIS)

    Kracik, J.

    1985-01-01

    A survey is given of low-temperature plasma research in Czechoslovakia since 1954 and its main results are pointed out. In the first years, various processes in electric discharges and electromagnetic acceleration of plasma clusters were studied at Czechoslovak universities and in the Institute of Physics. In the study of ionization waves, Czechoslovak physicists achieved world priority. Later on, low-temperature plasma investigation began in the Institute of Plasma Physics, founded in 1959. The issues of plasma interaction with the solid state and plasma applications in plasma chemistry were studied mainly by its Department of Applied Plasma Physics. The main effort of this group, transferred recently to the Institute of Physics, is aimed at thin film production and plasma-surface interactions; similar experimental studies are also carried out at universities in Brno and Bratislava. Last but not least, arc spraying of powder materials using water-cooled plasmatrons is being developed by the Department of Plasma Technology of the Institute of Plasma Physics. (J.U.)

  17. Abstracts of the 23rd European physical society conference on controlled fusion and plasma physics

    Energy Technology Data Exchange (ETDEWEB)

    Goutych, I F; Gresillon, D; Sitenko, A G

    1997-12-31

    This document contains the abstracts of the invited and contributed papers presented at 23 EPS conference on controlled fusion and plasma physics. The main contents are: tokamaks, stellarators; alternative magnetic confinement; plasma edge physics; plasma heating and current drive; plasma diagnostics; basic collisionless plasma physics; high intensity laser produced plasmas and inertial confinement; low-temperature plasmas.

  18. Abstracts of the 23rd European physical society conference on controlled fusion and plasma physics

    International Nuclear Information System (INIS)

    Goutych, I.F.; Gresillon, D.; Sitenko, A.G.

    1996-01-01

    This document contains the abstracts of the invited and contributed papers presented at 23 EPS conference on controlled fusion and plasma physics. The main contents are: tokamaks, stellarators; alternative magnetic confinement; plasma edge physics; plasma heating and current drive; plasma diagnostics; basic collisionless plasma physics; high intensity laser produced plasmas and inertial confinement; low-temperature plasmas

  19. Introduction to dusty plasma physics

    CERN Document Server

    Shukla, PK

    2001-01-01

    Introduction to Dusty Plasma Physics contains a detailed description of the occurrence of dusty plasmas in our Solar System, the Earth''s mesosphere, and in laboratory discharges. The book illustrates numerous mechanisms for charging dust particles and provides studies of the grain dynamics under the influence of forces that are common in dusty plasma environments.

  20. A solid-state nuclear magnetic resonance study of post-plasma reactions in organosilicone microwave plasma-enhanced chemical vapor deposition (PECVD) coatings.

    Science.gov (United States)

    Hall, Colin J; Ponnusamy, Thirunavukkarasu; Murphy, Peter J; Lindberg, Mats; Antzutkin, Oleg N; Griesser, Hans J

    2014-06-11

    Plasma-polymerized organosilicone coatings can be used to impart abrasion resistance and barrier properties to plastic substrates such as polycarbonate. Coating rates suitable for industrial-scale deposition, up to 100 nm/s, can be achieved through the use of microwave plasma-enhanced chemical vapor deposition (PECVD), with optimal process vapors such as tetramethyldisiloxane (TMDSO) and oxygen. However, it has been found that under certain deposition conditions, such coatings are subject to post-plasma changes; crazing or cracking can occur anytime from days to months after deposition. To understand the cause of the crazing and its dependence on processing plasma parameters, the effects of post-plasma reactions on the chemical bonding structure of coatings deposited with varying TMDSO-to-O2 ratios was studied with (29)Si and (13)C solid-state magic angle spinning nuclear magnetic resonance (MAS NMR) using both single-pulse and cross-polarization techniques. The coatings showed complex chemical compositions significantly altered from the parent monomer. (29)Si MAS NMR spectra revealed four main groups of resonance lines, which correspond to four siloxane moieties (i.e., mono (M), di (D), tri (T), and quaternary (Q)) and how they are bound to oxygen. Quantitative measurements showed that the ratio of TMDSO to oxygen could shift the chemical structure of the coating from 39% to 55% in Q-type bonds and from 28% to 16% for D-type bonds. Post-plasma reactions were found to produce changes in relative intensities of (29)Si resonance lines. The NMR data were complemented by Fourier transform infrared (FTIR) spectroscopy. Together, these techniques have shown that the bonding environment of Si is drastically altered by varying the TMDSO-to-O2 ratio during PECVD, and that post-plasma reactions increase the cross-link density of the silicon-oxygen network. It appears that Si-H and Si-OH chemical groups are the most susceptible to post-plasma reactions. Coatings produced at a

  1. Plasma physics: innovation in energy and industrial technology

    International Nuclear Information System (INIS)

    Harris, J.H.

    2000-01-01

    Full text: Plasmas-ionised gases-are truly ubiquitous. More than 99% of the matter in the universe is in the plasma state. All of the matter that comprises the Earth, and all of the energy that powers it, has been processed through plasma fusion reactions in stars. Plasmas also play a crucial role in the Earth's atmosphere, which screens out harmful radiation, and make long distance radio propagation possible. While the study of plasma physics was originally motivated by astrophysics, the discipline has grown to address terrestrial concerns. These include lighting, welding, the switching of large electrical currents, the processing of materials such as semiconductors, and the quest to build fusion power reactors artificial stars for low-emissions generation of electricity from hydrogen isotopes. Plasma physics is fundamentally multi-disciplinary. It requires understanding not only of the complex collective behaviour of ionised gases in unusual conditions, but also knowledge of the atomic and nuclear physics that determines how plasmas are formed and maintained, and the specialised engineering and instrumentation of the mechanical and electromagnetic containers needed to confine plasmas on Earth. These characteristics make plasma physics a fertile breeding ground for imagination and innovation. This paper draws together examples of innovation stimulated by plasma physics research in the areas of energy, materials, communications, and computation

  2. Long-term stable water vapor permeation barrier properties of SiN/SiCN/SiN nanolaminated multilayers grown by plasma-enhanced chemical vapor deposition at extremely low pressures

    International Nuclear Information System (INIS)

    Choi, Bum Ho; Lee, Jong Ho

    2014-01-01

    We investigated the water vapor permeation barrier properties of 30-nm-thick SiN/SiCN/SiN nanolaminated multilayer structures grown by plasma enhanced chemical vapor deposition at 7 mTorr. The derived water vapor transmission rate was 1.12 × 10 −6 g/(m 2 day) at 85 °C and 85% relative humidity, and this value was maintained up to 15 000 h of aging time. The X-ray diffraction patterns revealed that the nanolaminated film was composed of an amorphous phase. A mixed phase was observed upon performing high resolution transmission electron microscope analysis, which indicated that a thermodynamically stable structure was formed. It was revealed amorphous SiN/SiCN/SiN multilayer structures that are free from intermixed interface defects effectively block water vapor permeation into active layer

  3. International Conference on Plasma Physics ICPP 1994. Proceedings

    International Nuclear Information System (INIS)

    Sakanaka, P.H.; Tendler, M.

    1995-01-01

    These proceedings represent the papers presented at the 1994 International Conference on Plasma Physics held in Foz do Iguacu, Brazil. The scope of the conference was broad and covered all aspects of plasma physics. Some of the topics discussed include space and astrophysical plasmas,fusion plasmas, small and large Tokamak plasmas, non-Tokamak plasmas, inertial confinement fusion plasmas, plasma based neutron sources and plasma applications. There are 60 papers in these proceedings and out of these, 35 have been abstracted for the Energy Science and Technology database

  4. Determining the microwave coupling and operational efficiencies of a microwave plasma assisted chemical vapor deposition reactor under high pressure diamond synthesis operating conditions

    Energy Technology Data Exchange (ETDEWEB)

    Nad, Shreya [Department of Electrical and Computer Engineering, Michigan State University, East Lansing, Michigan 48824 (United States); Department of Physics and Astronomy, Michigan State University, East Lansing, Michigan 48824 (United States); Gu, Yajun; Asmussen, Jes [Department of Electrical and Computer Engineering, Michigan State University, East Lansing, Michigan 48824 (United States)

    2015-07-15

    The microwave coupling efficiency of the 2.45 GHz, microwave plasma assisted diamond synthesis process is investigated by experimentally measuring the performance of a specific single mode excited, internally tuned microwave plasma reactor. Plasma reactor coupling efficiencies (η) > 90% are achieved over the entire 100–260 Torr pressure range and 1.5–2.4 kW input power diamond synthesis regime. When operating at a specific experimental operating condition, small additional internal tuning adjustments can be made to achieve η > 98%. When the plasma reactor has low empty cavity losses, i.e., the empty cavity quality factor is >1500, then overall microwave discharge coupling efficiencies (η{sub coup}) of >94% can be achieved. A large, safe, and efficient experimental operating regime is identified. Both substrate hot spots and the formation of microwave plasmoids are eliminated when operating within this regime. This investigation suggests that both the reactor design and the reactor process operation must be considered when attempting to lower diamond synthesis electrical energy costs while still enabling a very versatile and flexible operation performance.

  5. Fusion Plasma Physics and ITER - An Introduction (2/4)

    CERN Multimedia

    CERN. Geneva

    2011-01-01

    The second lecture will explore some of the key physics phenomena which govern the behaviour of magnetic fusion plasmas and which have been the subject of intense research during the past 50 years: plasma confinement, magnetohydrodynamic stability and plasma-wall interactions encompass the major areas of plasma physics which must be understood to assemble an overall description of fusion plasma behaviour. In addition, as fusion plasmas approach the “burning plasma” regime, where internal heating due to fusion products dominates other forms of heating, the physics of the interaction between the α-particles produced by D-T fusion reactions and the thermal “background” plasma becomes significant. This lecture will also introduce the basic physics of fusion plasma production, plasma heating and current drive, and plasma measurements (“diagnostics”).

  6. Physics of Collisional Plasmas Introduction to High-Frequency Discharges

    CERN Document Server

    Moisan, Michel

    2012-01-01

    The Physics of Collisional Plasmas deals with the plasma physics of interest to laboratory research and industrial applications, such as lighting, fabrication of microelectronics, destruction of greenhouse gases. Its emphasis is on explaining the physical mechanisms, rather than the detailed mathematical description and theoretical analysis. At the introductory level, it is important to convey the characteristic physical phenomena of plasmas, before addressing the ultimate formalism of kinetic theory, with its microscopic, statistical mechanics approach. To this aim, this text translates the physical phenomena into more tractable equations, using the hydrodynamic model; this considers the plasma as a fluid, in which the macroscopic physical parameters are the statistical averages of the microscopic (individual) parameters. This book is an introduction to the physics of collisional plasmas, as opposed to plasmas in space. It is intended for graduate students in physics and engineering . The first chapter intr...

  7. Initiation of an early-stage plasma during picosecond laser ablation of solids

    International Nuclear Information System (INIS)

    Mao, Samuel S.; Mao, Xianglei; Greif, Ralph; Russo, Richard E.

    2000-01-01

    Picosecond time-resolved images of plasma initiation were recorded during pulsed-laser ablation of metal targets in an air atmosphere. An early-stage plasma was observed to form before the release of a material vapor plume. Close to the target surface, interferometry measurements indicate that the early-stage plasma has an electron number density on the order of 10 20 cm -3 . The longitudinal expansion of the ionization front for this plasma has a velocity 10 9 cm/s, during the laser pulse. In contrast, a material--vapor plume forms approximately 200 ps after the laser pulse, and it moves away from the target at 10 6 cm/s. The experimental observations of the early-stage plasma were simulated by using a theoretical model based on a two-fluids description of laser plasmas. The results indicate that the initiation of the plasma is due to air breakdown assisted by electron emission from the target

  8. Low temperature synthesis of Zn nanowires by physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Schroeder, Philipp; Kast, Michael; Brueckl, Hubert [Austrian Research Centers GmbH ARC, Nano- Systemtechnologies, Donau-City-Strasse 1, A-1220 Wien (Austria)

    2007-07-01

    We demonstrate catalytic growth of zinc nanowires by physical vapor deposition at modest temperatures of 125-175 C on various substrates. In contrast to conventional approaches using tube furnaces our home-built growth system allows to control the vapor sources and the substrate temperature separately. The silicon substrates were sputter coated with a thin gold layer as metal catalyst. The samples were heated to the growth temperature and subsequently exposed to the zinc vapor at high vacuum conditions. The work pressure was adjusted by the partial pressure of oxygen or argon flow gas. Scanning electron microscopy and atomic force microscopy characterizations revealed that the nanowires exhibit straight, uniform morphology and have diameters in the range of 50-350 nm and lengths up to 70 {mu}m. The Zn nanowires grow independently of the substrates crystal orientation via a catalytic vapor-solid growth mechanism. Since no nanowire formation was observed without gold coating, we expect that the onedimensional growth is initiated by a surface reactive Au seed. ZnO nanowires can be produced in the same preparation chamber by oxidation at 500 C in 1atm (80% Ar, 20% O{sub 2}) for 1 hour. ZnO is highly attractive for sensor applications.

  9. A survey of dusty plasma physics

    International Nuclear Information System (INIS)

    Shukla, P.K.

    2001-01-01

    Two omnipresent ingredients of the Universe are plasmas and charged dust. The interplay between these two has opened up a new and fascinating research area, that of dusty plasmas, which are ubiquitous in different parts of our solar system, namely planetary rings, circumsolar dust rings, the interplanetary medium, cometary comae and tails, as well as in interstellar molecular clouds, etc. Dusty plasmas also occur in noctilucent clouds in the arctic troposphere and mesosphere, cloud-to-ground lightening in thunderstorms containing smoke-contaminated air over the United States, in the flame of a humble candle, as well as in microelectronic processing devices, in low-temperature laboratory discharges, and in tokamaks. Dusty plasma physics has appeared as one of the most rapidly growing fields of science, besides the field of the Bose-Einstein condensate, as demonstrated by the number of published papers in scientific journals and conference proceedings. In fact, it is a truly interdisciplinary science because it has many potential applications in astrophysics (viz. in understanding the formation of dust clusters and structures, instabilities of interstellar molecular clouds and star formation, decoupling of magnetic fields from plasmas, etc.) as well as in the planetary magnetospheres of our solar system [viz. Saturn (particularly, the physics of spokes and braids in the B and F rings), Jupiter, Uranus, Neptune, and Mars] and in strongly coupled laboratory dusty plasmas. Since a dusty plasma system involves the charging and dynamics of massive charged dust grains, it can be characterized as a complex plasma system providing new physics insights. In this paper, the basic physics of dusty plasmas as well as numerous collective processes are discussed. The focus will be on theoretical and experimental observations of charging processes, waves and instabilities, associated forces, the dynamics of rotating and elongated dust grains, and some nonlinear structures (such as

  10. A survey of dusty plasma physics

    Science.gov (United States)

    Shukla, P. K.

    2001-05-01

    Two omnipresent ingredients of the Universe are plasmas and charged dust. The interplay between these two has opened up a new and fascinating research area, that of dusty plasmas, which are ubiquitous in different parts of our solar system, namely planetary rings, circumsolar dust rings, the interplanetary medium, cometary comae and tails, as well as in interstellar molecular clouds, etc. Dusty plasmas also occur in noctilucent clouds in the arctic troposphere and mesosphere, cloud-to-ground lightening in thunderstorms containing smoke-contaminated air over the United States, in the flame of a humble candle, as well as in microelectronic processing devices, in low-temperature laboratory discharges, and in tokamaks. Dusty plasma physics has appeared as one of the most rapidly growing fields of science, besides the field of the Bose-Einstein condensate, as demonstrated by the number of published papers in scientific journals and conference proceedings. In fact, it is a truly interdisciplinary science because it has many potential applications in astrophysics (viz. in understanding the formation of dust clusters and structures, instabilities of interstellar molecular clouds and star formation, decoupling of magnetic fields from plasmas, etc.) as well as in the planetary magnetospheres of our solar system [viz. Saturn (particularly, the physics of spokes and braids in the B and F rings), Jupiter, Uranus, Neptune, and Mars] and in strongly coupled laboratory dusty plasmas. Since a dusty plasma system involves the charging and dynamics of massive charged dust grains, it can be characterized as a complex plasma system providing new physics insights. In this paper, the basic physics of dusty plasmas as well as numerous collective processes are discussed. The focus will be on theoretical and experimental observations of charging processes, waves and instabilities, associated forces, the dynamics of rotating and elongated dust grains, and some nonlinear structures (such as

  11. Ultra-trace determination of gold nanoparticles in environmental water by surfactant assisted dispersive liquid liquid microextraction coupled with electrothermal vaporization-inductively coupled plasma-mass spectrometry

    Science.gov (United States)

    Liu, Ying; He, Man; Chen, Beibei; Hu, Bin

    2016-08-01

    A new method by coupling surfactant assisted dispersive liquid liquid microextraction (SA-DLLME) with electrothermal vaporization inductively coupled plasma mass spectrometry (ETV-ICP-MS) was proposed for the analysis of gold nanoparticles (AuNPs) in environmental water samples. Effective separation of AuNPs from ionic gold species was achieved by using sodium thiosulphate as a complexing agent. Various experimental parameters affecting SA-DLLME of AuNPs, such as the organic solvent, organic solvent volume, pH of the sample, the kind of surfactant, surfactant concentration, vortex time, speed of centrifugation, centrifugation time, and different coating as well as sizes of AuNPs were investigated carefully. Furthermore, the interference of coexisting ions, dissolved organic matter (DOM) and other metal nanoparticles (NPs) were studied. Under the optimal conditions, a detection limit of 2.2 ng L- 1 and an enrichment factor of 152-fold was achieved for AuNPs, and the original morphology of the AuNPs could be maintained during the extraction process. The developed method was successfully applied for the analysis of AuNPs in environmental water samples, including tap water, the East Lake water, and the Yangtze River water, with recoveries in the range of 89.6-102%. Compared with the established methods for metal NPs analysis, the proposed method has the merits of simple and fast operation, low detection limit, high selectivity, good tolerance to the sample matrix and no digestion or dilution required. It provides an efficient quantification methodology for monitoring AuNPs' pollution in the environmental water and evaluating its toxicity.

  12. Developments in plasma physics and controlled fusion

    International Nuclear Information System (INIS)

    Thompson, W.B.

    1980-01-01

    Some developments in plasma physics over the past twenty years are considered from the theoretical physics standpoint under the headings; oscillations, waves and instabilities, plasma turbulence, basic kinetic theory, and developments in fusion. (UK)

  13. Plasma-based ion implantation and deposition: A review of physics,technology, and applications

    Energy Technology Data Exchange (ETDEWEB)

    Pelletier, Jacques; Anders, Andre

    2005-05-16

    After pioneering work in the 1980s, plasma-based ion implantation (PBII) and plasma-based ion implantation and deposition (PBIID) can now be considered mature technologies for surface modification and thin film deposition. This review starts by looking at the historical development and recalling the basic ideas of PBII. Advantages and disadvantages are compared to conventional ion beam implantation and physical vapor deposition for PBII and PBIID, respectively, followed by a summary of the physics of sheath dynamics, plasma and pulse specifications, plasma diagnostics, and process modeling. The review moves on to technology considerations for plasma sources and process reactors. PBII surface modification and PBIID coatings are applied in a wide range of situations. They include the by-now traditional tribological applications of reducing wear and corrosion through the formation of hard, tough, smooth, low-friction and chemically inert phases and coatings, e.g. for engine components. PBII has become viable for the formation of shallow junctions and other applications in microelectronics. More recently, the rapidly growing field of biomaterial synthesis makes used of PBII&D to produce surgical implants, bio- and blood-compatible surfaces and coatings, etc. With limitations, also non-conducting materials such as plastic sheets can be treated. The major interest in PBII processing originates from its flexibility in ion energy (from a few eV up to about 100 keV), and the capability to efficiently treat, or deposit on, large areas, and (within limits) to process non-flat, three-dimensional workpieces, including forming and modifying metastable phases and nanostructures. We use the acronym PBII&D when referring to both implantation and deposition, while PBIID implies that deposition is part of the process.

  14. BOOK REVIEW: Fundamentals of Plasma Physics

    Science.gov (United States)

    Cargill, P. J.

    2007-02-01

    The widespread importance of plasmas in many areas of contemporary physics makes good textbooks in the field that are both introductory and comprehensive invaluable. This new book by Paul Bellen from CalTech by and large meets these goals. It covers the traditional textbook topics such as particle orbits, the derivation of the MHD equations from Vlasov theory, cold and warm plasma waves, Landau damping, as well as in the later chapters less common subjects such as magnetic helicity, nonlinear processes and dusty plasmas. The book is clearly written, neatly presented, and each chapter has a number of exercises or problems at their end. The author has also thankfully steered clear of the pitfall of filling the book with his own research results. The preface notes that the book is designed to provide an introduction to plasma physics for final year undergraduate and post-graduate students. However, it is difficult to see many physics undergraduates now at UK universities getting to grips with much of the content since their mathematics is not of a high enough standard. Students in Applied Mathematics departments would certainly fare better. An additional problem for the beginner is that some of the chapters do not lead the reader gently into a subject, but begin with quite advanced concepts. Being a multi-disciplinary subject, beginners tend to find plasma physics quite hard enough even when done simply. For postgraduate students these criticisms fade away and this book provides an excellent introduction. More senior researchers should also enjoy the book, especially Chapters 11-17 where more advanced topics are discussed. I found myself continually comparing the book with my favourite text for many years, `The Physics of Plasmas' by T J M Boyd and J J Sanderson, reissued by Cambridge University Press in 2003. Researchers would want both books on their shelves, both for the different ways basic plasma physics is covered, and the diversity of more advanced topics. For

  15. Enthalpy of Vaporization by Gas Chromatography: A Physical Chemistry Experiment

    Science.gov (United States)

    Ellison, Herbert R.

    2005-01-01

    An experiment is conducted to measure the enthalpy of vaporization of volatile compounds like methylene chloride, carbon tetrachloride, and others by using gas chromatography. This physical property was measured using a very tiny quantity of sample revealing that it is possible to measure the enthalpies of two or more compounds at the same time.

  16. A novel cupping-assisted plasma treatment for skin disinfection

    Science.gov (United States)

    Xiong, Zilan; Graves, David B.

    2017-02-01

    A novel plasma treatment method/plasma source called cupping-assisted plasma treatment/source for skin disinfection is introduced. The idea combines ancient Chinese ‘cupping’ technology with plasma sources to generate active plasma inside an isolated, pressure-controlled chamber attached to the skin. Advantages of lower pressure include reducing the threshold voltage for plasma ignition and improving the spatial uniformity of the plasma treatment. In addition, with reduced pressure inside the cup, skin pore permeability might be increased and it improves attachment of the plasma device to the skin. Moreover, at a given pressure, plasma-generated active species are restricted inside the cup, raising local reactive species concentration and enhancing the measured surface disinfection rate. A surface micro-discharge (SMD) device is used as an example of a working plasma source. We report discharge characteristics and disinfection efficiency as a function of pressure and applied voltage.

  17. Basic physics of colloidal plasmas

    Indian Academy of Sciences (India)

    Plasma Physics Division, Institute of Advanced Study in Science and Technology, Khanapara, ..... tic wave) to form a random collection of the nonlinear wave grains (like ... [8] M S Sodha and S Guha, in Advances in plasma phyiscs edited by A ...

  18. Fusion programs in Applied Plasma Physics

    International Nuclear Information System (INIS)

    1992-07-01

    The Applied Plasma Physics (APP) program at General Atomics (GA) described here includes four major elements: (a) Applied Plasma Physics Theory Program, (b) Alpha Particle Diagnostic, (c) Edge and Current Density Diagnostic, and (d) Fusion User Service Center (USC). The objective of the APP theoretical plasma physics research at GA is to support the DIII-D and other tokamak experiments and to significantly advance our ability to design a commercially-attractive fusion reactor. We categorize our efforts in three areas: magnetohydrodynamic (MHD) equilibria and stability; plasma transport with emphasis on H-mode, divertor, and boundary physics; and radio frequency (rf). The objective of the APP alpha particle diagnostic is to develop diagnostics of fast confined alpha particles using the interactions with the ablation cloud surrounding injected pellets and to develop diagnostic systems for reacting and ignited plasmas. The objective of the APP edge and current density diagnostic is to first develop a lithium beam diagnostic system for edge fluctuation studies on the Texas Experimental Tokamak (TEXT). The objective of the Fusion USC is to continue to provide maintenance and programming support to computer users in the GA fusion community. The detailed progress of each separate program covered in this report period is described in the following sections

  19. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-08-31

    We report the growth and characterization of III-nitride ternary thin films (Al{sub x}Ga{sub 1−x}N, In{sub x}Al{sub 1−x}N and In{sub x}Ga{sub 1−x}N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures.

  20. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    International Nuclear Information System (INIS)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-01-01

    We report the growth and characterization of III-nitride ternary thin films (Al x Ga 1−x N, In x Al 1−x N and In x Ga 1−x N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures

  1. Computational plasma physics

    International Nuclear Information System (INIS)

    Killeen, J.

    1975-08-01

    The behavior of a plasma confined by a magnetic field is simulated by a variety of numerical models. Some models used on a short time scale give detailed knowledge of the plasma on a microscopic scale, while other models used on much longer time scales compute macroscopic properties of the plasma dynamics. In the last two years there has been a substantial increase in the numerical modelling of fusion devices. The status of MHD, transport, equilibrium, stability, Vlasov, Fokker-Planck, and Hybrid codes is reviewed. These codes have already been essential in the design and understanding of low and high beta toroidal experiments and mirror systems. The design of the next generation of fusion experiments and fusion test reactors will require continual development of these numerical models in order to include the best available plasma physics description and also to increase the geometric complexity of the model. (auth)

  2. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  3. Ultrasharp Si nanowires produced by plasma-enhanced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Červenka, Jiří; Ledinský, Martin; Stuchlíková, The-Ha; Stuchlík, Jiří; Výborný, Zdeněk; Holovský, Jakub; Hruška, Karel; Fejfar, Antonín; Kočka, Jan

    2010-01-01

    Roč. 4, 1-2 (2010), s. 37-39 ISSN 1862-6254 R&D Projects: GA MŠk(CZ) LC06040; GA AV ČR KAN400100701; GA MŠk LC510 Institutional research plan: CEZ:AV0Z10100521 Keywords : nanowires * silicon * scanning electron microscopy * hemical vapor deposition * Raman spectroscopy Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.660, year: 2010 http://www3.interscience.wiley.com/ cgi -bin/fulltext/123213957/HTMLSTART

  4. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  5. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Saeed, S.; Buters, F.; Dohnalova, K.; Wosinski, L.; Gregorkiewicz, T.

    2014-01-01

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO2. Optical characterization

  6. Plasma Physics Network Newsletter. No. 1

    International Nuclear Information System (INIS)

    1989-08-01

    This is the first issue of a quarterly newsletter published by the International Atomic Energy Agency in order to provide news of potential interest of fusion scientists in developing countries. According to the foreword to this first issue, the purpose of the newsletter, as well as the organization called ''Third World Network'', is to ''start the process of unifying the developing country fusion community into some type of cohesive entity and to bring the efforts of the developing countries in the plasma physics research area to the attention of the world fusion community at large''. Furthermore, this first issue contains information about (i) Nuclear Fusion Research in Argentina, (ii) Chinese Fusion Efforts, (iii) Plasma and Fusion Physics in Egypt, (iv) Fusion Research in India, (v) Fusion Research in the Republic of Korea, (vi) Fusion Programmes in Malaysia, (vi) the Agency's Fusion Programme, (vii) a proposal for a workshop on computational plasma physics, sponsored by the Third World Plasma Research Network, (viii) the announcement of the formation of the ''Asian African Association for Plasma Training'', - for the promotion of the initiation/strengthening of plasma research, especially experimental, in developing countries in Asia and Africa, as well as the cooperation and sharing of technology among plasma physicists in the developing countries in the region; (ix) a communication entitled ''Fusion Research in ''Small'' Countries'', I.R. Jones, School of Physical Sciences, The Flinders University of South Australia, Bedford Park, Australia, on the desirability of the pursuit of fusion research in ''small'' countries, i.e., those countries that do not have a national fusion research programme; (x) and, finally, a newsletter on the ITER project

  7. Rapid growth of zinc oxide nanobars in presence of electric field by physical vapor deposition

    Science.gov (United States)

    Jouya, Mehraban; Taromian, Fahime; Siami, Simin

    2017-12-01

    In this contribution, electric field has some effects to increase growth for specific time duration on zinc oxide (ZnO) nanobars. First, the zinc (Zn) thin film has been prepared by 235,000 V/m electric field assisted physical vapor deposition (PVD) at vacuum of 1.33 × 10-5 mbar. Second, strong electric field of 134,000 V/m has been used in ambient for growing ZnO nanobars in term of the time include 2.5 and 10 h. The performances of the ZnO nanostructure in absence and presence of electric field have been determined by scanning electron microscopy (SEM) and X-ray diffraction (XRD). The results of XRD analysis showed that ZnO has a hexagonal bars structure and a strongly preferred (101) orientation which is strongest than without applying electric field. SEM analysis revealed that physical vapored ZnO thin film in presence of electric field are densely packed with uniform morphological, thinner and denser in distribution. Electric field effect for ZnO growth in 2.5 h is better than it in the 2.5 h without electric field but by passing the time the media influence has good power almost as same as electric field. Through this electric field in PVD, the compact and uniform Zn film has been achieved which is less diameter than ordinary PVD method. Finally, we carry out a series of experiments to grow different-orientation ZnO nanobars with less than 100 nm in diameter, which are the time saving process in base of PVD ever reported. Therefore, the significant conclusion in usage electric field is reducing time of growth.

  8. Acceleration of Vaporization, Atomization, and Ionization Efficiencies in Inductively Coupled Plasma by Merging Laser-Ablated Particles with Hydrochloric Acid Gas.

    Science.gov (United States)

    Nakazawa, Takashi; Izumo, Saori; Furuta, Naoki

    2016-01-01

    To accelerate the vaporization, atomization, and ionization efficiencies in laser ablation inductively coupled plasma mass spectrometry, we merged HCl gas with laser-ablated particles before introduction into the plasma, to convert their surface constituents from oxides to lower-melting chlorides. When particles were merged with HCl gas generated from a HCl solution at 200°C, the measured concentrations of elements in the particles were 135% higher on average than the concentrations in particles merged with ultrapure water vapor. Particle corrosion and surface roughness were observed by scanning electron microscopy, and oxide conversion to chlorides was confirmed by X-ray photoelectron spectroscopy. Under the optimum conditions, the recoveries of measured elements improved by 23% on average, and the recoveries of elements with high-melting oxides (Sr, Zr, and Th) improved by as much as 36%. These results indicate that vaporization, atomization, and ionization in the ICP improved when HCl gas was merged with the ablated particles.

  9. ICPP: Introduction to Dusty Plasma Physics

    Science.gov (United States)

    Kant Shukla, Padma

    2000-10-01

    Two omnipresent ingredients of the Universe are plasmas and charged dust. The interplay between these two has opened up a new and fascinating research area, that of dusty plasmas, which are ubiquitous in in different parts of our solar system, namely planetary rings, circumsolar dust rings, interplanetary medium, cometary comae and tails, interstellar molecular clouds, etc. Dusty plasmas also occur in noctilucent clouds in the arctic troposphere and mesosphere, cloud-to-ground lightening in thunderstorms containing smoke-contaminated air over the US, in the flame of humble candle, as well as in microelectronics and in low-temperature laboratory discharges. In the latter, charged dust grains are strongly correlated. Dusty plasma physics has appeared as one of the most rapidly growing field of science, besides the field of the Bose-Einstein condensate, as demonstrated by the number of published papers in scientific journals and conference proceedings. In fact, it is a truly interdisciplinary science because it has many potential applications in astrophysics (viz. in understanding the formation of dust clusters and structures, instabilities of interstellar molecular clouds and star formation, decoupling of magnetic fields from plasmas, etc.) as well as in the planetary magnetospheres of our solar system [viz. the Saturn (particularly, the physics of spokes and braids in B and F rings), Jupiter, Uranus, Neptune, and Mars] and in strongly coupled laboratory dusty plasmas. Since dusty plasma system involves the charging and the dynamics of extremely massive charged dust particulates, it can be characterized as a complex plasma system with new physics insights. In this talk, I shall describe the basic physics of dusty plasmas and present the status of numerous collective processes that are relevant to space research and laboratory experiments. The focus will be on theoretical and experimental observations of novel waves and instabilities, various forces, and some

  10. Examining the Potential of Plasma-Assisted Pretreated Wheat Straw for Enzyme Production by Trichoderma reesei

    DEFF Research Database (Denmark)

    Rodríguez Gómez, Divanery; Lehmann, Linda Olkjær; Schultz-Jensen, Nadja

    2012-01-01

    Plasma-assisted pretreated wheat straw was investigated for cellulase and xylanase production by Trichoderma reesei fermentation. Fermentations were conducted with media containing washed and unwashed plasma-assisted pretreated wheat straw as carbon source which was sterilized by autoclavation....... To account for any effects of autoclavation, a comparison was made with unsterilized media containing antibiotics. It was found that unsterilized washed plasma-assisted pretreated wheat straw (which contained antibiotics) was best suited for the production of xylanases (110 IU ml(-1)) and cellulases (0...... other nonrefined feedstocks suggests that plasma pretreated wheat straw is a promising and suitable substrate for cellulase and hemicellulase production....

  11. The physics of collective neutrino-plasma interactions

    International Nuclear Information System (INIS)

    Shukla, P.K.; Silva, L.O.; Dawson, J.M.; Bethe, H.; Bingham, R.; Stenflo, L.; Mendonca, J.T.; Dalhed, S.

    1999-01-01

    A review of recent work on collective neutrino-plasma interactions is presented. The basic physical concepts of this new field as well as some possible astrophysical problems where the physics of collective neutrino-plasma interactions can have a radical impact, are discussed. (author)

  12. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  13. Plasma-assisted catalytic ionization using porous nickel plate

    International Nuclear Information System (INIS)

    Oohara, W.; Maeda, T.; Higuchi, T.

    2011-01-01

    Hydrogen atomic pair ions, i.e., H + and H - ions, are produced by plasma-assisted catalytic ionization using a porous nickel plate. Positive ions in a hydrogen plasma generated by dc arc discharge are irradiated to the porous plate, and pair ions are produced from the back of the irradiation plane. It becomes clear that the production quantity of pair ions mainly depends on the irradiation current of positive ions and the irradiation energy affects the production efficiency of H - ions.

  14. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  15. Plasma physics and controlled nuclear fusion

    International Nuclear Information System (INIS)

    Sato, Tetsuya

    1993-05-01

    The report contains the proceedings of a conference on plasma physics. A fraction of topics included MHD instabilities, magnetic confinement and plasma heating in the field of fusion plasmas, in 8 papers falling in the INIS scope have been abstracted and indexed for the INIS database. (K.A.)

  16. PlasmaPy: initial development of a Python package for plasma physics

    Science.gov (United States)

    Murphy, Nicholas; Leonard, Andrew J.; Stańczak, Dominik; Haggerty, Colby C.; Parashar, Tulasi N.; Huang, Yu-Min; PlasmaPy Community

    2017-10-01

    We report on initial development of PlasmaPy: an open source community-driven Python package for plasma physics. PlasmaPy seeks to provide core functionality that is needed for the formation of a fully open source Python ecosystem for plasma physics. PlasmaPy prioritizes code readability, consistency, and maintainability while using best practices for scientific computing such as version control, continuous integration testing, embedding documentation in code, and code review. We discuss our current and planned capabilities, including features presently under development. The development roadmap includes features such as fluid and particle simulation capabilities, a Grad-Shafranov solver, a dispersion relation solver, atomic data retrieval methods, and tools to analyze simulations and experiments. We describe several ways to contribute to PlasmaPy. PlasmaPy has a code of conduct and is being developed under a BSD license, with a version 0.1 release planned for 2018. The success of PlasmaPy depends on active community involvement, so anyone interested in contributing to this project should contact the authors. This work was partially supported by the U.S. Department of Energy.

  17. CH3NH3I treatment temperature of 70 °C in low-pressure vapor-assisted deposition for mesoscopic perovskite solar cells

    Science.gov (United States)

    Jin, Wenbin; Zou, Xiaoping; Bai, Xiao; Yang, Ying; Chen, Dan

    2018-01-01

    Herein, we report a modified vapor-assisted deposition method to fabricate CH3NH3PbI3 film at 70 °C in a vacuum drying oven. The modified method has excellent operability and expandability in preparing perovskite solar cells. The CH3NH3I treatment temperature is 130 °C or 150 °C in conventional method, but we reduced the temperature to 70 °C in the modified vapor-assisted method. Meanwhile, the quality of CH3NH3PbI3 films prepared via the modified method is superior to that of CH3NH3PbI3 films of solution-processed method.

  18. Magnetospheric plasma physics

    International Nuclear Information System (INIS)

    Bingham, R.

    1989-09-01

    The discovery of the earth's radiation belts in 1957 by Van Allen marked the beginning of what is now known as magnetospheric physics. In this study of plasma physics in the magnetosphere, we shall take the magnetosphere to be that part of the earth's ionized atmosphere which is formed by the interaction of the solar wind with the earth's dipole-like magnetic field. It extends from approximately 100km above the earth's surface where the proton-neutral atom collision frequency is equal to the proton gyrofrequency to about ten earth radii (R E ∼ 6380km) in the sunward direction and to several hundred earth radii in the anti-sunward direction. The collision dominated region is called the ionosphere and is sometimes considered separate from the collisionless plasma region. In the ionosphere ion-neutral collisions are dominant and one may think of the ionosphere as a frictional boundary layer ∼ 1000km thick. Other planets are also considered. (author)

  19. Large-aperture plasma-assisted deposition of inertial confinement fusion laser coatings.

    Science.gov (United States)

    Oliver, James B; Kupinski, Pete; Rigatti, Amy L; Schmid, Ansgar W; Lambropoulos, John C; Papernov, Semyon; Kozlov, Alexei; Spaulding, John; Sadowski, Daniel; Chrzan, Z Roman; Hand, Robert D; Gibson, Desmond R; Brinkley, Ian; Placido, Frank

    2011-03-20

    Plasma-assisted electron-beam evaporation leads to changes in the crystallinity, density, and stresses of thin films. A dual-source plasma system provides stress control of large-aperture, high-fluence coatings used in vacuum for substrates 1m in aperture.

  20. Plasma physics studies in Singapore

    International Nuclear Information System (INIS)

    Jones, R.

    1982-01-01

    We briefly outline the plasma physics research program being conducted in the Department of Physics of the National University of Singapore. The work places particular emphasis on open system end plugging, ion source development, and anomalous transport studies. (author)

  1. Plasma-enhanced chemical vapor deposited silicon oxynitride films for optical waveguide bridges for use in mechanical sensors

    DEFF Research Database (Denmark)

    Storgaard-Larsen, Torben; Leistiko, Otto

    1997-01-01

    In this paper the influence of RF power, ammonia flow, annealing temperature, and annealing time on the optical and mechanical properties of plasma-enhanced chemically vapor deposited silicon oxynitride films, is presented. A low refractive index (1.47 to 1.48) film having tensile stress has been...

  2. Preparation of hydrogenated-TiO2/Ti double layered thin films by water vapor plasma treatment

    International Nuclear Information System (INIS)

    Pranevicius, L.L.; Milcius, D.; Tuckute, S.; Gedvilas, K.

    2012-01-01

    Highlights: ► We investigated reaction of water plasma with nanocrystalline TiO 2 films. ► Simultaneous oxidation and hydrogenation of Ti was observed during plasma treatment. ► Water plasma treatment forms hydrogenated nanocrystalline TiO 2 in the shallow surface. - Abstract: We have investigated the structural and compositional variations in 200–500 nm thick Ti films deposited by magnetron sputter-deposition technique and treated in water vapor plasma at different processing powers. It was found that the upper layer of treated film with the thickness of 110 nm was changed into the black hydrogenated-TiO 2 with around 16 nm sized nanocystals during 10 min for dissipated power 200 W at room temperature. Analysis of the experimental results is used to obtain insights into the effects of water layer adsorbed on hydrophilic oxidized titanium surfaces exposed to plasma radiation.

  3. Studies on laser–plasma interaction physics for shock ignition

    Czech Academy of Sciences Publication Activity Database

    Maheut, Y.; Batani, D.; Nicolai, Ph.; Antonelli, L.; Krouský, Eduard

    2015-01-01

    Roč. 170, č. 4 (2015), s. 325-336 ISSN 1042-0150 EU Projects: European Commission(XE) 284464 - LASERLAB-EUROPE Institutional support: RVO:68378271 Keywords : shock ignition * plasma * hot electrons * shocks * fusion Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics) Impact factor: 0.472, year: 2015

  4. Energy Considerations for Plasma-Assisted N-Fixation Reactions

    Directory of Open Access Journals (Sweden)

    Aikaterini Anastasopoulou

    2014-09-01

    Full Text Available In a time of increasing concerns about the immense energy consumption and poor environmental performance of contemporary processes in the chemical industry, there is great need to develop novel sustainable technologies that enhance energy efficiency. There is abundant chemical literature on process innovations (laboratory-scale around the plasma reactor itself, which, naturally, is the essential part to be intensified to achieve a satisfactory process. In essence, a plasma process needs attention beyond reaction engineering towards the process integration side and also with strong electrical engineering focus. In this mini-review, we have detailed our future focus on the process and energy intensification of plasma-based N-fixation. Three focal points are mainly stressed throughout the review: (I the integration of renewable energy; (II the power supply system of plasma reactors and (III process design of industrial plasma-assisted nitrogen fixation. These different enabling strategies will be set in a holistic and synergetic picture so as to improve process performance.

  5. Computing in plasma physics

    International Nuclear Information System (INIS)

    Nuehrenberg, J.

    1986-01-01

    These proceedings contain the articles presented at the named conference. These concern numerical methods for astrophysical plasmas, the numerical simulation of reversed-field pinch dynamics, methods for numerical simulation of ideal MHD stability of axisymmetric plasmas, calculations of the resistive internal m=1 mode in tokamaks, parallel computing and multitasking, particle simulation methods in plasma physics, 2-D Lagrangian studies of symmetry and stability of laser fusion targets, computing of rf heating and current drive in tokamaks, three-dimensional free boundary calculations using a spectral Green's function method, as well as the calculation of three-dimensional MHD equilibria with islands and stochastic regions. See hints under the relevant topics. (HSI)

  6. Kinetic Study of Nonequilibrium Plasma-Assisted Methane Steam Reforming

    Directory of Open Access Journals (Sweden)

    Hongtao Zheng

    2014-01-01

    Full Text Available To develop a detailed reaction mechanism for plasma-assisted methane steam reforming, a comprehensive numerical and experimental study of effect laws on methane conversion and products yield is performed at different steam to methane molar ratio (S/C, residence time s, and reaction temperatures. A CHEMKIN-PRO software with sensitivity analysis module and path flux analysis module was used for simulations. A set of comparisons show that the developed reaction mechanism can accurately predict methane conversion and the trend of products yield in different operating conditions. Using the developed reaction mechanism in plasma-assisted kinetic model, the reaction path flux analysis was carried out. The result shows that CH3 recombination is the limiting reaction for CO production and O is the critical species for CO production. Adding 40 wt.% Ni/SiO2 in discharge region has significantly promoted the yield of H2, CO, or CO2 in dielectric packed bed (DPB reactor. Plasma catalytic hybrid reforming experiment verifies the reaction path flux analysis tentatively.

  7. Plasma physics an introduction to laboratory, space, and fusion plasmas

    CERN Document Server

    Piel, Alexander

    2017-01-01

    The enlarged new edition of this textbook provides a comprehensive introduction to the basic processes in plasmas and demonstrates that the same fundamental concepts describe cold gas-discharge plasmas, space plasmas, and hot fusion plasmas. Starting from particle drifts in magnetic fields, the principles of magnetic confinement fusion are explained and compared with laser fusion. Collective processes are discussed in terms of plasma waves and instabilities. The concepts of plasma description by magnetohydrodynamics, kinetic theory, and particle simulation are stepwise introduced. Space charge effects in sheath regions, double layers and plasma diodes are given the necessary attention. The novel fundamental mechanisms of dusty plasmas are explored and integrated into the framework of conventional plasmas. The book concludes with a concise description of modern plasma discharges. Written by an internationally renowned researcher in experimental plasma physics, the text keeps the mathematical apparatus simple a...

  8. Laboratory space physics: Investigating the physics of space plasmas in the laboratory

    Science.gov (United States)

    Howes, Gregory G.

    2018-05-01

    Laboratory experiments provide a valuable complement to explore the fundamental physics of space plasmas without the limitations inherent to spacecraft measurements. Specifically, experiments overcome the restriction that spacecraft measurements are made at only one (or a few) points in space, enable greater control of the plasma conditions and applied perturbations, can be reproducible, and are orders of magnitude less expensive than launching spacecraft. Here, I highlight key open questions about the physics of space plasmas and identify the aspects of these problems that can potentially be tackled in laboratory experiments. Several past successes in laboratory space physics provide concrete examples of how complementary experiments can contribute to our understanding of physical processes at play in the solar corona, solar wind, planetary magnetospheres, and the outer boundary of the heliosphere. I present developments on the horizon of laboratory space physics, identifying velocity space as a key new frontier, highlighting new and enhanced experimental facilities, and showcasing anticipated developments to produce improved diagnostics and innovative analysis methods. A strategy for future laboratory space physics investigations will be outlined, with explicit connections to specific fundamental plasma phenomena of interest.

  9. Properties of a-C:H:O plasma polymer films deposited from acetone vapors

    Energy Technology Data Exchange (ETDEWEB)

    Drabik, M., E-mail: martin.drabik@gmail.com [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland); Celma, C. [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland); Kousal, J.; Biederman, H. [Charles University in Prague, Faculty of Mathematics and Physics, Department of Macromolecular Physics, V Holešovičkách 2, 180 00 Prague 8 (Czech Republic); Hegemann, D. [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland)

    2014-12-31

    To gain insight into the deposition and stability of oxygen-containing plasma polymer films, the properties of amorphous oxygenated hydrocarbon (a-C:H:O) plasma polymer coatings deposited from acetone vapors under various experimental conditions are investigated. Apart from the discharge power, the influence of the reactive carbon dioxide (CO{sub 2}) gas on the structure of the resulting films is studied. It is found by characterization using X-ray Photoelectron Spectroscopy and Fourier-Transform Infrared Spectroscopy that the experimental conditions particularly influence the amount of oxygen in the deposited a-C:H:O plasma polymer films. The O/C elemental ratio increases with increasing amount of CO{sub 2} in the working gas mixture (up to 0.2 for 24 sccm of CO{sub 2} at 30 W) and decreases with increasing RF discharge power (down to 0.17 for 50 W). Furthermore, the nature of bonds between the oxygen and carbon atoms has been examined. Only low amounts of double and triple bonded carbon are observed. This has a particular influence on the aging of the plasma polymer films which is studied both in ambient air and in distilled water for up to 4 months. Overall, stable a-C:H:O plasma polymer films are deposited comprising low amounts (up to about 5%) of ester/carboxyl groups. - Highlights: • Hydrocarbon plasma polymer films with variable oxygen content can be prepared. • Stable oxygenated hydrocarbon plasma polymers contain max 5% of ester/carboxyl groups. • Acetone-derived plasma polymer films can be used as permanent hydrophilic surfaces.

  10. Cryotrapping assisted mass spectrometry for the analysis of complex gas mixtures

    International Nuclear Information System (INIS)

    Ferreira, Jose A.; Tabares, Francisco L.

    2007-01-01

    A simple method is described for the unambiguous identification of the individual components in a gas mixture showing strong overlapping of their mass spectrometric cracking patterns. The method, herein referred to as cryotrapping assisted mass spectrometry, takes advantage of the different vapor pressure values of the individual components at low temperature (78 K for liquid nitrogen traps), and thus of the different depletion efficiencies and outgassing patterns during the fast cooling and slow warming up of the trap, respectively. Examples of the use of this technique for gas mixtures with application to plasma enhanced chemical vapor deposition of carbon and carbon-nitrogen hard films are shown. Detection of traces of specific C 3 hydrocarbons ( 2 containing deposition plasmas are addressed as representative examples of specific applications of the technique

  11. The division of plasma physics

    International Nuclear Information System (INIS)

    Evans, T.E.; Guilhem, D.; Klepper, C.C.

    1990-07-01

    The investigations presented in the 31th meeting on plasma physics were: the main results and observations during the ergodic divertor experiments in Tore Supra tokamak; the modifications of power scrape-off-length and power deposition during various configurations in Tore Supra plasmas; the results of pressure measurements and particle fluxes in the Tore Supra pump limiter

  12. Industrial applications of low-temperature plasma physics

    International Nuclear Information System (INIS)

    Chen, F.F.

    1995-01-01

    The application of plasma physics to the manufacturing and processing of materials may be the new frontier of our discipline. Already partially ionized discharges are used in industry, and the performance of plasmas has a large commercial and technological impact. However, the science of low-temperature plasmas is not as well developed as that of high-temperature, collisionless plasmas. In this paper several major areas of application are described and examples of forefront problems in each are given. The underlying thesis is that gas discharges have evolved beyond a black art, and that intellectually challenging problems with elegant solutions can be found. copyright 1995 American Institute of Physics

  13. Selective surface functionalization of polystyrene induced by synchrotron or UV radiation in the presence of oxygen or acrylic acid vapors

    International Nuclear Information System (INIS)

    Kessler, Felipe; Kuhn, Sidiney; Weibel, Daniel E.

    2009-01-01

    Efficient surface functionalization of Polystyrene (PS) thin films by electromagnetic radiation in combination with a reactive gaseous atmosphere was obtained. Monochromatic synchrotron (SR) or polychromatic UV radiation were used as excitation sources. When SR was used, O 2 was introduced after irradiation into the UHV chamber. UV irradiation was carried out keeping a constant flow of O 2 or acrylic acid (AA) vapors during the photolysis. FTIR-ATR and XPS-NEXAFS spectra were obtained at the UFRGS and the LNLS, Campinas respectively. PS films were functionalized by monochromatic SR and then expose to O 2 at specific transitions such us C 1s →σ * C-C excitation. It was found a high rate of COO, C=O and C-O groups at the surface (> 70%). UV-assisted treatment in the presence of AA vapors showed that an efficient polymerization process took place, such as, it was observed in previous AA low pressure RF plasma treatments. UV-assisted functionalization has the advantage of lower costs and simple set-up compared to plasma treatments. (author)

  14. Lifetime evaluation of plasma-facing materials during a tokamak disruption

    International Nuclear Information System (INIS)

    Hassanein, A.; Konkashbaev, I.

    1995-09-01

    Erosion losses of plasma-facing materials in a tokamak reactor during major disruptions, giant ELMS, and large power excursions are serious concerns that influence component survivability and overall lifetime. Two different mechanisms lead to material erosion during these events: surface vaporization and loss of the melt layer. Hydrodynamics and radiation transport in the rapidly developed vapor-cloud region above the exposed area are found to control and determine the net erosion thickness from surface vaporization. A comprehensive self-consistent kinetic model has been developed in which the time-dependent optical properties and the radiation field of the vapor cloud are calculated in order to correctly estimate the radiation flux at the divertor surface. The developed melt layer of metallic divertor materials will, however, be free to move and can be eroded away due to various forces. , Physical mechanisms that affect surface vaporization and cause melt layer erosion are integrated in a comprehensive model. It is found that for metallic components such as beryllium and tungsten, lifetime due to these abnormal events will be controlled and dominated by the evolution and hydrodynamics of the melt layer during the disruption. The dependence of divertor plate lifetime on various aspects of plasma/material interaction physics is discussed

  15. Proceedings of the 1. Brazilian Congress on Plasma Physics

    International Nuclear Information System (INIS)

    1991-01-01

    The 1. Brazilian Congress on Plasma Physics proceedings presents technical papers on magnetohydrodynamics, plasma diagnostic, plasma waves, plasma impurities, plasma instabilities, and astrophysics plasma. (L.C.J.A.)

  16. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kumar, A.; Voevodin, A.A.; Paul, R.; Altfeder, I.; Zemlyanov, D.; Zakharov, D.N.; Fisher, T.S.

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface

  17. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A., E-mail: kumar50@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, A.A. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Paul, R. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Altfeder, I. [Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Zemlyanov, D.; Zakharov, D.N. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Fisher, T.S., E-mail: tsfisher@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States)

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface.

  18. Plasma physics aspects of ETF/INTOR

    International Nuclear Information System (INIS)

    Peng, Y.K.M.; Rutherford, P.R.; Schmidt, J.A.; Cohn, D.R.; Miller, R.L.

    1980-01-01

    In order to achieve their principle technical objectives, the Engineering Test Facility (ETF) and the International Tokomak Reactor (INTOR) will require an ignited (or near ignited) plasma, sustained for pulse lengths of at least 100 secs at a high enough plasma pressure to provide a neutron wall loading of at least 1.3 MW/m 2 . The ignited plasma will have to be substantially free of impurities. Our current understanding of major plasma physics characters is summarized

  19. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  20. Plasma-assisted ignition and combustion: nanosecond discharges and development of kinetic mechanisms

    Science.gov (United States)

    Starikovskaia, S. M.

    2014-09-01

    This review covers the results obtained in the period 2006-2014 in the field of plasma-assisted combustion, and in particular the results on ignition and combustion triggered or sustained by pulsed nanosecond discharges in different geometries. Some benefits of pulsed high voltage discharges for kinetic study and for applications are demonstrated. The necessity of and the possibility of building a particular kinetic mechanism of plasma-assisted ignition and combustion are discussed. The most sensitive regions of parameters for plasma-combustion kinetic mechanisms are selected. A map of the pressure and temperature parameters (P-T diagram) is suggested, to unify the available data on ignition delay times, ignition lengths and densities of intermediate species reported by different authors.

  1. Structure-preserving geometric algorithms for plasma physics and beam physics

    Science.gov (United States)

    Qin, Hong

    2017-10-01

    Standard algorithms in the plasma physics and beam physics do not possess the long-term accuracy and fidelity required in the study of multi-scale dynamics, because they do not preserve the geometric structures of the physical systems, such as the local energy-momentum conservation, symplectic structure and gauge symmetry. As a result, numerical errors accumulate coherently with time and long-term simulation results are not reliable. To overcome this difficulty, since 2008 structure-preserving geometric algorithms have been developed. This new generation of algorithms utilizes advanced techniques, such as interpolating differential forms, canonical and non-canonical symplectic integrators, and finite element exterior calculus to guarantee gauge symmetry and charge conservation, and the conservation of energy-momentum and symplectic structure. It is our vision that future numerical capabilities in plasma physics and beam physics will be based on the structure-preserving geometric algorithms.

  2. Liquid assisted plasma enhanced chemical vapour deposition with a non-thermal plasma jet at atmospheric pressure

    Czech Academy of Sciences Publication Activity Database

    Schäfer, J.; Fricke, K.; Mika, Filip; Pokorná, Zuzana; Zajíčková, L.; Foest, R.

    2017-01-01

    Roč. 630, MAY 30 (2017), s. 71-78 ISSN 0040-6090 R&D Projects: GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : plasma jet * liquid assisted plasma enhanced chemical * vapour deposition * silicon oxide Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Coating and films Impact factor: 1.879, year: 2016

  3. Chemical and physical reactions under thermal plasmas conditions

    International Nuclear Information System (INIS)

    Fauchais, P.; Vardelle, A.; Vardelle, M.; Coudert, J.F.

    1987-01-01

    Basic understanding of the involved phenomena lags far behind industrial development that requires now a better knowledge of the phenomena to achieve a better control of the process allowing to improve the quality of the products. Thus the authors try to precise what is their actual knowledge in the fields of: plasma generators design; plasma flow models with the following key points: laminar or turbulent flow, heat transfer to walls, 2D or 3D models, non equilibrium effects, mixing problems when chemical reactions are to be taken into account with very fast kinetics, electrode regions, data for transport properties and kinetic rates; nucleation problems; plasma flow characteristics measurements: temperature or temperatures and population of excited states (automatized emission spectroscopy, LIF, CARS) as well as flow velocity (LDA with small particles, Doppler effects...); plasma and particles momentum and heat transfer either with models taking into account particles size and injection velocity distributions, heat propagation, vaporization, Kundsen effect, turbulences ... or with measurements: particles velocity and flux distributions (Laser Anemometry) as well as surface temperature distributions (two colour pyrometry in flight statistical or not)

  4. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  5. Polycrystalline AlN films with preferential orientation by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Sanchez, G.; Wu, A.; Tristant, P.; Tixier, C.; Soulestin, B.; Desmaison, J.; Bologna Alles, A.

    2008-01-01

    AlN thin films for acoustic wave devices were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition under different process conditions, employing Si (100) and Pt (111)/SiO 2 /Si (100) substrates. The films were characterized by X-ray diffraction, Fourier transform infrared transmission spectroscopy, atomic force microscopy, scanning electron microscopy, and transmission electron microscopy. The values of the distance between the plasma and the tri-methyl-aluminum precursor injector, the radiofrequency bias potential, and the substrate temperature were central in the development of polycrystalline films. The choice of the chamber total pressure during deposition allowed for the development of two different crystallographic orientations, i.e., or . The film microstructures exhibited in general a column-like growth with rounded tops, an average grain size of about 40 nm, and a surface roughness lower than 20 nm under the best conditions

  6. Spring meeting of the scientific associations for atomic physics, high speed physics, mass spectrometry, molecular physics, plasma physics

    International Nuclear Information System (INIS)

    1996-01-01

    The volume contains the abstracts of the contributions to the Spring Meeting in Rostock with aspects of atomic physics, molecular physics, high speed physics, plasma physics and mass spectrometry. (MM)

  7. Fundamentals of plasma physics

    CERN Document Server

    Bittencourt, J A

    1986-01-01

    A general introduction designed to present a comprehensive, logical and unified treatment of the fundamentals of plasma physics based on statistical kinetic theory. Its clarity and completeness make it suitable for self-learning and self-paced courses. Problems are included.

  8. Frontiers of plasma physics. III. The implications of nonlinearity

    International Nuclear Information System (INIS)

    Bardwell, S.

    1977-01-01

    In the first two articles of this series, Bardwell reviewed the experimental evidence that points to an inherent nonlinear quality in plasmas. Evidence from strongly turbulent plasmas, where the energy in the plasma's collective motions is comparable to the energy in random motion, leads to the speculation that high energy-density plasmas can provide insight into previously inaccessible regimes of physical behavior. Both laboratory and astrophysical plasmas show a marked tendency to generate self-ordered, large-scale structures; islands of self-generated magnetic field, circulation cells, vortices, and filaments are among the most remarkable of these. These self-ordered phenomena, Bardwell reports, challenge in a fundamental way the conceptual tools of physics as they are presently understood. In part two of this series, Bardwell draws on the connection between linearity and entropy, a topic also examined in Levitt's companion piece in the September 1976 FEF Newsletter, to conclude that these difficulties in plasma physics stem from the invalid extension of contemporary physics, which is basically linear, to high-energy density regimes of a plasma; contemporary physics in these cases is inapplicable. Readers without a background in mathematics should not be deterred by the mathematical formalism in the last section of the article; the text can be understood without a detailed mastery of the mathematical formulae

  9. Controlled density of vertically aligned carbon nanotubes in a triode plasma chemical vapor deposition system

    International Nuclear Information System (INIS)

    Lim, Sung Hoon; Park, Kyu Chang; Moon, Jong Hyun; Yoon, Hyun Sik; Pribat, Didier; Bonnassieux, Yvan; Jang, Jin

    2006-01-01

    We report on the growth mechanism and density control of vertically aligned carbon nanotubes using a triode plasma enhanced chemical vapor deposition system. The deposition reactor was designed in order to allow the intermediate mesh electrode to be biased independently from the ground and power electrodes. The CNTs grown with a mesh bias of + 300 V show a density of ∼ 1.5 μm -2 and a height of ∼ 5 μm. However, CNTs do not grow when the mesh electrode is biased to - 300 V. The growth of CNTs can be controlled by the mesh electrode bias which in turn controls the plasma density and ion flux on the sample

  10. Diagnostics of microwave assisted electron cyclotron resonance plasma source for surface modification of nylon 6

    Science.gov (United States)

    More, Supriya E.; Das, Partha Sarathi; Bansode, Avinash; Dhamale, Gayatri; Ghorui, S.; Bhoraskar, S. V.; Sahasrabudhe, S. N.; Mathe, Vikas L.

    2018-01-01

    Looking at the increasing scope of plasma processing of materials surface, here we present the development and diagnostics of a microwave assisted Electron Cyclotron Resonance (ECR) plasma system suitable for surface modification of polymers. Prior to the surface-treatment, a detailed diagnostic mapping of the plasma parameters throughout the reactor chamber was carried out by using single and double Langmuir probe measurements in Ar plasma. Conventional analysis of I-V curves as well as the elucidation form of the Electron Energy Distribution Function (EEDF) has become the source of calibration of plasma parameters in the reaction chamber. The high energy tail in the EEDF of electron temperature is seen to extend beyond 60 eV, at much larger distances from the ECR zone. This proves the suitability of the rector for plasma processing, since the electron energy is much beyond the threshold energy of bond breaking in most of the polymers. Nylon 6 is used as a representative candidate for surface processing in the presence of Ar, H2 + N2, and O2 plasma, treated at different locations inside the plasma chamber. In a typical case, the work of adhesion is seen to almost get doubled when treated with oxygen plasma. Morphology of the plasma treated surface and its hydrophilicity are discussed in view of the variation in electron density and electron temperature at these locations. Nano-protrusions arising from plasma treatment are set to be responsible for the hydrophobicity. Chemical sputtering and physical sputtering are seen to influence the surface morphology on account of sufficient electron energies and increased plasma potential.

  11. Vapor cycle energy system for implantable circulatory assist devices. Annual progress report Jul 1974--Jun 1975

    International Nuclear Information System (INIS)

    Hagen, K.G.

    1975-06-01

    The report describes the development status of a heart assist system driven by a nuclear fueled, electronically controlled vapor cycle engine termed the tidal regenerator engine (TRE). The TRE pressurization (typically from 5-160 psia) is controlled by a torque motor coupled to a displacer. The electrical power for the sensor, electronic logic and actuator is provided by a thermoelectric module interposed between the engine superheater and boiler. The TRE is direct coupled to an assist blood pump which also acts as a blood-cooled heat exchanger, pressure-volume transformer and sensor for the electronic logic. Engine efficiencies in excess of 14% have been demonstrated. Efficiency values as high as 13% have been achieved to date

  12. Commercialization of Plasma-Assisted Technologies: The Indian Experience

    Science.gov (United States)

    John, P. I.

    The paper describes an initiative by the Institute for Plasma Research (IPR), India in establishing links with the Indian industry for developing and commercialising advanced plasma-based industrial technologies. This has culminated in the creation of a self-financing technology development, incubation, demonstration and delivery facility. A business plan for converting the knowledge base to commercially viable technologies conceived technology as a product and the industry as the market and addressed issues like resistance to new technologies, the key role of entrepreneur, thrust areas and the necessity of technology incubation and delivery. Success of this strategy is discussed in a few case studies. We conclude by identifying the cost, environmental, strategic and techno-economic aspects, which would be the prime drivers for plasma-assisted manufacturing technology in India.

  13. The Influences of H2Plasma Pretreatment on the Growth of Vertically Aligned Carbon Nanotubes by Microwave Plasma Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Wen Hua-Chiang

    2008-01-01

    Full Text Available AbstractThe effects of H2flow rate during plasma pretreatment on synthesizing the multiwalled carbon nanotubes (MWCNTs by using the microwave plasma chemical vapor deposition are investigated in this study. A H2and CH4gas mixture with a 9:1 ratio was used as a precursor for the synthesis of MWCNT on Ni-coated TaN/Si(100 substrates. The structure and composition of Ni catalyst nanoparticles were investigated using scanning electron microscopy (SEM and transmission electron microscopy (TEM. The present findings showed that denser Ni catalyst nanoparticles and more vertically aligned MWCNTs could be effectively achieved at higher flow rates. From Raman results, we found that the intensity ratio of G and D bands (ID/IG decreases with an increasing flow rate. In addition, TEM results suggest that H2plasma pretreatment can effectively reduce the amorphous carbon and carbonaceous particles. As a result, the pretreatment plays a crucial role in modifying the obtained MWCNTs structures.

  14. On the physics of electron beams in space plasmas

    International Nuclear Information System (INIS)

    Krafft, C.; Volokitin, A.

    2002-01-01

    This paper discusses the main physical processes related to the injection, the propagation and the radiation of electron beams in space plasmas as the Earth's ionosphere. The physical mechanisms are shortly explained and illustrated with several examples of experimental results provided by various space missions. In a first part, we discuss important physical processes connected with the response of the ambient space plasma to the beam injection, and in particular, with the mechanisms of electric charge neutralization of the electron beam and of the payload carrying the injector, with the widely studied phenomenon of beam-plasma discharge as well as with the physical features of the spatio-temporal evolution and the dynamic structure of the beam in its interaction with the plasma and the emitted waves. In a second part, the main processes governing the wave emission by electron beams in space are examined; in particular, we focus on the physical linear and nonlinear mechanisms involved in the generation, the stabilization and the saturation of the electromagnetic waves excited by the beams in wide frequency ranges. and the radiation of electron beams in space plasmas as the Earth's ionosphere. The physical mechanisms are shortly explained and illustrated with several examples of experimental results provided by various space missions. In a first part, we discuss important physical processes connected with the response of the ambient space plasma to the beam injection, and in particular, with the mechanisms of electric charge neutralization of the electron beam and of the payload carrying the injector, with the widely studied phenomenon of beam-plasma discharge as well as with the physical features of the spatio-temporal evolution and the dynamic structure of the beam in its interaction with the plasma and the emitted waves. In a second part, the main processes governing the wave emission by electron beams in space are examined; in particular, we focus on the

  15. Supersonic induction plasma jet modeling

    International Nuclear Information System (INIS)

    Selezneva, S.E.; Boulos, M.I.

    2001-01-01

    Numerical simulations have been applied to study the argon plasma flow downstream of the induction plasma torch. It is shown that by means of the convergent-divergent nozzle adjustment and chamber pressure reduction, a supersonic plasma jet can be obtained. We investigate the supersonic and a more traditional subsonic plasma jets impinging onto a normal substrate. Comparing to the subsonic jet, the supersonic one is narrower and much faster. Near-substrate velocity and temperature boundary layers are thinner, so the heat flux near the stagnation point is higher in the supersonic jet. The supersonic plasma jet is characterized by the electron overpopulation and the domination of the recombination over the dissociation, resulting into the heating of the electron gas. Because of these processes, the supersonic induction plasma permits to separate spatially different functions (dissociation and ionization, transport and deposition) and to optimize each of them. The considered configuration can be advantageous in some industrial applications, such as plasma-assisted chemical vapor deposition of diamond and polymer-like films and in plasma spraying of nanoscaled powders

  16. BOOK REVIEW: Controlled Fusion and Plasma Physics

    Science.gov (United States)

    Engelmann, F.

    2007-07-01

    This new book by Kenro Miyamoto provides an up-to-date overview of the status of fusion research and the important parts of the underlying plasma physics at a moment where, due to the start of ITER construction, an important step in fusion research has been made and many new research workers will enter the field. For them, and also for interested graduate students and physicists in other fields, the book provides a good introduction into fusion physics as, on the whole, the presentation of the material is quite appropriate for getting acquainted with the field on the basis of just general knowledge in physics. There is overlap with Miyamoto's earlier book Plasma Physics for Nuclear Fusion (MIT Press, Cambridge, USA, 1989) but only in a few sections on subjects which have not evolved since. The presentation is subdivided into two parts of about equal length. The first part, following a concise survey of the physics basis of thermonuclear fusion and of plasmas in general, covers the various magnetic configurations studied for plasma confinement (tokamak; reversed field pinch; stellarator; mirror-type geometries) and introduces the specific properties of plasmas in these devices. Plasma confinement in tokamaks is treated in particular detail, in compliance with the importance of this field in fusion research. This includes a review of the ITER concept and of the rationale for the choice of ITER's parameters. In the second part, selected topics in fusion plasma physics (macroscopic instabilities; propagation of waves; kinetic effects such as energy transfer between waves and particles including microscopic instabilities as well as plasma heating and current drive; transport phenomena induced by turbulence) are presented systematically. While the emphasis is on displaying the essential physics, deeper theoretical analysis is also provided here. Every chapter is complemented by a few related problems, but only partial hints for their solution are given. A selection of

  17. Chapter 8: Plasma operation and control [Progress in the ITER Physics Basis (PIPB)

    International Nuclear Information System (INIS)

    Gribov, Y.; Humphreys, D.; Kajiwara, K.; Lazarus, E.A.; Lister, J.B.; Ozeki, T.; Portone, A.; Shimada, M.; Sips, A.C.C.; Wesley, J.C.

    2007-01-01

    The ITER plasma control system has the same functional scope as the control systems in present tokamaks. These are plasma operation scenario sequencing, plasma basic control (magnetic and kinetic), plasma advanced control (control of RWMs, NTMs, ELMs, error fields, etc) and plasma fast shutdown. This chapter considers only plasma initiation and plasma basic control. This chapter describes the progress achieved in these areas in the tokamak experiments since the ITER Physics Basis (1999 Nucl. Fusion 39 2577) was written and the results of assessment of ITER to provide the plasma initiation and basic control. This assessment was done for the present ITER design (15 MA machine) at a more detailed level than it was done for the ITER design 1998 (21 MA machine) described in the ITER Physics Basis (1999 Nucl. Fusion 39 2577). The experiments on plasma initiation performed in DIII-D and JT-60U, as well as the theoretical studies performed for ITER, have demonstrated that, within specified assumptions on the plasma confinement and the impurity influx, ITER can produce plasma initiation in a low toroidal electric field (0.3 V m -1 ), if it is assisted by about 2 MW of ECRF heating. The plasma basic control includes control of the plasma current, position and shape-the plasma magnetic control, as well as control of other plasma global parameters or their profiles-the plasma performance control. The magnetic control is based on more reliable and simpler models of the control objects than those available at present for the plasma kinetic control. Moreover the real time diagnostics used for the magnetic control in many cases are more precise than those used for the kinetic control. Because of these reasons, the plasma magnetic control was developed for modern tokamaks and assessed for ITER better than the kinetic control. However, significant progress has been achieved in the plasma performance control during the last few years. Although the physics basis of plasma operation

  18. Department of Plasma Physics and Technology - Overview

    International Nuclear Information System (INIS)

    Rabinski, M.

    2008-01-01

    Full text: The activities of the Department in 2007 continued previous studies in the following fields of plasma physics, controlled nuclear fusion and plasma technology of surface engineering: · Studies of physical phenomena in pulsed discharges in the Plasma-Focus (PF) and RPI-IBIS facilities; · Development of selected methods for high-temperature plasma diagnostics; · Research on plasma technologies; · Selected problems of plasma theory and computational modelling. As for the experimental studies particular attention was paid to the analysis of the correlation of X-ray pulses with pulsed electron beams and other corpuscular emissions from different Plasma-Focus (PF) facilities. A collisional-radiative model, taking into account the Stark effect and strong electric fields in the so called '' hot- spot '' regions of a pinch, was applied in those analyses. The main aim of these studies was to identify the physical phenomena responsible for the emission during the PF-type discharges. The emitted protons were also measured with nuclear track detectors. The measurements made it possible to obtain images of the regions, where the D-D fusion reactions occurred, as well as to determine the angular distribution of the emitted protons. Pulsed plasma streams were also investigated by means of time-resolved optical spectroscopy and corpuscular diagnostics. In a frame of the EURATOM program, efforts were devoted to the development of diagnostic methods for tokamak-type facilities. Such studies include the design and construction of the 4-channel Cherenkov-type detection system for the TORE-SUPRA tokamak at CEA-Cadarache. In the meantime in order to collect some experience a new measuring head was especially prepared for experiments within small facilities. Other fusion- oriented efforts are connected with the application of the solid-state nuclear track detectors for investigation of protons from tokamak plasma and high-energy beams emitted from laser produced plasmas

  19. APS presents prizes in fluid dynamics and plasma physics

    International Nuclear Information System (INIS)

    Anon.

    1992-01-01

    This article reviews the presentation of the American Physical Society awards in fluid dynamics and plasma physics. The recipient of the plasma physics James Clerk Maxwell Prize was John M. Green for contributions to the theory of magnetohydrodynamics equilibria and ideal and resistive instabilities, for discovering the inverse scattering transform leading to soliton solutions of many nonlinear partial differential equations and for inventing the residue method of determining the transition to global chaos. The excellence in Plasma Physics Research Award was presented to Nathaniel A. Fisch for theoretical investigations of noninductive current generation in toroidally confined plasma. Wim Pieter Leemans received the Simon Ramo Award for experimental and simulational contributions to laser-plasma physics. William R. Sears was given the 1992 Fuid Dynamics Prize for contributions to the study of steady and unsteady aerodynamics, aeroacoustics, magnetoaerodynamics,and wind tunnel design. William C. Reynolds received the Otto Laporte Award for experimental, theoretical, and computational work in turbulence modeling and control and leadership in direct numerical simulation and large eddy simulation

  20. Building an undergraduate physics program with Learning Assistants

    Science.gov (United States)

    Price, Edward

    2013-04-01

    In 2007, the CSUSM Physics Department began offering a B.S. in Applied Physics, its first physics bachelors degree program. The program has grown from 11 majors in 2008 to over 80 in 2012, due in part to recruiting students from local high schools and community colleges. More broadly, because most CSUSM students come from the local region, the longer-term health of the Department is coupled with the vitality and strength of local high school physics education. In addition, establishing a new physics degree required curriculum development and offered the opportunity to incorporate recent innovations in physics education when developing courses. A Learning Assistants (LA) Program, established by the Department in 2008, has been a critical component in these efforts to recruit students, build local educational networks, and implement innovative curricula. In an LA Program, undergraduate Learning Assistants assist faculty in class, meet regularly with the course instructor, and participate in a weekly seminar on teaching and learning, which provides guidance on effective instruction and an opportunity to reflect on their experiences in the classroom. The LA program promotes course transformation, improved student learning, and teacher recruitment. This talk will describe the CSUSM LA Program and its role in support of our growing applied physics degree program.

  1. Plasma assisted surface coating/modification processes: An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1986-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation). These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  2. Plasma assisted surface coating/modification processes - An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1987-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation. These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  3. Vapor cycle energy system for implantable circulatory assist devices. Final summary May--Oct 1976

    International Nuclear Information System (INIS)

    Watelet, R.P.; Ruggles, A.E.; Hagen, K.G.

    1977-03-01

    The report describes the development status of a heart assist system driven by a nuclear-fueled, electronically controlled vapor cycle engine termed the tidal regenerator engine (TRE). The TRE pressurization is controlled by a torque motor coupled to a displacer. The electrical power for the sensor, electronic logic and actuator is provided by thermoelectric modules interposed between the engine superheater and boiler. The TRE is direct-coupled to an assist blood pump which also acts as a blood-cooled heat exchanger, pressure-volume trasformer and sensor for the electronic logic. Engine cycle efficiency in excess of 14% has been demonstrated routinely. Overall system efficiency on 33 watts of over 9% has been demonstrated (implied 13% engine cycle efficiency). A binary version of this engine in the annular configuration is now being tested. The preliminary tests demonstrated 10% cycle efficiency on the first buildup which ran well and started easily

  4. Role of plasma activation in tailoring the nanostructure of multifunctional oxides thin films

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, Maria M.; Losurdo, Maria; Capezzuto, Pio [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, and Department of Chemistry, University of Bari, via Orabona, 4-70125 Bari (Italy); Bruno, Giovanni [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, and Department of Chemistry, University of Bari, via Orabona, 4-70125 Bari (Italy)], E-mail: giovanni.bruno@ba.imip.cnr.it

    2009-03-01

    Potential of O{sub 2} remote plasmas for improving structural, morphological and optical properties of various multifunctional oxides thin films both during plasma assisted growth as well as by post-growth treatments is discussed. In particular, an O{sub 2} remote plasma metalorganic chemical vapor deposition (RP-MOCVD) route is presented for tailoring the structural, morphological and optical properties of Er{sub 2}O{sub 3} and ZnO films. Furthermore, post-growth room-temperature remote O{sub 2} plasma treatments of indium-tin-oxides (ITO) films are demonstrated to be effective in improving morphology of ITO films.

  5. A Plasma-Assisted Route to the Rapid Preparation of Transition-Metal Phosphides for Energy Conversion and Storage

    KAUST Repository

    Liang, Hanfeng

    2017-06-06

    Transition-metal phosphides (TMPs) are important materials that have been widely used in catalysis, supercapacitors, batteries, sensors, light-emitting diodes, and magnets. The physical and chemical structure of a metal phosphide varies with the method of preparation as the electronic, catalytic, and magnetic properties of the metal phosphides strongly depend on their synthesis routes. Commonly practiced processes such as solid-state synthesis and ball milling have proven to be reliable routes to prepare TMPs but they generally require high temperature and long reaction time. Here, a recently developed plasma-assisted conversion route for the preparation of TMPs is reviewed, along with their applications in energy conversion and storage, including water oxidation electrocatalysis, sodium-ion batteries, and supercapacitors. The plasma-assisted synthetic route should open up a new avenue to prepare TMPs with tailored structure and morphology for various applications. In fact, the process may be further extended to the synthesis of a wide range of transition-metal compounds such as borides and fluorides at low temperature and in a rapid manner.

  6. Analysis of organic vapors with laser induced breakdown spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Nozari, Hadi; Tavassoli, Seyed Hassan [Laser and Plasma Research Institute, Shahid Beheshti University, G. C, 1983963113 Evin, Tehran (Iran, Islamic Republic of); Rezaei, Fatemeh, E-mail: fatemehrezaei@kntu.ac.ir [Department of Physics, K. N. Toosi University of Technology, 15875-4416 Shariati, Tehran (Iran, Islamic Republic of)

    2015-09-15

    In this paper, laser induced breakdown spectroscopy (LIBS) is utilized in the study of acetone, ethanol, methanol, cyclohexane, and nonane vapors. Carbon, hydrogen, oxygen, and nitrogen atomic emission spectra have been recorded following laser-induced breakdown of the organic vapors that are mixed with air inside a quartz chamber at atmospheric pressure. The plasma is generated with focused, Q-switched Nd:YAG radiation at the wavelength of 1064 nm. The effects of ignition and vapor pressure are discussed in view of the appearance of the emission spectra. The recorded spectra are proportional to the vapor pressure in air. The hydrogen and oxygen contributions diminish gradually with consecutive laser-plasma events without gas flow. The results show that LIBS can be used to characterize organic vapor.

  7. Analysis of organic vapors with laser induced breakdown spectroscopy

    International Nuclear Information System (INIS)

    Nozari, Hadi; Tavassoli, Seyed Hassan; Rezaei, Fatemeh

    2015-01-01

    In this paper, laser induced breakdown spectroscopy (LIBS) is utilized in the study of acetone, ethanol, methanol, cyclohexane, and nonane vapors. Carbon, hydrogen, oxygen, and nitrogen atomic emission spectra have been recorded following laser-induced breakdown of the organic vapors that are mixed with air inside a quartz chamber at atmospheric pressure. The plasma is generated with focused, Q-switched Nd:YAG radiation at the wavelength of 1064 nm. The effects of ignition and vapor pressure are discussed in view of the appearance of the emission spectra. The recorded spectra are proportional to the vapor pressure in air. The hydrogen and oxygen contributions diminish gradually with consecutive laser-plasma events without gas flow. The results show that LIBS can be used to characterize organic vapor

  8. Reduced chemical warfare agent sorption in polyurethane-painted surfaces via plasma-enhanced chemical vapor deposition of perfluoroalkanes.

    Science.gov (United States)

    Gordon, Wesley O; Peterson, Gregory W; Durke, Erin M

    2015-04-01

    Perfluoralkalation via plasma chemical vapor deposition has been used to improve hydrophobicity of surfaces. We have investigated this technique to improve the resistance of commercial polyurethane coatings to chemicals, such as chemical warfare agents. The reported results indicate the surface treatment minimizes the spread of agent droplets and the sorption of agent into the coating. The improvement in resistance is likely due to reduction of the coating's surface free energy via fluorine incorporation, but may also have contributing effects from surface morphology changes. The data indicates that plasma-based surface modifications may have utility in improving chemical resistance of commercial coatings.

  9. Extraction of negative lithium ions from a lithium-containing hydrogen plasma

    International Nuclear Information System (INIS)

    Wada, M.; Sasao, M.

    1996-01-01

    Negative lithium ions (Li - ) were extracted from a 6-cm-diam 7-cm-long negative hydrogen ion (H - ) source to simulate the condition of Li - extraction from a Li vapor introduced ion source for the neutral beam heating. The amount of the Li - current extracted from a hydrogen plasma with Li vapor was comparable to that extracted from a pure Li plasma. However, the amount of the H - current decreased as the H 2 gas pressure in the source decreased due to a getter-pump effect of Li during the introduction of Li vapor. A heat shield installed to keep a high wall temperature was effective in mitigating the pressure decrease. However, the H - current extracted from the ion source equipped with the heat shield became 20% of the original value, as Li vapor was injected into the ion source. copyright 1996 American Institute of Physics

  10. Fundamental aspects of plasma chemical physics Thermodynamics

    CERN Document Server

    Capitelli, Mario; D'Angola, Antonio

    2012-01-01

    Fundamental Aspects of Plasma Chemical Physics - Thermodynamics develops basic and advanced concepts of plasma thermodynamics from both classical and statistical points of view. After a refreshment of classical thermodynamics applied to the dissociation and ionization regimes, the book invites the reader to discover the role of electronic excitation in affecting the properties of plasmas, a topic often overlooked by the thermal plasma community. Particular attention is devoted to the problem of the divergence of the partition function of atomic species and the state-to-state approach for calculating the partition function of diatomic and polyatomic molecules. The limit of ideal gas approximation is also discussed, by introducing Debye-Huckel and virial corrections. Throughout the book, worked examples are given in order to clarify concepts and mathematical approaches. This book is a first of a series of three books to be published by the authors on fundamental aspects of plasma chemical physics.  The next bo...

  11. Frontier of plasma physics. 'Research network on non-equilibrium and extreme state plasmas'

    International Nuclear Information System (INIS)

    Itoh, Sanae-I.; Fujisawa, Akihide; Kodama, Ryosuke; Sato, Motoyasu; Tanaka, Kazuo A.; Hatakeyama, Rikizo; Itoh, Kimitaka

    2011-01-01

    Plasma physics and fusion science have been applied to a wide variety of plasmas such as nuclear fusion plasmas, high-energy-density plasmas, processing plasmas and nanobio- plasmas. They are pioneering science and technology frontiers such as new energy sources and new functional materials. A large project 'research network on non-equilibrium and extreme state plasmas' is being proposed to reassess individual plasma researches from a common view of the non-equilibrium extreme plasma and to promote collaboration among plasma researchers all over the country. In the present review, recent collaborative works related to this project are being introduced. (T.I.)

  12. Plasmas: from space to laboratory. 'Introduction to plasma physics' course

    International Nuclear Information System (INIS)

    Savoini, Philippe

    2011-01-01

    This course addresses the different basic concepts of plasma physics. After an introduction which addresses the plasma state, basic equations, the different theoretical approaches (orbitals, kinetic, multi-fluid, magnetohydrodynamics), and the different characteristic scales, waves are addressed and presented as a disordered electromagnetism: existence of plasma waves, generalities on waves, relationship of formal dispersion of plasmas, plasma without magnetic field (longitudinal, transverse, or low frequency wave), plasma with magnetic field (parallel, perpendicular, or arbitrary propagation). The next parts present various approaches: the particle-based approach (case of constant and uniform magnetic fields, case of non-uniform magnetic fields), the statistical approach (elements of kinetic theory, the collision phenomenon, the equilibrium state), and the fluid approach (fluid equations according to the multi-fluid theory, comparison with the particle-based approach, presentation of magnetohydrodynamics as the single-fluid model, validity of MHD)

  13. Brazilian programme for plasma physics and controlled thermonuclear fusion

    International Nuclear Information System (INIS)

    Chian, A.C.L.; Reusch, M.F.; Nascimento, I.C.; Pantuso-Sudano, J.

    1992-01-01

    A proposal for a National Programme of Plasma Physics and Controlled Thermonuclear Fusion in Brazil is presented, aimimg the dissemination of the researchers thought in plasma physics for the national authorities and the scientific community. (E.O.)

  14. Plasma physics for controlled fusion

    International Nuclear Information System (INIS)

    Miyamoto, K.

    2010-01-01

    The primary objective of this lecture note is to present the theories and experiments of plasma physics for recent activities of controlled fusion research for graduate and senior undergraduate students. Chapters 1-6 describe the basic knowledge of plasma and magnetohydrodynamics (MHD). MHD instabilities limit the beta ratio (ratio of plasma pressure to magnetic pressure) of confined plasma. Chapters 7-9 provide the kinetic theory of hot plasma and discuss the wave heating and non-inductive current drive. The dispersion relation derived by the kinetic theory are used to discuss plasma waves and perturbed modes. Landau damping is the essential mechanism of plasma heating and the stabilization of perturbation. Landau inverse damping brings the amplification of waves and the destabilization of perturbed modes. Chapter 10 explains the plasma transport due to turbulence, which is the most important and challenging subject for plasma confinement. Theories and simulations including subject of zonal flow are introduced. Chapters 11, 12 and 13 describe the recent activities of tokamak including ITER as well as spherical tokamak, reversed field pinch (RFP) and stellarator including quasi-symmetric configurations. Emphasis has been given to tokamak research since it made the most remarkable progress and the construction phase of 'International Tokamak Experimental Reactor' called ITER has already started. (author)

  15. Processing-structure-property relationships in electron beam physical vapor deposited yttria stabilized zirconia coatings

    International Nuclear Information System (INIS)

    Rao, D. Srinivasa; Valleti, Krishna; Joshi, S. V.; Janardhan, G. Ranga

    2011-01-01

    The physical and mechanical properties of yttria stabilized zirconia (YSZ) coatings deposited by the electron beam physical vapor deposition technique have been investigated by varying the key process variables such as vapor incidence angle and sample rotation speed. The tetragonal zirconia coatings formed under varying process conditions employed were found to have widely different surface and cross-sectional morphologies. The porosity, phase composition, planar orientation, hardness, adhesion, and surface residual stresses in the coated specimens were comprehensively evaluated to develop a correlation with the process variables. Under transverse scratch test conditions, the YSZ coatings exhibited two different crack formation modes, depending on the magnitude of residual stress. The influence of processing conditions on the coating deposition rate, column orientation angle, and adhesion strength has been established. Key relationships between porosity, hardness, and adhesion are also presented.

  16. TVA - Thermionic Vacuum Arc - A new type of discharge generating pure metal vapor plasma

    International Nuclear Information System (INIS)

    Musa, G.; Popescu, A.; Mustata, I.; Borcoman, I.; Cretu, M.; Leu, G.F.; Salambas, A.; Ehrich, H.; Schumann, I.

    1996-01-01

    In this paper it is presented a new type of discharge in vacuum conditions generating pure metal vapor plasma with energetic metal ions content. The peculiarities of this heated cathode discharge are described and the dependence of the measured ion energy of the working parameters are established. The ion energy value can be easily and smoothly changed. A nearly linear dependence between energy of ions and arc voltage drop has been observed. The ion energy can be increased by the increase of the interelectrode distance, decrease of cathode temperature, change of the relative position of the electrodes and by the decrease of the arc discharge current. A special configuration with cylindrical geometry has been used to develop a small size and compact metal vapour plasma gun. Due to the mentioned peculiarities, this discharge offers new openings for important applications. (author)

  17. An introduction to the atomic and radiation physics of plasmas

    CERN Document Server

    Tallents, G J

    2018-01-01

    Plasmas comprise more than 99% of the observable universe. They are important in many technologies and are key potential sources for fusion power. Atomic and radiation physics is critical for the diagnosis, observation and simulation of astrophysical and laboratory plasmas, and plasma physicists working in a range of areas from astrophysics, magnetic fusion, and inertial fusion utilise atomic and radiation physics to interpret measurements. This text develops the physics of emission, absorption and interaction of light in astrophysics and in laboratory plasmas from first principles using the physics of various fields of study including quantum mechanics, electricity and magnetism, and statistical physics. Linking undergraduate level atomic and radiation physics with the advanced material required for postgraduate study and research, this text adopts a highly pedagogical approach and includes numerous exercises within each chapter for students to reinforce their understanding of the key concepts.

  18. NBI - plasma vaporization hybrid approach in bladder cancer endoscopic management.

    Science.gov (United States)

    Stănescu, F; Geavlete, B; Georgescu, D; Jecu, M; Moldoveanu, C; Adou, L; Bulai, C; Ene, C; Geavlete, P

    2014-06-15

    A prospective study was performed aiming to evaluate the surgical efficacy, perioperative safety profile, diagnostic accuracy and medium term results of a multi-modal approach consisting in narrow band imaging (NBI) cystoscopy and bipolar plasma vaporization (BPV) when compared to the standard protocol represented by white light cystoscopy (WLC) and transurethral resection of bladder tumors (TURBT). A total of 260 patients with apparently at least one bladder tumor over 3 cm were included in the trial. In the first group, 130 patients underwent conventional and NBI cystoscopy followed by BPV, while in a similar number of cases of the second arm, classical WLC and TURBT were applied. In all non-muscle invasive bladder tumors' (NMIBT) pathologically confirmed cases, standard monopolar Re-TUR was performed at 4-6 weeks after the initial intervention, followed by one year' BCG immunotherapy. The follow-up protocol included abdominal ultrasound, urinary cytology and WLC, performed every 3 months for a period of 2 years. The obturator nerve stimulation, bladder wall perforation, mean hemoglobin level drop, postoperative bleeding, catheterization period and hospital stay were significantly reduced for the plasma vaporization technique by comparison to conventional resection. Concerning tumoral detection, the present data confirmed the NBI superiority when compared to standard WLC regardless of tumor stage (95.3% vs. 65.1% for CIS, 93.3% vs. 82.2% for pTa, 97.4% vs. 94% for pT1, 95% vs. 84.2% overall). During standard Re-TUR the overall (6.3% versus 17.4%) and primary site (3.6% versus 12.8%) residual tumors' rates were significantly lower for the NBI-BPV group. The 1 (7.2% versus 18.3%) and 2 (11.5% versus 25.8%) years' recurrence rates were substantially lower for the combined approach. NBI cystoscopy significantly improved diagnostic accuracy, while bipolar technology showed a higher surgical efficiency, lower morbidity and faster postoperative recovery. The combined

  19. Sensing performance of plasma-enhanced chemical vapor deposition SiC-SiO2-SiC horizontal slot waveguides

    NARCIS (Netherlands)

    Pandraud, G.; Margallo-Balbas, E.; Sarro, P.M.

    2012-01-01

    We have studied, for the first time, the sensing capabilities of plasma-enhanced chemical vapor deposition (PECVD) SiC-SiO2-SiC horizontal slot waveguides. Optical propagation losses were measured to be 23.9 dB?cm for the quasi-transverse magnetic mode. To assess the potential of this device as a

  20. Carbon nanosheets by microwave plasma enhanced chemical vapor deposition in CH4-Ar system

    International Nuclear Information System (INIS)

    Wang Zhipeng; Shoji, Mao; Ogata, Hironori

    2011-01-01

    We employ a new gas mixture of CH 4 -Ar to fabricate carbon nanosheets by microwave plasma enhanced chemical vapor deposition at the growth temperature of less than 500 deg. C. The catalyst-free nanosheets possess flower-like structures with a large amount of sharp edges, which consist of a few layers of graphene sheets according to the observation by transmission electron microscopy. These high-quality carbon nanosheets demonstrated a faster electron transfer between the electrolyte and the nanosheet surface, due to their edge defects and graphene structures.

  1. Optimization of a single-drop microextraction method for multielemental determination by electrothermal vaporization inductively coupled plasma mass spectrometry following in situ vapor generation

    International Nuclear Information System (INIS)

    Gil, Sandra; Loos-Vollebregt, Margaretha T.C. de; Bendicho, Carlos

    2009-01-01

    A headspace single-drop microextraction (HS-SDME) method has been developed in combination with electrothermal vaporization inductively coupled plasma mass spectrometry (ETV-ICP-MS) for the simultaneous determination of As, Sb, Bi, Pb, Sn and Hg in aqueous solutions. Vapor generation is carried out in a 40 mL volume closed-vial containing a solution with the target analytes in hydrochloric acid and potassium ferricyanide medium. Hydrides (As, Sb, Bi, Pb, Sn) and Hg vapor are trapped onto an aqueous single drop (3 μL volume) containing Pd(II), followed by the subsequent injection in the ETV. Experimental variables such as medium composition, sodium tetrahydroborate (III) volume and concentration, stirring rate, extraction time, sample volume, ascorbic acid concentration and palladium amount in the drop were fully optimized. The limits of detection (LOD) (3σ criterion) of the proposed method for As, Sb, Bi, Pb, Sn and Hg were 0.2, 0.04, 0.01, 0.07, 0.09 and 0.8 μg/L, respectively. Enrichment factors of 9, 85, 138, 130, 37 and 72 for As, Sb, Bi, Pb, Sn and Hg, respectively, were achieved in 210 s. The relative standard deviations (N = 5) ranged from 4 to 8%. The proposed HS-SDME-ETV-ICP-MS method has been applied for the determination of As, Sb, Bi, Pb, Sn and Hg in NWRI TM-28.3 certified reference material.

  2. Status of plasma physics research activities in Egypt

    International Nuclear Information System (INIS)

    Masoud, M.M.

    1997-01-01

    The status of plasma physics research activities in Egypt is reviewed. There are nine institutes with plasma research activities. The largest is the Atomic energy Authority (AEA), which has activities in fundamental plasma studies, fusion technology, plasma and laser applications, and plasma simulation. The experiments include Theta Pinches, a Z Pinch, a coaxial discharge, a glow discharge, a CO 2 laser, and the EGYPTOR tokamak. (author)

  3. Department of Plasma Physics and Material Engineering - Overview

    International Nuclear Information System (INIS)

    Rabinski, M.

    2010-01-01

    Full text: In April 2009 the Department of Materials Studies was united with the Department of Plasma Physics and Technology, This action followed twenty years of close cooperation in the implementation of high-intensity ion-beam pulses for the implantation of materials. In 2009 the activities of the new Department continued previous studies in the following fields of plasma physics, controlled nuclear fusion and plasma engineering: · Development of selected methods for high-temperature plasma diagnostics; · Studies of physical phenomena in pulsed discharges at the Plasma-Focus and RPI-IBIS facilities; · Research on plasma technologies, search for new methods of surface engineering; · Selected problems of plasma theory and computational modelling. In the framework of the EURATOM program. efforts were devoted to the development of diagnostics methods for tokamak-type facilities. Such studies included the elaboration of a special detection system based on a Cherenkov-type detector. Other fusion-oriented efforts were connected with the application of activation methods to the investigation of neutrons from the JET tokamak. Also. solid-state nuclear track detectors of the PM-355 type were used for measurements of energetic protons emitted from ultra-intense laser produced plasmas. In our continuing experimental studies, particular attention was paid to the development and application of optical spectroscopy for diagnostics of high-temperature plasma within the RPI-IBIS device and Plasma-Focus facilities. Fast ions escaping from the plasma were studied with nuclear track detectors, The interaction of plasma-ion streams with different targets was also investigated. A field of research activity was related to plasma technology. Efforts were undertaken to improve the ultra-high vacuum (UHV) deposition of thin superconducting layers. c.g. pure niobium film on the surface of copper resonant cavities of accelerators. The vacuum arc deposition technique was also applied to

  4. Hard graphitelike hydrogenated amorphous carbon grown at high rates by a remote plasma

    DEFF Research Database (Denmark)

    Singh, Shailendra Vikram; Zaharia, T.; Creatore, M.

    2010-01-01

    Hydrogenated amorphous carbon (a-C:H) deposited from an Ar-C 2H2 expanding thermal plasma chemical vapor deposition (ETP-CVD) is reported. The downstream plasma region of an ETP is characterized by a low electron temperature (∼0.3 eV), which leads to an ion driven chemistry and negligible physical...

  5. Polarization plasma spectroscopy (PPS) viewed from plasma physics and fusion research

    International Nuclear Information System (INIS)

    Ida, Katsumi

    1998-01-01

    Recently the measurements of poloidal magnetic field become important in plasma physics and nuclear fusion research, since an improved confinement mode associating with a negative magnetic shear has been found. The polarization plasma spectroscopy is recognized to be a useful tool to measure poloidal magnetic field and pitch angle of magnetic field. (author)

  6. Plasma assisted combustion : Interaction of a flat flame with a nanosecond dielectric barrier discharge plasma

    NARCIS (Netherlands)

    Elkholy, A.H.E.; van Oijen, J.A.; de Goey, L.P.H.

    2016-01-01

    Using of non-equilibrium Plasma-assisted for ignition, combustion and high speed flow applications are rapidly developing in the last decades due to its ability to produce a large amount of radicals and excited species. Which has a great potential in flame stabilization and emission control.

  7. Basic plasma physics

    International Nuclear Information System (INIS)

    Galeev, A.A.; Sudan, R.N.

    1989-01-01

    Most of the chapters in this book are devoted to the theory of small amplitude perturbations which is the most well developed aspect of the subject. The remaining chapters are concerned with weak nonlinear waves, and collapse and self-focusing of Langmuir waves, two topics of widespread interest and application. A chapter on particle simulation has been included, as that numerical technique plays an essential role in the development an understanding of plasma physics

  8. Method and apparatus for suppressing electron generation in a vapor source for isotope separation

    International Nuclear Information System (INIS)

    Janes, G.S.

    1979-01-01

    A system for applying accelerating forces to ionized particles of a vapor in a manner to suppress the flow of electron current from the vapor source. The accelerating forces are applied as an electric field in a configuration orthogonal to a magnetic field. The electric field is applied between one or more anodes in the plasma and one or more cathodes operated as electron emitting surfaces. The circuit for applying the electric field floats the cathodes with respect to the vapor source, thereby removing the vapor source from the circuit of electron flow through the plasma and suppressing the flow of electrons from the vapor source. The potential of other conducting structures contacting the plasma is controlled at or permitted to seek a level which further suppresses the flow of electron currents from the vapor source. Reducing the flow of electrons from the vapor source is particularly useful where the vapor is ionized with isotopic selectivity because it avoids superenergization of the vapor by the electron current

  9. Physics of the Advanced Plasma Source: a review of recent experimental and modeling approaches

    International Nuclear Information System (INIS)

    Brinkmann, R P; Schröder, B; Lapke, M; Storch, R; Styrnoll, T; Awakowicz, P; Harhausen, J; Foest, R; Hannemann, M; Loffhagen, D; Ohl, A

    2016-01-01

    The Advanced Plasma Source (APS), a gridless hot cathode glow discharge capable of generating an ion beam with an energy of up to 150 eV and a flux of 10 19 s −1 , is a standard industrial tool for the process of plasma ion-assisted deposition (PIAD). This manuscript details the results of recent experimental and modeling work aimed at a physical understanding of the APS. A three-zone model is proposed which consists of (i) the ionization zone (the source itself) where the plasma is very dense, hot, and has a high ionization rate, (ii) the acceleration zone (of  ∼20 cm extension) where a strong outward-directed electric field accelerates the primary ions to a high kinetic energy, and (iii) a drift zone (the rest of the process chamber) where the emerging plasma beam is further modified by resonant charge exchange collisions that neutralize some of the energetic ions and generate, at the same time, a flux of slow ions. (paper)

  10. Experiments on Plasma Physics : Experience is the Mother of Wisdom 5.What We Expect with Nonneutral Plasmas

    Science.gov (United States)

    Kiwamoto, Yasuhito

    The present status of nonneutral plasma science is reviewed with a particular interest in the pursuit of a new frontier for plasma physicists engaged in basic researches. The author does not intend to be exhaustive nor well balanced in the description, but tries to discuss where we are positioned and what we might be able to do to fruitfully enjoy plasma physics and extend its field of activity. Leaving most of topics to the cited references, the author describes characteristic features of nonneutral plasmas appearing in distinct confinement properties, equilibria, transport, nonlinear evolution of Kelvin-Helmholtz instability, and fluid echo phenomena. These examples may convey the significance of nonneutral plasma science as one of newly-rising branches of plasma physics and as a potentially relevant channel through which plasma physics could explore new dimensions.

  11. Chemical analysis of plasma-assisted antimicrobial treatment on cotton

    International Nuclear Information System (INIS)

    Kan, C W; Lam, Y L; Yuen, C W M; Luximon, A; Lau, K W; Chen, K S

    2013-01-01

    This paper explores the use of plasma treatment as a pretreatment process to assist the application of antimicrobial process on cotton fabric with good functional effect. In this paper, antimicrobial finishing agent, Microfresh Liquid Formulation 9200-200 (MF), and a binder (polyurethane dispersion, Microban Liquid Formulation R10800-0, MB) will be used for treating the cotton fabric for improving the antimicrobial property and pre-treatment of cotton fabric by plasma under atmospheric pressure will be employed to improve loading of chemical agents. The chemical analysis of the treated cotton fabric will be conducted by Fourier transform Infrared Spectroscopy.

  12. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Hao [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States)]. E-mail: hao.jiang@wpafb.af.mil; Hong Lianggou [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States); Venkatasubramanian, N. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Grant, John T. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Eyink, Kurt [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Wiacek, Kevin [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Fries-Carr, Sandra [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Enlow, Jesse [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Bunning, Timothy J. [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States)

    2007-02-26

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant ({epsilon} {sub r}) and dielectric loss (tan {delta}) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F {sub b}) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F{sub b} of 610 V/{mu}m, an {epsilon} {sub r} of 3.07, and a tan {delta} of 7.0 x 10{sup -3} at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss.

  13. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    International Nuclear Information System (INIS)

    Jiang Hao; Hong Lianggou; Venkatasubramanian, N.; Grant, John T.; Eyink, Kurt; Wiacek, Kevin; Fries-Carr, Sandra; Enlow, Jesse; Bunning, Timothy J.

    2007-01-01

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant (ε r ) and dielectric loss (tan δ) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F b ) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F b of 610 V/μm, an ε r of 3.07, and a tan δ of 7.0 x 10 -3 at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss

  14. Exploration of Plasma Jets Approach to High Energy Density Physics. Final report

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Chiping [Massachusetts Inst. of Technology (MIT), Cambridge, MA (United States)

    2013-08-26

    High-energy-density laboratory plasma (HEDLP) physics is an emerging, important area of research in plasma physics, nuclear physics, astrophysics, and particle acceleration. While the HEDLP regime occurs at extreme conditions which are often found naturally in space but not on the earth, it may be accessible by colliding high intensity plasmas such as high-energy-density plasma jets, plasmoids or compact toroids from plasma guns. The physics of plasma jets is investigated in the context of high energy density laboratory plasma research. This report summarizes results of theoretical and computational investigation of a plasma jet undergoing adiabatic compression and adiabatic expansion. A root-mean-squared (rms) envelope theory of plasma jets is developed. Comparison between theory and experiment is made. Good agreement between theory and experiment is found.

  15. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  16. XXX Zvenigorod conference on plasma physics and CTS. Summaries of reports

    International Nuclear Information System (INIS)

    2003-01-01

    Summaries of reports made at the 30th Zvenigorod conference on plasma physics and controlled thermonuclear synthesis are presented. The conference took place February 24-28, 2003. The recent results of investigations on plasma physics in tokamak devices are considered. The problems of the magnetic confinement of high-temperature plasma in thermonuclear devices and inertial thermonuclear synthesis are discussed. The particular attention is given to physical essentials of plasma and beam technologies [ru

  17. A Global Modeling Framework for Plasma Kinetics: Development and Applications

    Science.gov (United States)

    Parsey, Guy Morland

    The modern study of plasmas, and applications thereof, has developed synchronously with com- puter capabilities since the mid-1950s. Complexities inherent to these charged-particle, many- body, systems have resulted in the development of multiple simulation methods (particle-in-cell, fluid, global modeling, etc.) in order to both explain observed phenomena and predict outcomes of plasma applications. Recognizing that different algorithms are chosen to best address specific topics of interest, this thesis centers around the development of an open-source global model frame- work for the focused study of non-equilibrium plasma kinetics. After verification and validation of the framework, it was used to study two physical phenomena: plasma-assisted combustion and the recently proposed optically-pumped rare gas metastable laser. Global models permeate chemistry and plasma science, relying on spatial averaging to focus attention on the dynamics of reaction networks. Defined by a set of species continuity and energy conservation equations, the required data and constructed systems are conceptually similar across most applications, providing a light platform for exploratory and result-search parameter scan- ning. Unfortunately, it is common practice for custom code to be developed for each application-- an enormous duplication of effort which negatively affects the quality of the software produced. Presented herein, the Python-based Kinetic Global Modeling framework (KGMf) was designed to support all modeling phases: collection and analysis of reaction data, construction of an exportable system of model ODEs, and a platform for interactive evaluation and post-processing analysis. A symbolic ODE system is constructed for interactive manipulation and generation of a Jacobian, both of which are compiled as operation-optimized C-code. Plasma-assisted combustion and ignition (PAC/PAI) embody the modernization of burning fuel by opening up new avenues of control and optimization

  18. Modeling of Sheath Ion-Molecule Reactions in Plasma Enhanced Chemical Vapor Deposition of Carbon Nanotubes

    Science.gov (United States)

    Hash, David B.; Govindan, T. R.; Meyyappan, M.

    2004-01-01

    In many plasma simulations, ion-molecule reactions are modeled using ion energy independent reaction rate coefficients that are taken from low temperature selected-ion flow tube experiments. Only exothermic or nearly thermoneutral reactions are considered. This is appropriate for plasma applications such as high-density plasma sources in which sheaths are collisionless and ion temperatures 111 the bulk p!asma do not deviate significantly from the gas temperature. However, for applications at high pressure and large sheath voltages, this assumption does not hold as the sheaths are collisional and ions gain significant energy in the sheaths from Joule heating. Ion temperatures and thus reaction rates vary significantly across the discharge, and endothermic reactions become important in the sheaths. One such application is plasma enhanced chemical vapor deposition of carbon nanotubes in which dc discharges are struck at pressures between 1-20 Torr with applied voltages in the range of 500-700 V. The present work investigates The importance of the inclusion of ion energy dependent ion-molecule reaction rates and the role of collision induced dissociation in generating radicals from the feedstock used in carbon nanotube growth.

  19. Physically vapor deposited coatings on tools: performance and wear phenomena

    International Nuclear Information System (INIS)

    Koenig, W.; Fritsch, R.; Kammermeier, D.

    1991-01-01

    Coatings produced by physical vapor deposition (PVD) enhance the performance of tools for a broad variety of production processes. In addition to TiN, nowadays (Ti,Al)N and Ti(C,N) coated tools are available. This gives the opportunity to compare the performance of different coatings under identical machining conditions and to evaluate causes and phenomena of wear. TiN, (Ti,Al)N and Ti(C,N) coatings on high speed steel (HSS) show different performances in milling and turning of heat treated steel. The thermal and frictional properties of the coating materials affect the structure, the thickness and the flow of the chips, the contact area on the rake face and the tool life. Model tests show the influence of internal cooling and the thermal conductivity of coated HSS inserts. TiN and (Ti,Zr)N PVD coatings on cemented carbides were examined in interrupted turning and in milling of heat treated steel. Experimental results show a significant influence of typical time-temperature cycles of PVD and chemical vapor deposition (CVD) coating processes on the physical data and on the performance of the substrates. PVD coatings increase tool life, especially towards lower cutting speeds into ranges which cannot be applied with CVD coatings. The reason for this is the superior toughness of the PVD coated carbide. The combination of tough, micrograin carbide and PVD coating even enables broaching of case hardened sliding gears at a cutting speed of 66 m min -1 . (orig.)

  20. Hanford soil partitioning and vapor extraction study

    International Nuclear Information System (INIS)

    Yonge, D.; Hossain, A.; Cameron, R.; Ford, H.; Storey, C.

    1996-07-01

    This report describes the testing and results of laboratory experiments conducted to assist the carbon tetrachloride soil vapor extraction project operating in the 200 West Area of the Hanford Site in Richland, Washington. Vapor-phase adsorption and desorption testing was performed using carbon tetrachloride and Hanford Site soils to estimate vapor-soil partitioning and reasonably achievable carbon tetrachloride soil concentrations during active vapor extractions efforts at the 200 West Area. (CCl 4 is used in Pu recovery from aqueous streams.)

  1. Atmospheric pressure plasma-assisted femtosecond laser engraving of aluminium

    Science.gov (United States)

    Gerhard, Christoph; Gimpel, Thomas; Tasche, Daniel; Koch née Hoffmeister, Jennifer; Brückner, Stephan; Flachenecker, Günter; Wieneke, Stephan; Schade, Wolfgang; Viöl, Wolfgang

    2018-05-01

    In this contribution, we report on the impact of direct dielectric barrier discharge argon plasma at atmospheric pressure on femtosecond laser engraving of aluminium. It is shown that the assisting plasma strongly affects the surface geometry and formation of spikes of both laser-engraved single lines and patterns of adjacent lines with an appropriate overlap. Further, it was observed that the overall ablation depth is significantly increased in case of large-scale patterning whereas no notable differences in ablation depth are found for single lines. Several possible mechanisms and underlying effects of this behaviour are suggested. The increase in ablation depth is supposed to be due to a plasma-induced removal of debris particles from the cutting point via charging and oxidation as supported by EDX analysis of the re-solidified debris. Furthermore, the impact of a higher degree of surface wrinkling as well as direct interactions of plasma species with the aluminium surface on the ablation process are discussed.

  2. Plasma-nitriding assisted micro-texturing into stainless steel molds

    Directory of Open Access Journals (Sweden)

    Aizawa Tatsuhiko

    2015-01-01

    Full Text Available Micro-texturing has grown up to be one of the most promising procedures. This related application required for large-area, fine micro-texturing onto the stainless steel mold materials. A new method other than laser-machining, micro-milling or micro-EDM was awaited for further advancement of this micro-texturing. In the present paper, a plasma nitriding assisted micro-texturing was developed to make various kinds of micro-patterns onto the martensitic stainless steels. First, original patterns were printed onto the surface of substrate by using the ink-jet printer. Then, the masked substrate was subjected to high density plasma nitriding; the un-masked surfaces were nitrided to have higher hardness. This nitrided substrate was further treated by sand-blasting to selectively dig the soft, masked surfaces. Finally, the micro-patterned martensitic stainless steel substrate was fabricated as a mold to duplicate these micro-patterns onto the work materials. The spatial resolution and depth profile controllability of this plasma nitriding assisted micro-texturing was investigated for variety of initial micro-patterns. The original size and dimension of initial micro-patterns were precisely compared with the three dimensional geometry of micro-textures after blasting treatment. The plastic cover case for smart cellular phones was employed to demonstrate how useful this processing is in practice.

  3. P-channel transparent thin-film transistor using physical-vapor-deposited NiO layer

    Science.gov (United States)

    Lin, Chiung-Wei; Chung, Wei-Chieh; Zhang, Zhao-De; Hsu, Ming-Chih

    2018-01-01

    The effect of oxygen (O) content on the electrical properties of physical-vapor-deposited nickel oxide (PVD-NiO) was studied. When the NiO target was sputtered, introducing O2 can lead to the formation of Ni3+ ions in the deposited film. These Ni3+ ions can act as acceptors. However, there were too many Ni3+ ions that were obtained following the introduction of O atoms. It resulted in intensive p-type conduction and made the O2-introduced PVD-NiO behave as a conductor. Thus, it was possible to reduce the O content of PVD-NiO to obtain a p-type semiconductor. In this study, a transparent PVD-NiO film with a carrier concentration of 1.62 × 1017 cm-3 and a resistivity of 3.74 Ω cm was sputter-deposited within pure argon plasma. The thin-film transistor (TFT) employing this proposed PVD-NiO can result in good current switching, and even operated at very low drain-source voltage. The ON/OFF current ratio, field-effect carrier mobility, and threshold voltage of the proposed NiO TFT were 3.61 × 104, 1.09 cm2 V-1 s-1 and -3.31 V, respectively.

  4. Graphene synthesis by laser-assisted chemical vapor deposition on Ni plate and the effect of process parameters on uniform graphene growth

    International Nuclear Information System (INIS)

    Jiang, Juan; Lin, Zhe; Ye, Xiaohui; Zhong, Minlin; Huang, Ting; Zhu, Hongwei

    2014-01-01

    A fast, simple technique was developed to fabricate few-layer graphene films at ambient pressure and room temperature by laser-assisted chemical vapor deposition on polycrystalline Ni plates. Laser scanning speed was found as the most important factor in the production of few-layer graphene. The quality of graphene films was controlled by varying the laser power. Uniform graphene ribbons with a width of 1.5 mm and a length of 16 mm were obtained at a scanning speed of 1.3 mm/s and a laser power of 600 W. The developed technique provided a promising application of a high-power laser system to fabricate a graphene film. - Highlights: • Uniform few-layer graphene was fabricated at room temperature and ambient conditions. • Laser-assisted chemical vapor deposition was used to grow the layers in a few seconds. • The effect of process parameters on graphene growth was discussed. • This cost effective method could facilitate the integration of graphene in electronic devices

  5. On interaction of large dust grains with fusion plasma

    International Nuclear Information System (INIS)

    Krasheninnikov, S. I.; Smirnov, R. D.

    2009-01-01

    So far the models used to study dust grain-plasma interactions in fusion plasmas neglect the effects of dust material vapor, which is always present around dust in rather hot and dense edge plasma environment in fusion devices. However, when the vapor density and/or the amount of ionized vapor atoms become large enough, they can alter the grain-plasma interactions. Somewhat similar processes occur during pellet injection in fusion plasma. In this brief communication the applicability limits of the models ignoring vapor effects in grain-plasma interactions are obtained.

  6. A prospect at 11th international Toki conference. Plasma physics, quo vadis?

    International Nuclear Information System (INIS)

    Itoh, Kimitaka

    2001-01-01

    A prospect of plasma physics at the turn of next century is discussed. The theme of this conference identifies the future direction of the research related with plasmas. Main issue is the potential and structure formation in plasmas; More specifically, structures which are realized through the interaction of electromagnetic fields, in particular that with electric fields, in non-equilibrium state. An emphasis is made to clarify the fundamental physics aspects of the plasma physics in fusion research as well as that in the basic research of plasmas. The plasma physics will give an important contribution to the solution of the historical enigma, i.e., all things flow. Having an impact on human recognition of nature and showing a beauty in a law, the plasma physics/science will demonstrate to be a leading science in the 21st century. (author)

  7. Plasmas applied atomic collision physics, v.2

    CERN Document Server

    Barnett, C F

    1984-01-01

    Applied Atomic Collision Physics, Volume 2: Plasmas covers topics on magnetically confined plasmas. The book starts by providing the history of fusion research and describing the various approaches in both magnetically and inertially confined plasmas. The text then gives a general discussion of the basic concepts and properties in confinement and heating of a plasma. The theory of atomic collisions that result in excited quantum states, particularly highly ionized impurity atoms; and diverse diagnostic topics such as emission spectra, laser scattering, electron cyclotron emission, particle bea

  8. Simultaneous electrothermal vaporization and nebulizer sample introduction system for inductively coupled plasma mass spectrometry

    International Nuclear Information System (INIS)

    Arnquist, Isaac J.; Kreschollek, Thomas E.; Holcombe, James A.

    2011-01-01

    The novel analytical application of the combination of an inline electrothermal vaporization (ETV) and nebulization source for inductively coupled plasma mass spectrometry (ICP-MS) has been studied. Wet plasma conditions are sustained during ETV introduction by 200 mL/min gas flow through the nebulizer, which is merged with the ETV transport line at the torch. The use of a wet plasma with ETV introduction avoided the need to change power settings and torch positions that normally accompany a change from wet to dry plasma operating conditions. This inline-ETV source is shown to have good detection limits for a variety of elements in both HNO 3 and HCl matrices. Using the inline-ETV source, improved limits of detection (LOD) were obtained for elements typically suppressed by polyatomic interferences using a nebulizer. Specifically, improved LODs for 51 V and 53 Cr suffering from Cl interferences ( 51 ClO + and 53 ClO + respectively) in a 1% HCl matrix were obtained using the inline-ETV source. LODs were improved by factors of 65 and 22 for 51 V and 53 Cr, respectively, using the inline-ETV source compared to a conventional concentric glass nebulizer. For elements without polyatomic interferences, LODs from the inline-ETV were comparable to conventional dry plasma ETV-ICP time-of-flight mass spectrometry results. Lastly, the inline-ETV source offers a simple means of changing from nebulizer introduction to inline-ETV introduction without extinguishing the plasma. This permits, for example, the use of the time-resolved ETV-ICP-MS signals to distinguish between an analyte ion and polyatomic isobar.

  9. Progress report : Plasma Physics Section

    International Nuclear Information System (INIS)

    Iyyengar, S.K.; Rohatgi, V.K.

    1975-08-01

    The activities of the plasma physics section of the Bhabha Atomic Research Centre, India over the last five years (1970-75) are reported. The R and D programme of the section has been divided into four cells mainly i.e., (i) Thermal plasma (ii) Relativistic Electron Beam (iii) Energetics and (iv) Electron beam technology. The salient features of the development activities carried out in these cells are outlined. In the Thermal plasma group, considerable research work has been done in (a) fundamental plasma studies, (b) industrial plasma technology and (c) open cycle MHD power generation project. The relativistic electron beam group is engaged in improving the technology to realize high power lasers, and pulsed thermonuclear fusion. The energetics programme is oriented to develop high voltage d.c. generators and pulse generators. The electron beam techniques developed here are routinely used for melting refractory and reactive metals. The technical know-how of the welding machines developed has been transfered to industries. Equipment developed by this section, such as, (1) electron beam furnace, (2) plasma cutting torch, (3) impulse magnet charger etc. are listed. (A.K.)

  10. Plasma Physics Network Newsletter. No. 2

    International Nuclear Information System (INIS)

    1990-06-01

    The IAEA Fellowship Programme providing for in general up to two years of training at a host laboratory or university is accessible for Member State scientists (contact the editor); so are IAEA research contracts (up to $ 5000 per year for up to 3 years). An overview of meetings on fusion or fusion-related topics is given for June-October 1990. It is announced that the full IFCR status report on fusion is due to be published in the September issues of Nuclear Fusion, and that the ''Third World Plasma Research Network'' (TWPRN) has been set up to ''provide an international forum for plasma research centres of the Third World countries'' to promote ''closer interactions among them'' and to strengthen their scientific programmes. The network also ''envisages active participation of small scale research programmes from developed countries that pursue basic plasma studies and development objectives''. Furthermore, this newsletter contains (1) the minutes of the steering committee meeting of the TWPRN, New Delhi, November 1989; (2) a contribution from A. Rodrigo, Argentina, entitled ''Collaboration and Scientific Exchange in Latin American Plasma Physics Laboratories'', listing for each country (Argentina, Brazil, Chile, Colombia, Mexico, and Venezuela) (i) key contact persons, (ii) main areas for collaboration/scientific exchange, and (iii) list of foreign laboratories having close contacts; (3) ''Plasma Research at the Institute of Nuclear Science and Technology of Bangladesh'', by U.A. Mofiz, giving an overview of plasma research activities there; (4) A summary by P.K. Kaw and A. Sen of the 1989 International Conference on Plasma Physics held in New Delhi; (5) the announcement of the first South-North International Workshop on Fusion Theory, Tipaza, Algeria, September 16-23, 1990

  11. Edge Plasma Physics and Relevant Diagnostics on the CASTOR tokamak

    Czech Academy of Sciences Publication Activity Database

    Stöckel, Jan; Devynck, P.; Gunn, J.; Martines, E.; Bonhomme, G.; Van Oost, G.; Hron, Martin; Ďuran, Ivan; Pánek, Radomír; Stejskal, Pavel; Adámek, Jiří

    2004-01-01

    Roč. 3, - (2004), s. 1-6 ISSN 1433-5581. [First Cairo Conference on Plasma Physics & Applications. Cairo, 11.10.2003-15.10.2003] R&D Projects: GA ČR GA202/03/0786; GA ČR GP202/03/P062 Keywords : tokamak * edge plasma * probe diagnostics * biasing * turbulence * polarization Subject RIV: BL - Plasma and Gas Discharge Physics

  12. Room temperature synthesis of porous SiO2 thin films by plasma enhanced chemical vapor deposition

    OpenAIRE

    Barranco Quero, Ángel; Cotrino Bautista, José; Yubero Valencia, Francisco; Espinós, J. P.; Rodríguez González-Elipe, Agustín

    2004-01-01

    Synthesis of porous SiO2 thin films in room temperature was carried out using plasma enhanced chemical vapor deposition (CVD) in an electron cyclotron resonance microwave reactor with a downstream configuration.The gas adsorption properties and the type of porosity of the SiO2 thin films were assessed by adsorption isotherms of toluene at room temperature.The method could also permit the tailoring synthesis of thin films when both composition and porosity can be simultaneously and independent...

  13. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    Science.gov (United States)

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  14. Real-time control of Tokamak plasmas: from control of physics to physics-based control

    International Nuclear Information System (INIS)

    Felici, F. A. A.

    2011-11-01

    Stable, high-performance operation of a tokamak requires several plasma control problems to be handled simultaneously. Moreover, the complex physics which governs the tokamak plasma evolution must be studied and understood to make correct choices in controller design. In this thesis, the two subjects have been merged, using control solutions as experimental tool for physics studies, and using physics knowledge for developing new advanced control solutions. The TCV tokamak at CRPP-EPFL is ideally placed to explore issues at the interface between plasma physics and plasma control, by combining a digital realtime control system with a flexible and powerful set of actuators, in particular the electron cyclotron heating and current drive system (ECRH/ECCD). This experimental platform has been used to develop and test new control strategies for three plasma physics instabilities: sawtooth, edge localized mode (ELM) and neoclassical tearing mode (NTM). The period of the sawtooth crash, a periodic MHD instability in the core of a tokamak plasma, can be varied by localized deposition of ECRH/ECCD near the q = 1 surface (q: safety factor). A sawtooth pacing controller was developed which is able to control the time of appearance of the next sawtooth crash. Each individual sawtooth period can be controlled in real-time. A similar scheme is applied to H-mode plasmas with type-I ELMs, where it is shown that pacing regularizes the ELM period. The regular, reproducible and therefore predictable sawtooth crashes have been used to study the relationship between sawteeth and NTMs. Postcrash MHD activity can provide the ‘seed’ island for an NTM, which then grows under its neoclassical bootstrap drive. The seeding of 3/2 NTMs by long sawtooth crashes can be avoided by preemptive, crash-synchronized EC power injection pulses at the q = 3/2 rational surface location. NTM stabilization experiments in which the ECRH deposition location is moved in real-time with steerable mirrors have

  15. Room temperature photoluminescence from In{sub x}Al{sub (1−x)}N films deposited by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kong, W., E-mail: wei.kong@duke.edu; Jiao, W. Y.; Kim, T. H.; Brown, A. S. [Department of Electrical and Computer Engineering, Duke University, Durham, North Carolina 27708 (United States); Mohanta, A. [Oak Ridge Institute for Science and Education, Research Participation Program, U.S. Army Aviation and Missile Research, Development and Engineering Center (AMRDEC), Redstone Arsenal, Alabama 35898 (United States); Roberts, A. T. [Charles Bowden Research Lab, Army Aviation and Missile RD and E Center, Redstone Arsenal, Alabama 35898 (United States); Fournelle, J. [Department of Geoscience, University of Wisconsin, Madison, Wisconsin 53706 (United States); Losurdo, M. [Plasma Chemistry Research Center-CNR, via Orabona, 4-70126 Bari (Italy); Everitt, H. O. [Charles Bowden Research Lab, Army Aviation and Missile RD and E Center, Redstone Arsenal, Alabama 35898 (United States); Department of Physics, Duke University, Durham, North Carolina 27708 (United States)

    2014-09-29

    InAlN films deposited by plasma-assisted molecular beam epitaxy exhibited a lateral composition modulation characterized by 10–12 nm diameter, honeycomb-shaped, columnar domains with Al-rich cores and In-rich boundaries. To ascertain the effect of this microstructure on its optical properties, room temperature absorption and photoluminescence characteristics of In{sub x}Al{sub (1−x)}N were comparatively investigated for indium compositions ranging from x = 0.092 to 0.235, including x = 0.166 lattice matched to GaN. The Stokes shift of the emission was significantly greater than reported for films grown by metalorganic chemical vapor deposition, possibly due to the phase separation in these nanocolumnar domains. The room temperature photoluminescence also provided evidence of carrier transfer from the InAlN film to the GaN template.

  16. Sixth International Workshop and Summer School on Plasma Physics 2014

    International Nuclear Information System (INIS)

    2016-01-01

    Evgenia Benova et al 2016 J. Phys.: Conf. Ser. VV The Sixth International Workshop and Summer School on Plasma Physics (IWSSPP'14) was organized by St. Kliment Ohridsky University of Sofia, with co-organizer PLASMER Foundation. It was held in Kiten, Bulgaria, at the Black Sea Coast, from June 30 to July 6, 2014. The scientific programme covers the topics Fusion Plasma and Materials; Plasma Modeling and Fundamentals; Plasma Sources, Diagnostics and Technology. The Workshop Plasma for Sustainable Environment was co-organized together with the Institute of Plasmas and Nuclear Fusion, Lisbon, Portugal. A special Workshop on Remote GOLEM operation was organized by the Institute of Plasma Physics, Prague, Czech Republic for the students and interested participants to work remotely with the Czech TOKAMAK GOLEM. As with the previous issues of this scientific meeting, its aim was to stimulate the creation and support of a new generation of young scientists for further development of plasma physics fundamentals and applications, as well as to ensure an interdisciplinary exchange of views and initiate possible collaborations by bringing together scientists from various branches of plasma physics. This volume of Journal of Physics: Conference Series includes 19 papers (invited lectures, contributed talks and posters) devoted to various branches of plasma physics, among them fusion plasma and materials, dc and microwave discharge modelling, transport phenomena in gas discharge plasmas, plasma diagnostics, cross sections and rate constants of elementary processes, material processing, plasma-chemistry and technology. Some of them have been presented by internationally known and recognized specialists in their fields; others are MSc or PhD students' first steps in science. In both cases, we believe they will raise readers' interest. We would like to thank the members of both the International Advisory Committee and the Local Organizing Committee, the participants

  17. Fifth International Workshop and Summer School on Plasma Physics 2012

    International Nuclear Information System (INIS)

    Benova, Evgenia

    2016-01-01

    The Fifth International Workshop and Summer School on Plasma Physics (IWSSPP'12) was organized by St. Kliment Ohridsky University of Sofia, with co-organizers TCPA Foundation, Association EURATOM/IRNRE, and the Bulgarian Academy of Sciences. It was held in Kiten, Bulgaria, on the Black Sea coast, from June 25-30, 2012. The scientific programme covers the topics Fusion Plasma and Materials; Plasma Modeling and Fundamentals; Plasma Sources, Diagnostics and Technology . The 4 th edition of the Workshop Plasmas for Environmental Issues was co-organized together with the Institute of Plasmas and Nuclear Fusion, Lisbon, Portugal. A special Workshop on Remote GOLEM operation was organized by the Institute of Plasma Physics, Prague, Czech Republic for the students and interested participants to work remotely with the Czech TOKAMAK GOLEM. As in the previous issues of this scientific meeting its aim was to stimulate the development of and support a new generation of young scientists to further advance plasma physics fundamentals and applications, as well as ensuring an interdisciplinary exchange of views and initiate possible collaborations by bringing together scientists from various branches of plasma physics. This volume of Journal of Physics: Conference Series includes 12 papers (invited lectures, contributed talks and posters) devoted to various branches of plasma physics, among them fusion plasma and materials, dc and microwave discharge modelling, transport phenomena in gas discharge plasmas, plasma diagnostics, material processing, plasma-chemistry and technology. Some of them have been presented by internationally known and recognized specialists in their fields; others are MSc or PhD students' first steps in science. In both cases, we believe they will raise readers' interest. We would like to thank the members of both the International Advisory Committee and the Local Organizing Committee, the participants who sent their manuscripts and passed

  18. Fourth Latin-American workshop on plasma physics. Contributed papers

    International Nuclear Information System (INIS)

    1990-01-01

    The main goal of this series of Workshops is to provide a periodic meeting place for Latin-American researchers in plasma physics together with colleagues from other countries around the world. This volume includes the contributed papers presented at the Workshop on Plasma Physics held in Buenos Aires in 1990. The scope of the Workshop can be synthesized in the following main subjects: Tokamak experiments and theory; alternative confinement systems and basic experiments; technology and applications; general theory; astrophysical and space plasmas

  19. PREFACE: Third International Workshop & Summer School on Plasma Physics 2008

    Science.gov (United States)

    Benova, E.; Dias, F. M.; Lebedev, Yu

    2010-01-01

    The Third International Workshop & Summer School on Plasma Physics (IWSSPP'08) organized by St Kliment Ohridsky University of Sofia, with co-organizers TCPA Foundation, Association EURATOM/IRNRE, The Union of the Physicists in Bulgaria, and the Bulgarian Academy of Sciences was held in Kiten, Bulgaria, at the Black Sea Coast, from 30 June to 5 July 2008. A Special Session on Plasmas for Environmental Issues was co-organised by the Institute of Plasmas and Nuclear Fusion, Lisbon, Portugal and the Laboratory of Plasmas and Energy Conversion, University of Toulouse, France. That puts the beginning of a series in Workshops on Plasmas for Environmental Issues, now as a satellite meeting of the European Physical Society Conference on Plasma Physics. As the previous issues of this scientific meeting (IWSSPP'05, J. Phys.: Conf. Series 44 (2006) and IWSSPP'06, J. Phys.: Conf. Series 63 (2007)), its aim was to stimulate the creation and support of a new generation of young scientists for further development of plasma physics fundamentals and applications, as well as to ensure an interdisciplinary exchange of views and initiate possible collaborations by bringing together scientists from various branches of plasma physics. This volume of Journal of Physics: Conference Series includes 38 papers (invited lectures, contributed talks and posters) devoted to various branches of plasma physics, among them fusion plasma and materials, dc and microwave discharge modelling, transport phenomena in gas discharge plasmas, plasma diagnostics, cross sections and rate constants of elementary processes, material processing, plasma-chemistry and technology. Some of them have been presented by internationally known and recognized specialists in their fields; others are MSc or PhD students' first steps in science. In both cases, we believe they will raise readers' interest. We would like to thank the members of both the International Advisory Committee and the Local Organizing Committee, the

  20. Measurement of heavy metals by means of a plasma-assisted method

    International Nuclear Information System (INIS)

    Hernberg, R.; Haeyrinen, V.; Oikari, R.

    1995-01-01

    The plasma-assisted measuring device for on-line measurement of alkali metal concentrations in pressurised processes, which has been developed in the Laboratory, will be further developed to provide for simultaneous measurement of heavy metal concentrations. (author)

  1. 46 CFR 39.40-1 - General requirements for vapor balancing-TB/ALL.

    Science.gov (United States)

    2010-10-01

    ... Section 39.40-1 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY TANK VESSELS VAPOR CONTROL SYSTEMS... to control vapor emissions during a lightering or topping-off operation which does not use vapor... compressor or blower to assist vapor transfer without approval from the Commandant (CG-522). (d) Vapor...

  2. Mercury determination in non- and biodegradable materials by cold vapor capacitively coupled plasma microtorch atomic emission spectrometry

    International Nuclear Information System (INIS)

    Frentiu, Tiberiu; Mihaltan, Alin I.; Ponta, Michaela; Darvasi, Eugen; Frentiu, Maria; Cordos, Emil

    2011-01-01

    Highlights: → Use of a miniaturized analytical system with microtorch plasma for Hg determination. → Determination of Hg in non- and biodegradable materials using cold vapor generation. → Figures of merit and advantages of the miniaturized system for Hg determination. - Abstract: A new analytical system consisting of a low power capacitively coupled plasma microtorch (20 W, 13.56 MHz, 150 ml min -1 Ar) and a microspectrometer was investigated for the Hg determination in non- and biodegradable materials by cold-vapor generation, using SnCl 2 reductant, and atomic emission spectrometry. The investigated miniaturized system was used for Hg determination in recyclable plastics from electronic equipments and biodegradable materials (shopping bags of 98% biodegradable polyethylene and corn starch) with the advantages of easy operation and low analysis costs. Samples were mineralized in HNO 3 -H 2 SO 4 mixture in a high-pressure microwave system. The detection limits of 0.05 ng ml -1 or 0.08 μg g -1 in solid sample were compared with those reported for other analytical systems. The method precision was 1.5-9.4% for Hg levels of 1.37-13.9 mg kg -1 , while recovery in two polyethylene certified reference materials in the range 98.7 ± 4.5% (95% confidence level).

  3. Proceedings of the 1984 international conference on plasma physics

    International Nuclear Information System (INIS)

    Tran, M.Q.; Verbeek, R.J.

    1985-01-01

    The 1984 ICPP, held in Lausanne, Switzerland, is the third biennial conference of the series ''International conferences on plasma physics''. A complete spectrum of current plasma physics from fusion devices to interstellar space was presented, even if most of the papers were of direct interest for fusion. This is the second part of the conference

  4. Eleven lectures on the physics of the quark-gluon plasma

    International Nuclear Information System (INIS)

    McLerran, L.

    1984-10-01

    These lectures are intended to be an introduction to the physics of the quark-gluon plasma, and were presented at a workshop on The Physics of the Quark-Gluon Plasma held at Hua-Zhong Normal University in Wuhan, People's Republic of China in September, 1983. The lectures cover perturbation theory of the plasma at high temperature as well as the non-perturbative methods and results of lattice gauge theory computations. Physical models of the confinement-deconfinement phase transition and the modes of chiral symmetry breaking are presented. The possibility that a quark-gluon plasma might be produced in ultra-relativistic nuclear collisions is analyzed. Separate entries were prepared for the data base for the eleven lectures

  5. Plasma Assisted Ignition and Combustion at Low Initial Gas Temperatures: Development of Kinetic Mechanism

    Science.gov (United States)

    2016-10-05

    R and Pouvesle J M 2009 Experimental study of a compact nanosecond plasma gun Plasma Processes and Polymers 6 795—802 [11] Heinlin J, Morfill G...radially symmetrical geometry. The thickness of the plasma layer in the direction perpendicular to the dielectric plane is about 1 mm. The central coaxial ...Positive and negative polarity discharge at elevated pres- sures Discharge in coaxial geometry has been developed for plasma assisted ignition at high

  6. Department of Plasma Physics and Material Engineering - Overview

    International Nuclear Information System (INIS)

    Rabinski, M.

    2010-01-01

    Full text: In 2010 the activities of the Department continued previous studies in the following fields of plasma physics, controlled nuclear fusion and plasma engineering: · · Development of selected methods for high-temperature plasma diagnostics; · Studies of physical phenomena in pulsed discharges in the Plasma-Focus (PF), RPI-IBIS, and Impulse Plasma Deposition (IPD) facilities; · Research on plasma technologies; · Selected problems of plasma theory and computational modeling. In the frame of the EURATOM program, efforts were devoted to the development of diagnostics methods for tokamak-type facilities. In 2010 Cherenkov detectors were applied in the ISTTOK and TORE SUPRA facilities to detect energetic electrons (of energy > 60 keV), to determine their spatial and temporal behavior and to estimate their energy spectra. Attention was also paid to measurements of hard X rays emitted from ISTTOK and to their correlations with run-away electrons. The new data on fast electrons, collected within the TORE-SUPRA machine in 2010, confirmed the appearance of intense electron streams (possible ripple-born and runaway ones), which have a similar character to the electron signals recorded by means of other diagnostic techniques. Other fusion-oriented efforts are connected with the application of solid-state nuclear track detectors to detect fast alpha particles in tokamak experiments. As for experimental studies, particular attention was paid to the investigation of fast ion- and electron-beams emitted from high-current plasma discharges in PF and RPI facilities. Ion streams from discharges were studied by means of nuclear track detector, corpuscular diagnostic techniques, and particularly of a miniature Thompson-type mass-spectrometer. A field of research activity was related to plasma technology. Efforts were undertaken to improve the ultra-high vacuum (UHV) deposition of thin superconducting layers, e.g. pure niobium film on the surface of copper resonant cavities

  7. Plasma Physics Department annual report, 1990

    International Nuclear Information System (INIS)

    1991-01-01

    The main fields in which researches have been carried out during 1990 at the Wills Plasma Physics Department are briefly discussed. These include investigations of shear Alfven waves at frequencies above the ion cyclotron frequency; the use of submillimetre lasers to detect by far forward scattering density fluctuation associated with waves in Tortus during Alfven wave heating experiments; basic physics of laser induced fluorescence in plasma and in particular the process which determine the population of excited states, as well as magnetron discharge studies and application of the vacuum arc as ion sources for accelerators and as sputtering device for producing thin film coating. A list of publications and papers presented at various conferences by the members of the Department is given in the Appendix

  8. Recent advances towards a lithium vapor box divertor

    Directory of Open Access Journals (Sweden)

    R.J. Goldston

    2017-08-01

    Full Text Available Fusion power plants are likely to require near complete detachment of the divertor plasma from the divertor target plates, in order to have both acceptable heat flux at the target to avoid prompt damage and also acceptable plasma temperature at the target surface, to minimize long-term erosion. However hydrogenic and impurity puffing experiments show that detached operation leads easily to x-point MARFEs, impure plasmas, degradation in confinement, and lower helium pressure at the exhaust. The concept of the Lithium Vapor Box Divertor is to use local evaporation and strong differential pumping through condensation to localize low-Z gas-phase material that absorbs the plasma heat flux and so achieve detachment while avoiding these difficulties. The vapor localization has been confirmed using preliminary Navier–Stokes calculations. We use ADAS calculations of εcool, the plasma energy lost per injected lithium atom, to estimate the lithium vapor pressure, and so temperature, required for detachment, taking into account power balance. We also develop a simple model of detachment to evaluate the required upstream density, based on further taking into account dynamic pressure balance. A remarkable general result is found, not just for lithium-vapor-induced detachment, that the upstream density divided by the Greenwald-limit density scales as nup/nGW ∝ (P5/8/B3/8 Tdet1/2/(εcool+γTdet, with no explicit size scaling. Tdet is the temperature just before strong pressure loss, assumed to be ∼ ½ of the ionization potential of the dominant recycling species, and γ is the sheath heat transmission factor.

  9. Ion-assisted functional monolayer coating of nanorod arrays in hydrogen plasmas

    International Nuclear Information System (INIS)

    Tam, E.; Levchenko, I.; Ostrikov, K.; Keidar, M.; Xu, S.

    2007-01-01

    Uniformity of postprocessing of large-area, dense nanostructure arrays is currently one of the greatest challenges in nanoscience and nanofabrication. One of the major issues is to achieve a high level of control in specie fluxes to specific surface areas of the nanostructures. As suggested by the numerical experiments in this work, this goal can be achieved by manipulating microscopic ion fluxes by varying the plasma sheath and nanorod array parameters. The dynamics of ion-assisted deposition of functional monolayer coatings onto two-dimensional carbon nanorod arrays in a hydrogen plasma is simulated by using a multiscale hybrid numerical simulation. The numerical results show evidence of a strong correlation between the aspect ratios and nanopattern positioning of the nanorods, plasma sheath width, and densities and distributions of microscopic ion fluxes. When the spacing between the nanorods and/or their aspect ratios are larger, and/or the plasma sheath is wider, the density of microscopic ion current flowing to each of the individual nanorods increases, thus reducing the time required to apply a functional monolayer coating down to 11 s for a 7-μm-wide sheath, and to 5 s for a 50-μm-wide sheath. The computed monolayer coating development time is consistent with previous experimental reports on plasma-assisted functionalization of related carbon nanostructures [B. N. Khare et al., Appl. Phys. Lett. 81, 5237 (2002)]. The results are generic in that they can be applied to a broader range of plasma-based processes and nanostructures, and contribute to the development of deterministic strategies of postprocessing and functionalization of various nanoarrays for nanoelectronic, biomedical, and other emerging applications

  10. An introduction to boundary plasma physics

    International Nuclear Information System (INIS)

    Shimizu, Katsuhiro; Takizuka, Tomonori

    2004-01-01

    History of tokamak experiments is briefly reviewed with a special focus on divertors. Two-point divertor model, which calculates plasma parameters up-stream and at the divertor plate for a given condition of particle flux and heat flux, is explained. The model is applied to ITER to discuss the heat flux onto the target plate. The important issues of divertor physics related to recycling, remote radiative cooling, detached plasma and MARFE are also introduced. (author)

  11. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Oliveira, M. H.; Viana, G. A.; de Lima, M. M.; Cros, A.; Cantarero, A.; Marques, F. C.

    2010-12-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH4) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  12. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Oliveira, M. H. Jr.; Viana, G. A.; Marques, F. C.; Lima, M. M. Jr. de; Cros, A.; Cantarero, A.

    2010-01-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH 4 ) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  13. Laser isotope separation: the physics of the process

    International Nuclear Information System (INIS)

    Lapierre, Y.

    1990-01-01

    The physics of the AVLIS process is analysed. The intricacy of physics considerations, technology and economic forecast is developed. The scaling of a production unit is a complicated optimization which takes into account the limitations imposed by physics laws (spectroscopy, plasma physics,...), technology (vapor production, laser industry,...), and economy. But the only philosophy which prevails for such R and D programs is to be cheaper than competitive processes and to reach the goal first to get the market

  14. Controlled fusion and plasma physics

    International Nuclear Information System (INIS)

    1994-07-01

    40 papers are presented at this 21. conference on controlled fusion and plasma physics (JET). Titles are: effects of sawtooth crashes on beams ions and fusion product tritons; beta limits in H-modes and VH-modes; impurity induced neutralization of MeV energy protons in JET plasmas; lost α particle diagnostic for high-yield D-T fusion plasmas; 15-MeV proton emission from ICRF-heated plasmas; pulse compression radar reflectometry for density measurements; gamma-ray emission profile measurements during ICRH discharges; the new JET phase ICRH array; simulation of triton burn-up; parametric dependencies of JET electron temperature profiles; detached divertor plasmas; excitation of global Alfven Eigenmodes by RF heating; mechanisms of toroidal rotation; effect of shear in the radial electric field on confinement; plasma transport properties at the L-H transition; numerical study of plasma detachment conditions in JET divertor plasmas; the SOL width and the MHD interchange instability; non linear magnetic reconnection in low collisionality plasmas; topology and slowing down of high energy ion orbits; sawtooth crashes at high beta; fusion performances and alpha heating in future JET D-T plasmas; a stable route to high-beta plasmas with non-monotonic q-profiles; theory of propagation of changes to confinement; spatial distribution of gamma emissivity and fast ions during ICRF heating; multi-camera soft X-ray diagnostic; radiation phenomena and particle fluxes in the X-event; local measurement of transport parameters for laser injected trace impurities; impurity transport of high performance discharges; negative snakes and negative shear; neural-network charge exchange analysis; ion temperature anisotropy in helium neutral beam fuelling; impurity line emission due to thermal charge exchange in edge plasmas; control of convection by fuelling and pumping; VH mode accessibility and global H-mode properties; ion cyclotron emission by spontaneous emission; LHCD/ICRH synergy

  15. Proceedings of the 1984 International Conference on plasma physics

    International Nuclear Information System (INIS)

    Tran, M.Q.; Verbeek, R.J.

    1985-01-01

    The 1984 ICPP, held in Lausanne, Switzerland, is the third biennial conference of the series ''International conferences on plasma physics''. A complete spectrum of current plasma physics from fusion devices to interstellar space was presented, even if most of the papers were of direct interest for fusion. The conference stressed the important role that ''basic plasma physics'' must play in fusion research. Recent theoretical and experimental developments in tokamaks, stellarators, mirrors, reversed field pinches, and other fusion devices were reported. The successful operation of two newly-built large tokamak devices, JET and TFTR, holds the promise that a host of new results of decisive importance for fusion research will become available in the next few years. This is the first part of the conference

  16. Abstracts of 7th Ukrainian conference on controlled nuclear fusion and plasma physics

    International Nuclear Information System (INIS)

    1999-01-01

    This conference discussed the main directions of plasma physics development in Ukraine. The experimental and theoretical research on stellarators and theoretical results of physical processes in tokamak plasma studied. The investigation of spherical tokamaks were plasma physics began

  17. Ultrahigh temperature vapor core reactor-MHD system for space nuclear electric power

    Science.gov (United States)

    Maya, Isaac; Anghaie, Samim; Diaz, Nils J.; Dugan, Edward T.

    1991-01-01

    The conceptual design of a nuclear space power system based on the ultrahigh temperature vapor core reactor with MHD energy conversion is presented. This UF4 fueled gas core cavity reactor operates at 4000 K maximum core temperature and 40 atm. Materials experiments, conducted with UF4 up to 2200 K, demonstrate acceptable compatibility with tungsten-molybdenum-, and carbon-based materials. The supporting nuclear, heat transfer, fluid flow and MHD analysis, and fissioning plasma physics experiments are also discussed.

  18. Highly Efficient 2D/3D Hybrid Perovskite Solar Cells via Low-Pressure Vapor-Assisted Solution Process.

    Science.gov (United States)

    Li, Ming-Hsien; Yeh, Hung-Hsiang; Chiang, Yu-Hsien; Jeng, U-Ser; Su, Chun-Jen; Shiu, Hung-Wei; Hsu, Yao-Jane; Kosugi, Nobuhiro; Ohigashi, Takuji; Chen, Yu-An; Shen, Po-Shen; Chen, Peter; Guo, Tzung-Fang

    2018-06-08

    The fabrication of multidimensional organometallic halide perovskite via a low-pressure vapor-assisted solution process is demonstrated for the first time. Phenyl ethyl-ammonium iodide (PEAI)-doped lead iodide (PbI 2 ) is first spin-coated onto the substrate and subsequently reacts with methyl-ammonium iodide (MAI) vapor in a low-pressure heating oven. The doping ratio of PEAI in MAI-vapor-treated perovskite has significant impact on the crystalline structure, surface morphology, grain size, UV-vis absorption and photoluminescence spectra, and the resultant device performance. Multiple photoluminescence spectra are observed in the perovskite film starting with high PEAI/PbI 2 ratio, which suggests the coexistence of low-dimensional perovskite (PEA 2 MA n -1 Pb n I 3 n +1 ) with various values of n after vapor reaction. The dimensionality of the as-fabricated perovskite film reveals an evolution from 2D, hybrid 2D/3D to 3D structure when the doping level of PEAI/PbI 2 ratio varies from 2 to 0. Scanning electron microscopy images and Kelvin probe force microscopy mapping show that the PEAI-containing perovskite grain is presumably formed around the MAPbI 3 perovskite grain to benefit MAPbI 3 grain growth. The device employing perovskite with PEAI/PbI 2 = 0.05 achieves a champion power conversion efficiency of 19.10% with an open-circuit voltage of 1.08 V, a current density of 21.91 mA cm -2 , and a remarkable fill factor of 80.36%. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Very high plasma switches. Basic plasma physics and switch technology

    International Nuclear Information System (INIS)

    Doucet, H.J.; Roche, M.; Buzzi, J.M.

    1988-01-01

    A review of some high power switches recently developed for very high power technology is made with a special attention to the aspects of plasma physics involved in the mechanisms, which determine the limits of the possible switching parameters

  20. PROPERTIES AND OPTICAL APPLICATION OF POLYCRYSTALLINE ZINC SELENIDE OBTAINED BY PHYSICAL VAPOR DEPOSITION

    Directory of Open Access Journals (Sweden)

    A. A. Dunaev

    2015-05-01

    Full Text Available Findings on production technology, mechanical and optical properties of polycrystalline zinc selenide are presented. The combination of its physicochemical properties provides wide application of ZnSe in IR optics. Production technology is based on the method of physical vapor deposition on a heated substrate (Physical Vapor Deposition - PVD. The structural features and heterogeneity of elemental composition for the growth surfaces of ZnSe polycrystalline blanks were investigated using CAMEBAX X-ray micro-analyzer. Characteristic pyramid-shaped crystallites were recorded for all growth surfaces. The measurements of the ratio for major elements concentrations show their compliance with the stoichiometry of the ZnSe compounds. Birefringence, optical homogeneity, thermal conductivity, mechanical and optical properties were measured. It is established that regardless of polycrystalline condensate columnar and texturing, the optical material is photomechanically isotropic and homogeneous. The actual performance of parts made of polycrystalline optical zinc selenide in the thermal spectral ranges from 3 to 5 μm and from 8 to 14 μm and in the CO2 laser processing plants with a power density of 500 W/cm2 is shown. The developed technology gives the possibility to produce polycrystalline optical material on an industrial scale.

  1. The Framework of Plasma Physics

    CERN Document Server

    Hazeltine, Richard D

    2004-01-01

    Plasma physics is a necessary part of our understanding of stellar and galactic structure. It determines the magnetospheric environment of the earth and other planets; it forms the research frontier in such areas as nuclear fusion, advanced accelerators, and high power lasers; and its applications to various industrial processes (such as computer chip manufacture) are rapidly increasing. It is thus a subject with a long list of scientific and technological applications. This book provides the scientific background for understanding such applications, but it emphasizes something else: the intrinsic scientific interest of the plasma state. It attempts to develop an understanding of this state, and of plasma behavior, as thoroughly and systematically as possible. The book was written with the graduate student in mind, but most of the material would also fit into an upper-level undergraduate course.

  2. Abstracts of 13th International Congress on Plasma Physics (ICPP 2006). Published in 2 volumes

    International Nuclear Information System (INIS)

    Anon

    2006-01-01

    This report contains the presentation on the 13-th International Congress on Plasma Physics (ICPP 2006). Five main topics are covered: fundamental problems of plasma physics; fusion plasmas; plasmas in astrophysics and space physics; plasmas in applications and technologies; complex plasmas

  3. Abstracts of 13th International Congress on Plasma Physics (ICPP 2006). Published in 2 volumes

    Energy Technology Data Exchange (ETDEWEB)

    Anon,

    2006-07-01

    This report contains the presentation on the 13-th International Congress on Plasma Physics (ICPP 2006). Five main topics are covered: fundamental problems of plasma physics; fusion plasmas; plasmas in astrophysics and space physics; plasmas in applications and technologies; complex plasmas.

  4. Effect of cesium assistance on the electrical and structural properties of indium tin oxide films grown by magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Song, Jaewon; Hwang, Cheol Seong; Park, Sung Jin; Yoon, Neung Ku [Department of Materials Science and Engineering and Inter-university Semiconductor Research Center, Seoul National University, Seoul 151-742 (Korea, Republic of); Sorona Inc., Pyeongtaek, Gyeonggi 451-841 (Korea, Republic of)

    2009-07-15

    Indium tin oxide (ITO) thin films were deposited by cesium (Cs)-assisted dc magnetron sputtering in an attempt to achieve a high performance at low temperatures. The films were deposited on SiO{sub 2}/Si wafer and glass (Eagle 2000) substrates at a substrate temperature of 100 degree sign C with a Cs vapor flow during the deposition process. The ITO thin films deposited in the presence of Cs vapor showed better crystallinity than the control films grown under normal Ar/O{sub 2} plasma conditions. The resistivity of the films with the Cs assistance was lower than that of the control films. The lowest resistivity of 6.2x10{sup -4} {Omega} cm, which is {approx}20% lower than that of the control sample, was obtained without any postdeposition thermal annealing. The surface roughness increased slightly when Cs vapor was added. The optical transmittance was >80% at wavelengths ranging from 380 to 700 nm.

  5. Princeton Plasma Physics Laboratory:

    Energy Technology Data Exchange (ETDEWEB)

    Phillips, C.A. (ed.)

    1986-01-01

    This paper discusses progress on experiments at the Princeton Plasma Physics Laboratory. The projects and areas discussed are: Principal Parameters Achieved in Experimental Devices, Tokamak Fusion Test Reactor, Princeton Large Torus, Princeton Beta Experiment, S-1 Spheromak, Current-Drive Experiment, X-ray Laser Studies, Theoretical Division, Tokamak Modeling, Spacecraft Glow Experiment, Compact Ignition Tokamak, Engineering Department, Project Planning and Safety Office, Quality Assurance and Reliability, and Administrative Operations.

  6. Princeton Plasma Physics Laboratory:

    International Nuclear Information System (INIS)

    Phillips, C.A.

    1986-01-01

    This paper discusses progress on experiments at the Princeton Plasma Physics Laboratory. The projects and areas discussed are: Principal Parameters Achieved in Experimental Devices, Tokamak Fusion Test Reactor, Princeton Large Torus, Princeton Beta Experiment, S-1 Spheromak, Current-Drive Experiment, X-ray Laser Studies, Theoretical Division, Tokamak Modeling, Spacecraft Glow Experiment, Compact Ignition Tokamak, Engineering Department, Project Planning and Safety Office, Quality Assurance and Reliability, and Administrative Operations

  7. PREFACE: Second International Workshop & Summer School on Plasma Physics 2006

    Science.gov (United States)

    Benova, Evgeniya; Atanassov, Vladimir

    2007-04-01

    The Second International Workshop & Summer School on Plasma Physics (IWSSPP'06) organized by St. Kliment Ohridsky University of Sofia, The Union of the Physicists in Bulgaria, the Bulgarian Academy of Sciences and the Bulgarian Nuclear Society, was held in Kiten, Bulgaria, on the Black Sea Coast, from 3-9 July 2006. As with the first of these scientific meetings (IWSSPP'05 Journal of Physics: Conference Series 44 (2006)), its aim was to stimulate the creation and support of a new generation of young scientists for further development of plasma physics fundamentals and applications, as well as to ensure an interdisciplinary exchange of views and initiate possible collaborations by bringing together scientists from various branches of plasma physics. This volume of Journal of Physics: Conference Series includes 33 papers (invited lectures, contributed talks and posters) devoted to various branches of plasma physics, among them fusion plasma research, dc and microwave discharge modelling, transport phenomena in gas discharge plasmas, plasma diagnostics, cross sections and rate constants of elementary processes, material processing, plasma-chemistry and technology. Some of these papers were presented by internationally known and recognized specialists in their fields; others are MSc or PhD students' first steps in science. In both cases, we believe they will raise readers' interest. We would like to thank the members of both the International Advisory Committee and the Local Organizing Committee, the participants who sent their manuscripts and passed through the (sometimes heavy and troublesome) refereeing and editing procedure and our referees for their patience and considerable effort to improve the manuscripts. We greatly appreciate the financial support from the sponsors: the Department for Language Teaching and International Students at the University of Sofia and Natsionalna Elektricheska Kompania EAD. We would like to express our gratitude to the invited

  8. Introduction to Plasma Physics: With Space and Laboratory Applications

    International Nuclear Information System (INIS)

    Browning, P K

    2005-01-01

    A new textbook on plasma physics must be very welcome, as this will encourage the teaching of courses on the subject. This book is written by two experts in their fields, and is aimed at advanced undergraduate and postgraduate courses. There are of course many other plasma physics textbooks available. The niche which this particular book fills is really defined by its subtitle: that is, 'with space and laboratory applications'. This differs from most other books which tend to emphasise either space or fusion applications (but not both) or to concentrate only on general theory. Essentially, the emphasis here is on fundamental plasma physics theory, but applications are given from time to time. For example, after developing Alfven wave theory, observations of Alfven waves in the solar wind and in the Jovian magnetosphere are presented; whilst ion acoustic cylcotron waves are illustrated by data from a laboratory Q machine. It is fair to say that examples from space seem to predominate. Nevertheless, the approach of including a broad range of applications is very good from an educational point of view, and this should help to train a generation of students with a grasp of fundamental plasma physics who can work in a variety of research fields. The subject coverage of the book is fairly conventional and there are no great surprises. It begins, inevitably, with a discussion of plasma parameters (Debye length etc) and of single particle motions. Both kinetic theory and magnetohydrodynamics are introduced. Waves are quite extensively discussed in several chapters, including both cold and hot plasmas, magnetised and unmagnetised. Nonlinear effects - a large subject! - are briefly discussed. A final chapter deals with collisions in fully ionised plasmas. The choice of contents of a textbook is always something of a matter of personal choice. It is easy to complain about what has been left out, and everyone has their own favourite topics. With that caveat, I would question

  9. Theoretical plasma physics. Final report

    International Nuclear Information System (INIS)

    Vahala, G.; Tracy, E.

    1996-04-01

    During the past year, the authors have concentrated on (1) divertor physics, (2) thermo-lattice Boltzmann (TLBE) approach to turbulence, and (3) phase space techniques in gyro-resonance problems in collaboration with Dieter Sigmar (MIT), Sergei Krasheninnikov (MIT), Linda Vahala (ODU), Joseph Morrison (AS and M/NASA-Langley), Pavol Pavlo and Josef Preinhaelter (institute of Plasma Physics, Czech Academy of Sciences) and Allan Kaufman (LBL/U.C.Berkeley). Using a 2-equation compressible closure model with a 2D mean flow, the authors are investigating the effects of 3D neutral turbulence on reducing the heat load to the divertor plate by various toroidal cavity geometries. These studies are being extended to examine 3D mean flows. Thermal Lattice Boltzmann (TLBE) methods are being investigated to handle 3D turbulent flows in nontrivial geometries. It is planned to couple the TLBE collisional regime to the weakly collisional regime and so be able to tackle divertor physics. In the application of phase space techniques to minority-ion RF heating, resonance heating is treated as a multi-stage process. A generalization of the Case-van Kampen analysis is presented for multi-dimensional non-uniform plasmas. Effects such as particle trapping and the ray propagation dynamics in tokamak geometry can now be handled using Weyl calculus

  10. Plasma physics and the 2013-2022 decadal survey in solar and space physics

    Science.gov (United States)

    Baker, Daniel N.

    2016-11-01

    The U.S. National Academies established in 2011 a steering committee to develop a comprehensive strategy for solar and space physics research. This updated and extended the first (2003) solar and space physics decadal survey. The latest decadal study implemented a 2008 Congressional directive to NASA for the fields of solar and space physics, but also addressed research in other federal agencies. The new survey broadly canvassed the fields of research to determine the current state of the discipline, identified the most important open scientific questions, and proposed the measurements and means to obtain them so as to advance the state of knowledge during the years 2013-2022. Research in this field has sought to understand: dynamical behaviour of the Sun and its heliosphere; properties of the space environments of the Earth and other solar system bodies; multiscale interaction between solar system plasmas and the interstellar medium; and energy transport throughout the solar system and its impact on the Earth and other solar system bodies. Research in solar and space plasma processes using observation, theory, laboratory studies, and numerical models has offered the prospect of understanding this interconnected system well enough to develop a predictive capability for operational support of civil and military space systems. We here describe the recommendations and strategic plans laid out in the 2013-2022 decadal survey as they relate to measurement capabilities and plasma physical research. We assess progress to date. We also identify further steps to achieve the Survey goals with an emphasis on plasma physical aspects of the program.

  11. 2017 Report for New LANL Physical Vapor Deposition Capability

    Energy Technology Data Exchange (ETDEWEB)

    Roman, Audrey Rae [Los Alamos National Laboratory; Zhao, Xinxin [Los Alamos National Laboratory; Bond, Evelyn M. [Los Alamos National Laboratory; Gooden, Matthew Edgell [Los Alamos National Laboratory; Rundberg, Robert S. [Los Alamos National Laboratory; Bredeweg, Todd Allen [Los Alamos National Laboratory

    2017-10-03

    There is an urgent need at LANL to achieve uniform, thin film actinide targets that are essential for nuclear physics experiments. The target preparation work is currently performed externally by Professor Walter Loveland at Oregon State University, who has made various evaporated actinide targets such as Th and U for use on several nuclear physics measurements at LANSCE. We are developing a vapor deposition capability, with the goal of evaporating Th and U in the Actinide Research Facility (ARF) at TA-48. In the future we plan to expand this work to evaporating transuranic elements, such as Pu. The ARF is the optimal location for evaporating actinides because this lab is specifically dedicated to actinide research. There are numerous instruments in the ARF that can be used to provide detailed characterization of the evaporated thin films such as: Table top Scanning Electron Microscope, In-situ X-Ray Diffraction, and 3D Raman spectroscopy. These techniques have the ability to determine the uniformity, surface characterization, and composition of the deposits.

  12. Princeton University Plasma Physics Laboratory, Princeton, New Jersey

    International Nuclear Information System (INIS)

    1991-01-01

    This report discusses the following topics: Principal parameters of experimental devices; Tokamak Fusion Test Reactor; Burning Plasma Experiment; Princeton Beta Experiment-Modification; Current Drive Experiment-Upgrade; International Thermonuclear Experimental Reactor; International Collaboration; X-Ray Laser Studies; Hyperthermal Atomic Beam Source; Pure Electron Plasma Experiments; Plasma Processing: Deposition and Etching of Thin Films; Theoretical Studies; Tokamak Modeling; Engineering Department; Environment, Safety, and Health and Quality Assurance; Technology Transfer; Office of Human Resources and Administration; PPPL Patent Invention Disclosures; Office of Resource Management; Graduate Education: Plasma Physics; Graduate Education: Program in Plasma Science and Technology; and Science Education Program

  13. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A.; Kesler, Valerii G.; Kirienko, Viktor V.

    2014-01-01

    Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC x N y films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9

  14. UV laser ionization and electron beam diagnostics for plasma lenses

    International Nuclear Information System (INIS)

    Govil, R.; Volfbeyn, P.; Leemans, W.

    1995-04-01

    A comprehensive study of focusing of relativistic electron beams with overdense and underdense plasma lenses requires careful control of plasma density and scale lengths. Plasma lens experiments are planned at the Beam Test Facility of the LBL Center for Beam Physics, using the 50 MeV electron beam delivered by the linac injector from the Advanced Light Source. Here we present results from an interferometric study of plasmas produced in tri-propylamine vapor with a frequency quadrupled Nd:YAG laser at 266 nm. To study temporal dynamics of plasma lenses we have developed an electron beam diagnostic using optical transition radiation to time resolve beam size and divergence. Electron beam ionization of the plasma has also been investigated

  15. The growth of axially modulated p–n GaN nanowires by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Tung-Hsien; Hong, Franklin Chau-Nan

    2013-01-01

    Due to the n-type characteristics of intrinsic gallium nitride, p-type gallium nitride (GaN) is more difficult to synthesize than n-type gallium nitride in forming the p–n junctions for optoelectronic applications. For the growth of the p-type gallium nitride, magnesium is used as the dopant. The Mg-doped GaN nanowires (NWs) have been synthesized on (111)-oriented n + -silicon substrates by plasma-enhanced chemical vapor deposition. The scanning electron microscope images showed that the GaN NWs were bent at high Mg doping levels, and the transmission electron microscope characterization indicated that single-crystalline GaN NWs grew along < 0001 > orientation. As shown by energy dispersive spectroscopy, the Mg doping levels in GaN NWs increased with increasing partial pressure of magnesium nitride, which was employed as the dopant precursor for p-GaN NW growth. Photoluminescence measurements suggested the presence of both p- and n‐type GaN NWs. Furthermore, the GaN NWs with axial p–n junctions were aligned between either two-Ni or two-Al electrodes by applying alternating current voltages. The current–voltage characteristics have confirmed the formation of axial p–n junctions in GaN nanowires. - Highlights: ► Grow axially modulated GaN nanowires by plasma-enhanced chemical vapor deposition ► Control the Mg concentration of GaN nanowires by tuning Mg 3 N 2 temperature ► Align the GaN nanowires by applying alternating current voltages between electrodes

  16. PREFACE: 4th International Workshop & Summer School on Plasma Physics 2010

    Science.gov (United States)

    2014-06-01

    Fourth International Workshop & Summer School on Plasma Physics 2010 The Fourth International Workshop & Summer School on Plasma Physics (IWSSPP'10) is organized by St. Kliment Ohridsky University of Sofia, with co-organizers TCPA Foundation, Association EURATOM/IRNRE, The Union of the Physicists in Bulgaria, and the Bulgarian Academy of Sciences. It was held in Kiten, Bulgaria, at the Black Sea Coast, from July 5 to July 10, 2010. The scientific programme covers the topics Fusion Plasma and Materials; Plasma Modeling and Fundamentals; Plasma Sources, Diagnostics and Technology. As the previous issues of this scientific meeting (IWSSPP'05, J. Phys.: Conf. Series 44 (2006) and IWSSPP'06, J. Phys.: Conf. Series 63 (2007), IWSSPP'08, J. Phys.: Conf. Series 207 (2010), its aim was to stimulate the creation and support of a new generation of young scientists for further development of plasma physics fundamentals and applications, as well as to ensure an interdisciplinary exchange of views and initiate possible collaborations by bringing together scientists from various branches of plasma physics. This volume of Journal of Physics: Conference Series includes 34 papers (invited lectures, contributed talks and posters) devoted to various branches of plasma physics, among them fusion plasma and materials, dc and microwave discharge modelling, transport phenomena in gas discharge plasmas, plasma diagnostics, cross sections and rate constants of elementary processes, material processing, plasma-chemistry and technology. Some of them have been presented by internationally known and recognized specialists in their fields; others are MSc or PhD students' first steps in science. In both cases, we believe they will raise readers' interest. We would like to thank the members of both the International Advisory Committee and the Local Organizing Committee, the participants who sent their manuscripts and passed through the (sometimes heavy and troublesome) refereeing and editing

  17. Ultrasound-assisted vapor generation of mercury.

    Science.gov (United States)

    Ribeiro, Anderson S; Vieira, Mariana A; Willie, Scott; Sturgeon, Ralph E

    2007-06-01

    Cold vapor generation arising from reduction of both Hg(2+) and CH(3)Hg(+) occurs using ultrasonic (US) fields of sufficient density to achieve both localized heating as well as radical-based attack in solutions of formic and acetic acids and tetramethylammonium hydroxide (TMAH). A batch sonoreactor utilizing an ultrasonic probe as an energy source and a flow through system based on a US bath were optimized for this purpose. Reduction of CH(3)Hg(+) to Hg(0) occurs only at relatively high US field density (>10 W cm(-3) of sample solution) and is thus not observed when a conventional US bath is used for cold vapor generation. Speciation of mercury is thus possible by altering the power density during the measurement process. Thermal reduction of Hg(2+) is efficient in formic acid and TMAH at 70 degrees C and occurs in the absence of the US field. Room temperature studies with the batch sonoreactor reveal a slow reduction process, producing temporally broad signals having an efficiency of approximately 68% of that arising from use of a conventional SnCl(2) reduction system. Molecular species of mercury are generated at high concentrations of formic and acetic acid. Factors affecting the generation of Hg(0) were optimized and the batch sonoreactor used for the determination of total mercury in SLRS-4 river water reference material.

  18. Introduction to plasma physics and controlled fusion

    CERN Document Server

    Chen, Francis F

    2016-01-01

    The third edition of this classic text presents a complete introduction to plasma physics and controlled fusion, written by one of the pioneering scientists in this expanding field.  It offers both a simple and intuitive discussion of the basic concepts of the subject matter and an insight into the challenging problems of current research. This outstanding text offers students a painless introduction to this important field; for teachers, a large collection of problems; and for researchers, a concise review of the fundamentals as well as original treatments of a number of topics never before explained so clearly.  In a wholly lucid manner the second edition covered charged-particle motions, plasmas as fluids, kinetic theory, and nonlinear effects.  For the third edition, two new chapters have been added to incorporate discussion of more recent advances in the field.  The new chapter 9 on Special Plasmas covers non-neutral plasmas, pure electron plasmas, solid and ultra-cold plasmas, pair-ion plasmas, d...

  19. Mercury determination in non- and biodegradable materials by cold vapor capacitively coupled plasma microtorch atomic emission spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Frentiu, Tiberiu, E-mail: ftibi@chem.ubbcluj.ro [Faculty of Chemistry and Chemical Engineering, Babes-Bolyai University, Arany Janos 11, 400028 Cluj-Napoca (Romania); Mihaltan, Alin I., E-mail: alinblaj2005@yahoo.com [National Institute for Research and Development of Optoelectronics Bucharest - Research Institute for Analytical Instrumentation, Donath 67, 400293 Cluj-Napoca (Romania); Ponta, Michaela, E-mail: mponta@chem.ubbcluj.ro [Faculty of Chemistry and Chemical Engineering, Babes-Bolyai University, Arany Janos 11, 400028 Cluj-Napoca (Romania); Darvasi, Eugen, E-mail: edarvasi@chem.ubbcluj.ro [Faculty of Chemistry and Chemical Engineering, Babes-Bolyai University, Arany Janos 11, 400028 Cluj-Napoca (Romania); Frentiu, Maria, E-mail: frentiu.maria@yahoo.com [National Institute for Research and Development of Optoelectronics Bucharest - Research Institute for Analytical Instrumentation, Donath 67, 400293 Cluj-Napoca (Romania); Cordos, Emil, E-mail: emilcordos@gmail.com [National Institute for Research and Development of Optoelectronics Bucharest - Research Institute for Analytical Instrumentation, Donath 67, 400293 Cluj-Napoca (Romania)

    2011-10-15

    Highlights: {yields} Use of a miniaturized analytical system with microtorch plasma for Hg determination. {yields} Determination of Hg in non- and biodegradable materials using cold vapor generation. {yields} Figures of merit and advantages of the miniaturized system for Hg determination. - Abstract: A new analytical system consisting of a low power capacitively coupled plasma microtorch (20 W, 13.56 MHz, 150 ml min{sup -1} Ar) and a microspectrometer was investigated for the Hg determination in non- and biodegradable materials by cold-vapor generation, using SnCl{sub 2} reductant, and atomic emission spectrometry. The investigated miniaturized system was used for Hg determination in recyclable plastics from electronic equipments and biodegradable materials (shopping bags of 98% biodegradable polyethylene and corn starch) with the advantages of easy operation and low analysis costs. Samples were mineralized in HNO{sub 3}-H{sub 2}SO{sub 4} mixture in a high-pressure microwave system. The detection limits of 0.05 ng ml{sup -1} or 0.08 {mu}g g{sup -1} in solid sample were compared with those reported for other analytical systems. The method precision was 1.5-9.4% for Hg levels of 1.37-13.9 mg kg{sup -1}, while recovery in two polyethylene certified reference materials in the range 98.7 {+-} 4.5% (95% confidence level).

  20. Guest investigator program study: Physics of equatorial plasma bubbles

    Science.gov (United States)

    Tsunoda, Roland T.

    1994-01-01

    Plasma bubbles are large-scale (10 to 100 km) depletions in plasma density found in the night-time equatorial ionosphere. Their formation has been found to entail the upward transport of plasma over hundreds of kilometers in altitude, suggesting that bubbles play significant roles in the physics of many of the diverse and unique features found in the low-latitude ionosphere. In the simplest scenario, plasma bubbles appear first as perturbations in the bottomside F layer, which is linearly unstable to the gravitationally driven Rayleigh-Taylor instability. Once initiated, bubbles develop upward through the peak of the F layer into its topside (sometimes to altitudes in excess of 1000 km), a behavior predicted by the nonlinear form of the same instability. While good general agreement has been found between theory and observations, little is known about the detailed physics associated with plasma bubbles. Our research activity centered around two topics: the shape of plasma bubbles and associated electric fields, and the day-to-day variability in the occurrence of plasma bubbles. The first topic was pursued because of a divergence in view regarding the nonlinear physics associated with plasma bubble development. While the development of perturbations in isodensity contours in the bottomside F layer into plasma bubbles is well accepted, some believed bubbles to be cylinder-like closed regions of depleted plasma density that floated upward leaving a turbulent wake behind them (e.g., Woodman and LaHoz, 1976; Ott, 1978; Kelley and Ott, 1978). Our results, summarized in a paper submitted to the Journal of Geophysical Research, consisted of incoherent scatter radar measurements that showed unambiguously that the depleted region is wedgelike and not cylinderlike, and a case study and modeling of SM-D electric field instrument (EFI) measurements that showed that the absence of electric-field perturbations outside the plasma-depleted region is a distinct signature of wedge

  1. Tissue ablation after 120W greenlight laser vaporization and bipolar plasma vaporization of the prostate: a comparison using transrectal three-dimensional ultrasound volumetry

    Science.gov (United States)

    Kranzbühler, Benedikt; Gross, Oliver; Fankhauser, Christian D.; Hefermehl, Lukas J.; Poyet, Cédric; Largo, Remo; Müntener, Michael; Seifert, Hans-Helge; Zimmermann, Matthias; Sulser, Tullio; Müller, Alexander; Hermanns, Thomas

    2012-02-01

    Introduction and objectives: Greenlight laser vaporization (LV) of the prostate is characterized by simultaneous vaporization and coagulation of prostatic tissue resulting in tissue ablation together with excellent hemostasis during the procedure. It has been reported that bipolar plasma vaporization (BPV) of the prostate might be an alternative for LV. So far, it has not been shown that BPV is as effective as LV in terms of tissue ablation or hemostasis. We performed transrectal three-dimensional ultrasound investigations to compare the efficiency of tissue ablation between LV and BPV. Methods: Between 11.2009 and 5.2011, 50 patients underwent pure BPV in our institution. These patients were matched with regard to the pre-operative prostate volume to 50 LV patients from our existing 3D-volumetry-database. Transrectal 3D ultrasound and planimetric volumetry of the prostate were performed pre-operatively, after catheter removal, 6 weeks and 6 months. Results: Median pre-operative prostate volume was not significantly different between the two groups (45.3ml vs. 45.4ml; p=1.0). After catheter removal, median absolute volume reduction (BPV 12.4ml, LV 6.55ml) as well as relative volume reduction (27.8% vs. 16.4%) were significantly higher in the BPV group (p<0.001). After six weeks (42.9% vs. 33.3%) and six months (47.2% vs. 39.7%), relative volume reduction remained significantly higher in the BPV group (p<0.001). Absolute volume reduction was non-significantly higher in the BPV group after six weeks (18.4ml, 13.8ml; p=0.051) and six months (20.8ml, 18ml; p=0.3). Clinical outcome parameters improved significantly in both groups without relevant differences between the groups. Conclusions: Both vaporization techniques result in efficient tissue ablation with initial prostatic swelling. BPV seems to be superior due to a higher relative volume reduction. This difference had no clinical impact after a follow-up of 6M.

  2. plasmaFoam: An OpenFOAM framework for computational plasma physics and chemistry

    Science.gov (United States)

    Venkattraman, Ayyaswamy; Verma, Abhishek Kumar

    2016-09-01

    As emphasized in the 2012 Roadmap for low temperature plasmas (LTP), scientific computing has emerged as an essential tool for the investigation and prediction of the fundamental physical and chemical processes associated with these systems. While several in-house and commercial codes exist, with each having its own advantages and disadvantages, a common framework that can be developed by researchers from all over the world will likely accelerate the impact of computational studies on advances in low-temperature plasma physics and chemistry. In this regard, we present a finite volume computational toolbox to perform high-fidelity simulations of LTP systems. This framework, primarily based on the OpenFOAM solver suite, allows us to enhance our understanding of multiscale plasma phenomenon by performing massively parallel, three-dimensional simulations on unstructured meshes using well-established high performance computing tools that are widely used in the computational fluid dynamics community. In this talk, we will present preliminary results obtained using the OpenFOAM-based solver suite with benchmark three-dimensional simulations of microplasma devices including both dielectric and plasma regions. We will also discuss the future outlook for the solver suite.

  3. Paradigm transition in cosmic plasma physics

    International Nuclear Information System (INIS)

    Alfven, H.

    1982-01-01

    In situ measurements in the magnetospheres together with general advancement in plasma physics are now necessitating introduction of a number of effects that have been recently discovered or earlier neglected. Examples are: electric double layers (like in the lower magnetosphere); thin current layer (like in the magnetopause) giving space a cellular structure; current produced filaments (e.g., in prominences, solar corona and interstellar clouds). Further it is important to use the electric current (particle) description and to study the whole circuit in which the current flows. The pinch effect cannot be neglected as is now usually done. The critical velocity phenomenon is essential, for example for the band structure of solar system. Theory of dusty plasmas is important. The result is a change in so many theories in cosmic plasma physics that it is appropriate to speak of an introduction of a new paradigm. This should be based on empirical knowledge from magnetospheric and laboratory investigations. Its application to astrophysics in general, including cosmology, will necessarily lead to a revision of, e.g., the present theories of the formation of stars, planets and satellites. It is doubtful whether the big bang cosmology will survive. (Auth.)

  4. Hollow nanoporous covalent triazine frameworks via acid vapor-assisted solid phase synthesis for enhanced visible light photoactivity

    KAUST Repository

    Huang, Wei

    2016-04-11

    Herein, we report a novel trifluoromethanesulfonic acid vapor-assisted solid phase synthetic method to construct nanoporous covalent triazine frameworks with highly ordered hollow interconnected pores under mild reaction conditions. This unique solid state synthetic route allows not only the avoidance of undesired side reactions caused by traditional high temperature synthesis, but also the maintaining of defined and precise optical and electronic properties of the nonporous triazine frameworks. Promising photocatalytic activity of the polytriazine networks was demonstrated in the photoreduction reaction of 4-nitrophenol into 4-aminophenol under visible light irradiation.

  5. Hollow nanoporous covalent triazine frameworks via acid vapor-assisted solid phase synthesis for enhanced visible light photoactivity

    KAUST Repository

    Huang, Wei; Wang, Zi Jun; Ma, Beatriz Chiyin; Ghasimi, Saman; Gehrig, Dominik; Laquai, Fré dé ric; Landfester, Katharina; Zhang, Kai A. I.

    2016-01-01

    Herein, we report a novel trifluoromethanesulfonic acid vapor-assisted solid phase synthetic method to construct nanoporous covalent triazine frameworks with highly ordered hollow interconnected pores under mild reaction conditions. This unique solid state synthetic route allows not only the avoidance of undesired side reactions caused by traditional high temperature synthesis, but also the maintaining of defined and precise optical and electronic properties of the nonporous triazine frameworks. Promising photocatalytic activity of the polytriazine networks was demonstrated in the photoreduction reaction of 4-nitrophenol into 4-aminophenol under visible light irradiation.

  6. Investigation of flame structure in plasma-assisted turbulent premixed methane-air flame

    Science.gov (United States)

    Hualei, ZHANG; Liming, HE; Jinlu, YU; Wentao, QI; Gaocheng, CHEN

    2018-02-01

    The mechanism of plasma-assisted combustion at increasing discharge voltage is investigated in detail at two distinctive system schemes (pretreatment of reactants and direct in situ discharge). OH-planar laser-induced fluorescence (PLIF) technique is used to diagnose the turbulent structure methane-air flame, and the experimental apparatus consists of dump burner, plasma-generating system, gas supply system and OH-PLIF system. Results have shown that the effect of pretreatment of reactants on flame can be categorized into three regimes: regime I for voltage lower than 6.6 kV; regime II for voltage between 6.6 and 11.1 kV; and regime III for voltage between 11.1 and 12.5 kV. In regime I, aerodynamic effect and slower oxidation of higher hydrocarbons generated around the inner electrode tip plays a dominate role, while in regime III, the temperature rising effect will probably superimpose on the chemical effect and amplify it. For wire-cylinder dielectric barrier discharge reactor with spatially uneven electric field, the amount of radicals and hydrocarbons are decreased monotonically in radial direction which affects the flame shape. With regard to in situ plasma discharge in flames, the discharge pattern changes from streamer type to glow type. Compared with the case of reactants pretreatment, the flame propagates further in the upstream direction. In the discharge region, the OH intensity is highest for in situ plasma assisted combustion, indicating that the plasma energy is coupled into flame reaction zone.

  7. Hybrid Vapor Stripping-Vapor Permeation Process for Recovery and Dehydration of 1-Butanol and Acetone/Butanol/Ethanol from Dilute Aqueous Solutions. Part 2. Experimental Validation with Simple Mixtures and Actual Fermentation Broth

    Science.gov (United States)

    BACKGROUND: In Part1 of this work, a process integrating vapor stripping, vapor compression, and a vapor permeation membrane separation step, Membrane Assisted Vapor Stripping (MAVS), was predicted to produce energy savings compared to traditional distillation systems for separat...

  8. A perspective on the contributions of Ronald C. Davidson to plasma physics

    Science.gov (United States)

    Wurtele, Jonathan S.

    2016-10-01

    Starting in the 1960s and continuing for half a century, Ronald C. Davidson made fundamental theoretical contributions to a wide range of areas of pure and applied plasma physics. Davidson was one of the founders of nonneutral plasma physics and a pioneer in developing and applying kinetic theory and nonlinear stability theorems to collective interaction processes and nonlinear dynamics of nonneutral plasmas and intense charged particle beams. His textbooks on nonneutral plasmas are the classic references for the field and educated generations of graduate students. Davidson was a strong advocate for applying the ideas of plasma theory to develop techniques that benefit other branches of science. For example, one of the major derivative fields enabled by nonneutral plasmas is the study of antimatter plasmas and the synthesis of antihydrogen. This talk will review a few highlights of Ronald Davidson's impact on plasma physics and related fields of science.

  9. Using assistive technology for schoolwork: the experience of children with physical disabilities.

    Science.gov (United States)

    Murchland, Sonya; Parkyn, Helen

    2010-01-01

    This study explored the experience of children with physical disabilities using assistive technology for participation with schoolwork to gain a greater understanding of their perspectives and subjective experiences. A qualitative study involving thematic analysis of in-depth interviews of the child with a parent or significant adult. Purposeful sampling from a larger study recruited five children aged between 10 and 14 years, with differing physical disabilities who attended mainstream schools. All children used computer-based assistive technology. All of the children recognised that assistive technology enabled them to participate and reduced the impact of their physical disability, allowing independent participation, and facilitated higher learning outcomes. Issues related to ease of use, social implications and assistive technology systems are discussed.

  10. Becoming Physics People: Development of Integrated Physics Identity through the Learning Assistant Experience

    Science.gov (United States)

    Close, Eleanor W.; Conn, Jessica; Close, Hunter G.

    2016-01-01

    In this study, we analyze the experience of students in the Physics Learning Assistant (LA) program at Texas State University in terms of the existing theoretical frameworks of "community of practice" and "physics identity," and explore the implications suggested by these theories for LA program adoption and adaptation.…

  11. Plasma-Assisted Deposition of Au/SiO2 Multi-layers as Surface Plasmon Resonance-Based Red-Colored Coatings

    NARCIS (Netherlands)

    Beyene, H. T.; Tichelaar, F. D.; Verheijen, M. A.; M. C. M. van de Sanden,; Creatore, M.

    2011-01-01

    In this work, the expanding thermal plasma chemical vapor deposition in combination with radio frequency magnetron sputtering is used to deposit dielectric/metal multi-layers with controlled size and density of nanoparticles. The multi-layer structure serves the purpose of increasing the

  12. Plasma assisted deposition of Au/SiO2 multi-layers as surface plasmon resonance-based red colored coatings

    NARCIS (Netherlands)

    Takele Beyene, H.T.; Tichelaar, F.D.; Verheijen, M.A.; Sanden, van de M.C.M.; Creatore, M.

    2011-01-01

    In this work, the expanding thermal plasma chemical vapor deposition in combination with radio frequency magnetron sputtering is used to deposit dielectric/metal multi-layers with controlled size and density of nanoparticles. The multi-layer structure serves the purpose of increasing the

  13. Plasma assisted measurements of alkali metal concentrations in pressurized combustion processes

    Energy Technology Data Exchange (ETDEWEB)

    Hernberg, R.; Haeyrinen, V. [Tampere Univ. of Technology (Finland). Dept. of Physics

    1996-12-01

    The plasma assisted method for continuous measurement of alkali concentrations in product gas flows of pressurized energy processes will be tested and applied at the 1.6 MW PFBC/G facility at Delft University of Technology in the Netherlands. During the reporting period the alkali measuring device has been tested under pressurized conditions at VTT Energy, DMT, Foster-Wheeler Energia and ABB Carbon. Measurements in Delft will be performed during 1996 after installation of the hot gas filter. The original plan for measurements in Delft has been postponed due to schedule delays in Delft. The results are expected to give information about the influence of different process conditions on the generation of alkali vapours, the comparison of different methods for alkali measurement and the specific performance of our system. This will be the first test of the plasma assisted measurement method in a gasification process. The project belongs to the Joule II extension program under contract JOU2-CT93-0431. (author)

  14. Static gas-liquid interfacial direct current discharge plasmas using ionic liquid cathode

    International Nuclear Information System (INIS)

    Kaneko, T.; Baba, K.; Hatakeyama, R.

    2009-01-01

    Due to the unique properties of ionic liquids such as their extremely low vapor pressure and high heat capacity, we have succeeded in creating the static and stable gas (plasmas)-liquid (ionic liquids) interfacial field using a direct current discharge under a low gas pressure condition. It is clarified that the ionic liquid works as a nonmetal liquid electrode, and furthermore, a secondary electron emission coefficient of the ionic liquid is larger than that of conventional metal electrodes. The plasma potential structure of the gas-liquid interfacial region, and resultant interactions between the plasma and the ionic liquid are revealed by changing a polarity of the electrode in the ionic liquid. By utilizing the ionic liquid as a cathode electrode, the positive ions in the plasma region are found to be irradiated to the ionic liquid. This ion irradiation causes physical and chemical reactions at the gas-liquid interfacial region without the vaporization of the ionic liquid.

  15. Plasma simulation studies using multilevel physics models

    International Nuclear Information System (INIS)

    Park, W.; Belova, E.V.; Fu, G.Y.; Tang, X.Z.; Strauss, H.R.; Sugiyama, L.E.

    1999-01-01

    The question of how to proceed toward ever more realistic plasma simulation studies using ever increasing computing power is addressed. The answer presented here is the M3D (Multilevel 3D) project, which has developed a code package with a hierarchy of physics levels that resolve increasingly complete subsets of phase-spaces and are thus increasingly more realistic. The rationale for the multilevel physics models is given. Each physics level is described and examples of its application are given. The existing physics levels are fluid models (3D configuration space), namely magnetohydrodynamic (MHD) and two-fluids; and hybrid models, namely gyrokinetic-energetic-particle/MHD (5D energetic particle phase-space), gyrokinetic-particle-ion/fluid-electron (5D ion phase-space), and full-kinetic-particle-ion/fluid-electron level (6D ion phase-space). Resolving electron phase-space (5D or 6D) remains a future project. Phase-space-fluid models are not used in favor of δf particle models. A practical and accurate nonlinear fluid closure for noncollisional plasmas seems not likely in the near future. copyright 1999 American Institute of Physics

  16. CSSP implementation plan for space plasma physics programs

    International Nuclear Information System (INIS)

    Baker, D.N.; Williams, D.J.; Johns Hopkins Univ., Laurel, MD)

    1985-01-01

    The Committee on Solar and Space Physics (CSSP) has provided NASA with guidance in the areas of solar, heliospheric, magnetospheric, and upper atmospheric research. The budgetary sitation confronted by NASA has called for a prioritized plane for the implementation of solar and space plasma physics programs. CSSP has developed the following recommendations: (1) continue implementation of both the Upper Atmosphere Research Satellite and Solar Optical Telescope programs; (2) initiate the International Solar Terrestrial Physics program; (3) plan for later major free-flying missions and carry out the technology development they require; (4) launch an average of one solar and space physics Explorer per yr beginning in 1990; (5) enhance current Shuttle/Spacelab programs; (6) develop facility-class instrumentation; (7) augment the solar terrestrial theory program by FY 1990; (8) support a compute modeling program; (9) strengthen the research and analysis program; and (10) maintain a stable suborbital program for flexible science objectives in upper atmosphere and space plasma physics

  17. Plasma-assisted ohmic contact for AlGaN/GaN heterostructure field-effect transistors

    International Nuclear Information System (INIS)

    Zhang, Jiaqi; Wang, Lei; Wang, Qingpeng; Jiang, Ying; Li, Liuan; Ao, Jin-Ping; Zhu, Huichao

    2016-01-01

    An Al-based ohmic process assisted by an inductively coupled plasma (ICP) recess treatment is proposed for AlGaN/GaN heterostructure field-effect transistors (HFETs) to realize ohmic contact, which is only needed to anneal at 500 °C. The recess treatment was done with SiCl 4 plasma with 100 W ICP power for 20 s and annealing at 575 °C for 1 min. Under these conditions, contact resistance of 0.52 Ωmm was confirmed. To suppress the ball-up phenomenon and improve the surface morphology, an Al/TiN structure was also fabricated with the same conditions. The contact resistance was further improved to 0.30 Ωmm. By using this plasma-assisted ohmic process, a gate-first HFET was fabricated. The device showed high drain current density and high transconductance. The leakage current of the TiN-gate device decreased to 10 −9 A, which was 5 orders of magnitude lower than that of the device annealed at 800 °C. The results showed that the low-temperature ohmic contact process assisted by ICP treatment is promising for the fabrication of gate-first and self-aligned gate HFETs. (paper)

  18. [The mission of Princeton Plasma Physics Laboratory

    International Nuclear Information System (INIS)

    1993-01-01

    This report discusses the following about Princeton Plasma Physics Laboratory: its mission; requirements and guidance documents for the QA program; architecture; assessment organization; and specific management issues

  19. Toward the automated analysis of plasma physics problems

    International Nuclear Information System (INIS)

    Mynick, H.E.

    1989-04-01

    A program (CALC) is described, which carries out nontrivial plasma physics calculations, in a manner intended to emulate the approach of a human theorist. This includes the initial process of gathering the relevant equations from a plasma knowledge base, and then determining how to solve them. Solution of the sets of equations governing physics problems, which in general have a nonuniform,irregular structure, not amenable to solution by standardized algorithmic procedures, is facilitated by an analysis of the structure of the equations and the relations among them. This often permits decompositions of the full problem into subproblems, and other simplifications in form, which renders the resultant subsystems soluble by more standardized tools. CALC's operation is illustrated by a detailed description of its treatment of a sample plasma calculation. 5 refs., 3 figs

  20. Plasma simulation studies using multilevel physics models

    International Nuclear Information System (INIS)

    Park, W.; Belova, E.V.; Fu, G.Y.

    2000-01-01

    The question of how to proceed toward ever more realistic plasma simulation studies using ever increasing computing power is addressed. The answer presented here is the M3D (Multilevel 3D) project, which has developed a code package with a hierarchy of physics levels that resolve increasingly complete subsets of phase-spaces and are thus increasingly more realistic. The rationale for the multilevel physics models is given. Each physics level is described and examples of its application are given. The existing physics levels are fluid models (3D configuration space), namely magnetohydrodynamic (MHD) and two-fluids; and hybrid models, namely gyrokinetic-energetic-particle/MHD (5D energetic particle phase-space), gyrokinetic-particle-ion/fluid-electron (5D ion phase-space), and full-kinetic-particle-ion/fluid-electron level (6D ion phase-space). Resolving electron phase-space (5D or 6D) remains a future project. Phase-space-fluid models are not used in favor of delta f particle models. A practical and accurate nonlinear fluid closure for noncollisional plasmas seems not likely in the near future

  1. Vapor deposition on doublet airfoil substrates: Control of coating thickness and microstructure

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-11-15

    Gas jet assisted vapor deposition processes for depositing coatings are conducted at higher pressures than conventional physical vapor deposition methods, and have shown promise for coating complex shaped substrates including those with non-line-of-sight (NLS) regions on their surface. These regions typically receive vapor atoms at a lower rate and with a wider incident angular distribution than substrate regions in line-of-sight (LS) of the vapor source. To investigate the coating of such substrates, the thickness and microstructure variation along the inner (curved) surfaces of a model doublet airfoil containing both LS and NLS regions has been investigated. Results from atomistic simulations and experiments confirm that the coating's thickness is thinner in flux-shadowed regions than in other regions for all the coating processes investigated. They also indicated that the coatings columnar microstructure and pore volume fraction vary with surface location through the LS to NLS transition zone. A substrate rotation strategy for optimizing the thickness over the entire doublet airfoil surface was investigated, and led to the identification of a process that resulted in only small variation of coating thickness, columnar growth angle, and pore volume fraction on all doublet airfoil surfaces.

  2. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-01-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 deg. C down to 450 deg. C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  3. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 °C down to 450 °C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  4. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  5. Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth

    NARCIS (Netherlands)

    Profijt, H. B.; M. C. M. van de Sanden,; Kessele, W. M. M.

    2013-01-01

    Two substrate-biasing techniques, i.e., substrate-tuned biasing and RF biasing, have been implemented in a remote plasma configuration, enabling control of the ion energy during plasma-assisted atomic layer deposition (ALD). With both techniques, substrate bias voltages up to -200 V have been

  6. Advanced computations in plasma physics

    International Nuclear Information System (INIS)

    Tang, W.M.

    2002-01-01

    Scientific simulation in tandem with theory and experiment is an essential tool for understanding complex plasma behavior. In this paper we review recent progress and future directions for advanced simulations in magnetically confined plasmas with illustrative examples chosen from magnetic confinement research areas such as microturbulence, magnetohydrodynamics, magnetic reconnection, and others. Significant recent progress has been made in both particle and fluid simulations of fine-scale turbulence and large-scale dynamics, giving increasingly good agreement between experimental observations and computational modeling. This was made possible by innovative advances in analytic and computational methods for developing reduced descriptions of physics phenomena spanning widely disparate temporal and spatial scales together with access to powerful new computational resources. In particular, the fusion energy science community has made excellent progress in developing advanced codes for which computer run-time and problem size scale well with the number of processors on massively parallel machines (MPP's). A good example is the effective usage of the full power of multi-teraflop (multi-trillion floating point computations per second) MPP's to produce three-dimensional, general geometry, nonlinear particle simulations which have accelerated progress in understanding the nature of turbulence self-regulation by zonal flows. It should be emphasized that these calculations, which typically utilized billions of particles for thousands of time-steps, would not have been possible without access to powerful present generation MPP computers and the associated diagnostic and visualization capabilities. In general, results from advanced simulations provide great encouragement for being able to include increasingly realistic dynamics to enable deeper physics insights into plasmas in both natural and laboratory environments. The associated scientific excitement should serve to

  7. Influence of the radio-frequency power on the physical and optical properties of plasma polymerized cyclohexane thin films

    Energy Technology Data Exchange (ETDEWEB)

    Manaa, C., E-mail: chadlia.el.manaa@gmail.com [Laboratoire de Physique de la Matière Condensée, Université de Picardie Jules Verne, UFR des Sciences d' Amiens, 33 rue Saint Leu, 80039 Amiens CEDEX 2 (France); Laboratoire des Matériaux Avancés et Phénomènes Quantiques, Université de Tunis El-Manar, Faculté des Sciences de Tunis, Campus universitaire El-Manar, 1068 Tunis (Tunisia); Lejeune, M. [Laboratoire de Physique de la Matière Condensée, Université de Picardie Jules Verne, UFR des Sciences d' Amiens, 33 rue Saint Leu, 80039 Amiens CEDEX 2 (France); Kouki, F. [Laboratoire des Matériaux Avancés et Phénomènes Quantiques, Université de Tunis El-Manar, Faculté des Sciences de Tunis, Campus universitaire El-Manar, 1068 Tunis (Tunisia); Durand-Drouhin, O. [Laboratoire de Physique de la Matière Condensée, Université de Picardie Jules Verne, UFR des Sciences d' Amiens, 33 rue Saint Leu, 80039 Amiens CEDEX 2 (France); Bouchriha, H. [Laboratoire des Matériaux Avancés et Phénomènes Quantiques, Université de Tunis El-Manar, Faculté des Sciences de Tunis, Campus universitaire El-Manar, 1068 Tunis (Tunisia); and others

    2014-06-02

    We investigate in the present study the effects of the radio-frequency plasma power on the opto-electronical properties of the polymeric amorphous hydrogenated carbon thin films deposited at room temperature and different radio-frequency powers by plasma-enhanced chemical vapor deposition method using cyclohexane as precursor. A combination of U.V.–Visible and infrared transmission measurements is applied to characterize the bonding and electronic properties of these films. Some film properties namely surface roughness, contact angle, surface energy, and optical properties are found to be significantly influenced by the radio-frequency power. The changes in these properties are analyzed within the microstructural modifications occurring during growth. - Highlights: • Effects of the radio-frequency power on the optoelectronic properties of thin films • Elaboration of plasma polymerized thin films using cyclohexane as precursor gas • The use of U.V.–Visible-infrared transmission, and optical gap • Study of the surface topography of the films by using Atomic Force microscopy • The use of a capacitively coupled plasma enhanced chemical vapor deposition method.

  8. Influence of the radio-frequency power on the physical and optical properties of plasma polymerized cyclohexane thin films

    International Nuclear Information System (INIS)

    Manaa, C.; Lejeune, M.; Kouki, F.; Durand-Drouhin, O.; Bouchriha, H.

    2014-01-01

    We investigate in the present study the effects of the radio-frequency plasma power on the opto-electronical properties of the polymeric amorphous hydrogenated carbon thin films deposited at room temperature and different radio-frequency powers by plasma-enhanced chemical vapor deposition method using cyclohexane as precursor. A combination of U.V.–Visible and infrared transmission measurements is applied to characterize the bonding and electronic properties of these films. Some film properties namely surface roughness, contact angle, surface energy, and optical properties are found to be significantly influenced by the radio-frequency power. The changes in these properties are analyzed within the microstructural modifications occurring during growth. - Highlights: • Effects of the radio-frequency power on the optoelectronic properties of thin films • Elaboration of plasma polymerized thin films using cyclohexane as precursor gas • The use of U.V.–Visible-infrared transmission, and optical gap • Study of the surface topography of the films by using Atomic Force microscopy • The use of a capacitively coupled plasma enhanced chemical vapor deposition method

  9. Advances of dense plasma physics with particle accelerators

    Energy Technology Data Exchange (ETDEWEB)

    Hoffmann, D.H.H.; Blazevic, A.; Rosmej, O.N.; Spiller, P.; Tahir, N.A.; Weyrich, K. [Gesellschaft fur Schwerionenforschung, GSI-Darmstadt, Plasmaphysik, Darmstadt (Germany); Hoffmann, D.H.H.; Dafni, T.; Kuster, M.; Roth, M.; Udrea, S.; Varentsov, D. [DarmstadtTechnische Univ., Institut fur Kernphysik (Germany); Jacoby, J. [Frankfurt Univ., Institut fur Angewandte Physik (Germany); Zioutas, K. [European Organization for Nuclear Research (CERN), Geneve (Switzerland); Patras Univ., Dept. of Physics (Greece); Sharkov, B.Y. [Institut for Theoretical and Experimental Physics ITEP, Moscow (Russian Federation)

    2006-06-15

    High intensity particle beams from accelerators induce high energy density states in bulk matter. The SIS-18 heavy ion synchrotron at GSI (Darmstadt, Germany) now routinely delivers intense Uranium beams that deposit about 1 kJ/g of specific energy in solid matter, e.g. solid lead. Due to the specific nature of the ion-matter interaction a volume of matter is heated uniformly with low gradients of temperature and pressure in the initial phase, depending on the pulse structure of the beam with respect to space and time. The new accelerator complex FAIR (Facility for Antiproton and ion Research) at GSI as well as beams from the CERN large hadron collider (LHC) will vastly extend the accessible parameter range for high energy density states. One special piece of accelerator equipment a superconducting high field dipole magnet, developed for the LHC at CERN is now serving as a key instrument to diagnose the dense plasma of the sun interior plasma, thus providing an extremely interesting combination of accelerator physics, plasma physics and particle physics. (authors)

  10. Advances of dense plasma physics with particle accelerators

    International Nuclear Information System (INIS)

    Hoffmann, D.H.H.; Blazevic, A.; Rosmej, O.N.; Spiller, P.; Tahir, N.A.; Weyrich, K.; Hoffmann, D.H.H.; Dafni, T.; Kuster, M.; Roth, M.; Udrea, S.; Varentsov, D.; Jacoby, J.; Zioutas, K.; Sharkov, B.Y.

    2006-01-01

    High intensity particle beams from accelerators induce high energy density states in bulk matter. The SIS-18 heavy ion synchrotron at GSI (Darmstadt, Germany) now routinely delivers intense Uranium beams that deposit about 1 kJ/g of specific energy in solid matter, e.g. solid lead. Due to the specific nature of the ion-matter interaction a volume of matter is heated uniformly with low gradients of temperature and pressure in the initial phase, depending on the pulse structure of the beam with respect to space and time. The new accelerator complex FAIR (Facility for Antiproton and ion Research) at GSI as well as beams from the CERN large hadron collider (LHC) will vastly extend the accessible parameter range for high energy density states. One special piece of accelerator equipment a superconducting high field dipole magnet, developed for the LHC at CERN is now serving as a key instrument to diagnose the dense plasma of the sun interior plasma, thus providing an extremely interesting combination of accelerator physics, plasma physics and particle physics. (authors)

  11. Atmospheric pressure plasma jet utilizing Ar and Ar/H2O mixtures and its applications to bacteria inactivation

    International Nuclear Information System (INIS)

    Cheng Cheng; Shen Jie; Xiao De-Zhi; Xie Hong-Bing; Lan Yan; Fang Shi-Dong; Meng Yue-Dong; Chu Paul K

    2014-01-01

    An atmospheric pressure plasma jet generated with Ar with H 2 O vapor is characterized and applied to inactivation of Bacillus subtilis spores. The emission spectra obtained from Ar/H 2 O plasma shows a higher intensity of OH radicals compared to pure argon at a specified H 2 O concentration. The gas temperature is estimated by comparing the simulated spectra of the OH band with experimental spectra. The excitation electron temperature is determined from the Boltzmann's plots and Stark broadening of the hydrogen Balmer H β line is applied to measure the electron density. The gas temperature, excitation electron temperature, and electron density of the plasma jet decrease with the increase of water vapor concentration at a fixed input voltage. The bacteria inactivation rate increases with the increase of OH generation reaching a maximum reduction at 2.6% (v/v) water vapor. Our results also show that the OH radicals generated by the Ar/H 2 O plasma jet only makes a limited contribution to spore inactivation and the shape change of the spores before and after plasma irradiation is discussed. (physics of gases, plasmas, and electric discharges)

  12. Physics in Brazil in the next decade: atomic, molecular and optical physics, biological, chemical and medical physics, physics teaching and plasma physics

    International Nuclear Information System (INIS)

    1990-01-01

    This is an overview of physics in Brazil in the next decade. It is specially concerned with atomic, molecular and optical physics, biological chemical and medical physics, and also teaching of physics and plasma physics. It presents the main research groups in Brazil in the above mentioned areas. It talks as well, about financing new projects and the costs involved to improve these areas. (A.C.A.S.)

  13. Ion-substituted calcium phosphate coatings deposited by plasma-assisted techniques: A review.

    Science.gov (United States)

    Graziani, Gabriela; Bianchi, Michele; Sassoni, Enrico; Russo, Alessandro; Marcacci, Maurilio

    2017-05-01

    One of the main critical aspects behind the failure or success of an implant resides in its ability to fast bond with the surrounding bone. To boost osseointegration, the ideal implant material should exhibit composition and structure similar to those of biological apatite. To this aim, the most common approach is to coat the implant surface with a coating of hydroxyapatite (HA), resembling the main component of mineralized tissues. However, bone apatite is a non-stoichiometric, multi-substituted poorly-crystalline apatite, containing significant amounts of foreign ions, with high biological relevance. Ion-substituted HAs can be deposited by so called "wet methods", which are however poorly reproducible and hardly industrially feasible; at the same time bioactive coatings realized by plasma assisted method, interesting for industrial applications, are generally made of stoichiometric (i.e. un-substituted) HA. In this work, the literature concerning plasma-assisted deposition methods used to deposit ion-substituted HA was reviewed and the last advances in this field discussed. The ions taken into exam are those present in mineralized tissues and possibly having biological relevance. Notably, literature about this topic is scarce, especially relating to in vivo animal and clinical trials; further on, available studies evaluate the performance of substituted coatings from different points of view (mechanical properties, bone growth, coating dissolution, etc.) which hinders a proper evaluation of the real efficacy of ion-doped HA in promoting bone regeneration, compared to stoichiometric HA. Moreover, results obtained for plasma sprayed coatings (which is the only method currently employed for deposition at the industrial scale) were collected and compared to those of novel plasma-assisted techniques, that are expected to overcome its limitations. Data so far available on the topic were discussed to highlight advantages, limitations and possible perspectives of these

  14. Ultrasound-assisted vapor generation of mercury

    Energy Technology Data Exchange (ETDEWEB)

    Ribeiro, Anderson S.; Vieira, Mariana A. [Universidade Federal de Santa Catarina, Departamento de Quimica, Florianopolis, SC (Brazil); Willie, Scott; Sturgeon, Ralph E. [National Research Council Canada, Institute for National Measurement Standards, Ottawa, ON (Canada)

    2007-06-15

    Cold vapor generation arising from reduction of both Hg{sup 2+} and CH{sub 3}Hg{sup +} occurs using ultrasonic (US) fields of sufficient density to achieve both localized heating as well as radical-based attack in solutions of formic and acetic acids and tetramethylammonium hydroxide (TMAH). A batch sonoreactor utilizing an ultrasonic probe as an energy source and a flow through system based on a US bath were optimized for this purpose. Reduction of CH{sub 3}Hg{sup +} to Hg{sup 0} occurs only at relatively high US field density (>10 W cm{sup -3} of sample solution) and is thus not observed when a conventional US bath is used for cold vapor generation. Speciation of mercury is thus possible by altering the power density during the measurement process. Thermal reduction of Hg{sup 2+} is efficient in formic acid and TMAH at 70 C and occurs in the absence of the US field. Room temperature studies with the batch sonoreactor reveal a slow reduction process, producing temporally broad signals having an efficiency of approximately 68% of that arising from use of a conventional SnCl{sub 2} reduction system. Molecular species of mercury are generated at high concentrations of formic and acetic acid. Factors affecting the generation of Hg{sup 0} were optimized and the batch sonoreactor used for the determination of total mercury in SLRS-4 river water reference material. (orig.)

  15. FOREWORD: International Workshop on Theoretical Plasma Physics: Modern Plasma Science. Sponsored by the Abdus Salam ICTP, Trieste, Italy

    Science.gov (United States)

    Shukla, P. K.; Stenflo, L.

    2005-01-01

    The "International Workshop on Theoretical Plasma Physics: Modern Plasma Science was held at the Abdus Salam International Centre for Theoretical Physics (Abdus Salam ICTP), Trieste, Italy during the period 5 16 July 2004. The workshop was organized by P K Shukla, R Bingham, S M Mahajan, J T Mendonça, L Stenflo, and others. The workshop enters into a series of previous biennial activities that we have held at the Abdus Salam ICTP since 1989. The scientific program of the workshop was split into two parts. In the first week, most of the lectures dealt with problems concerning astrophysical plasmas, while in the second week, diversity was introduced in order to address the important role of plasma physics in modern areas of science and technology. Here, attention was focused on cross-disciplinary topics including Schrödinger-like models, which are common in plasma physics, nonlinear optics, quantum engineering (Bose-Einstein condensates), and nonlinear fluid mechanics, as well as emerging topics in fundamental theoretical and computational plasma physics, space and dusty plasma physics, laser-plasma interactions, etc. The workshop was attended by approximately hundred-twenty participants from the developing countries, Europe, USA, and Japan. A large number of participants were young researchers from both the developing and industrial countries, as the directors of the workshop tried to keep a good balance in inviting senior and younger generations of theoretical, computational and experimental plasma physicists to our Trieste activities. In the first week, there were extensive discussions on the physics of electromagnetic wave emissions from pulsar magnetospheres, relativistic magnetohydrodynamics of astrophysical objects, different scale sizes turbulence and structures in astrophysics. The scientific program of the second week included five review talks (60 minutes) and about thirty invited topical lectures (30 minutes). In addition, during the two weeks, there

  16. Fusion programs in applied plasma physics

    International Nuclear Information System (INIS)

    1993-07-01

    This report summarizes the progress made in theoretical and experimental research funded by US Department of Energy Grant No. DE-FG03-92ER54150, during the period July 11, 1992 through May 31, 1993. Four main tasks are reported: applied plasma physics theory, alpha particle diagnostic, edge and current density diagnostic, and plasma rotation drive. The report also discusses the research plans for the theory and experimental programs for the next grant year. Reports and publications supported by the grant during this period are listed in the final section

  17. Determination of composition and physical properties of partially ionized plasmas in the function of temperature

    International Nuclear Information System (INIS)

    Zaporowski, B.

    1992-01-01

    The investigations of various kinds of partially ionized plasma were conducted for the pressure of 0.1 MPa and in the range of temperature of 298.15 K to 24000 K. The physical properties of various kinds of partially ionized plasma depend mainly of their composition and temperature. The composition of particular kinds of partially ionized plasmas varies also in the function of temperature. Simultaneous going on of physical and chemical processes in plasma is the reason of difficulties in the calculations of plasma's physical properties. The use of the laws of macroscopic thermodynamics for the calculations of physical properties of partially ionized plasma is impossible. There are enough exact methods for measuring of physical properties of partially ionized plasma. For these reasons the theoretical method using the base of statistic physics was used to calculate the composition and physical properties of various kinds of partially ionized plasma. (author) 2 refs., 2 figs

  18. Nanostructure and optical properties of CeO{sub 2} thin films obtained by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Barreca, D.; Bruno, G.; Gasparotto, A.; Losurdo, M.; Tondello, E

    2003-12-15

    In the present study, Spectroscopic Ellipsometry (SE) is used to investigate the interrelations between nanostructure and optical properties of CeO{sub 2} thin films deposited by Plasma-Enhanced Chemical Vapor Deposition (PE-CVD). The layers were synthesized in Ar and Ar-O{sub 2} plasmas on Si(100) substrates at temperatures lower than 300 deg. C. Both the real and imaginary parts of the complex dielectric functions and, subsequently, the optical constants of the films are derived up to 6.0 eV photon energy. Particular attention is devoted to the influence of synthesis conditions and sample properties on the optical response, taking into account the effects of surface roughness and SiO{sub 2} interface layer on Si.

  19. Structured nanocarbon on various metal foils by microwave plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Rius, G; Yoshimura, M

    2013-01-01

    We present a versatile process for the engineering of nanostructures made of crystalline carbon on metal foils. The single step process by microwave plasma-enhance chemical vapor deposition is demonstrated for various substrate materials, such as Ni or Cu. Either carbon nanotubes (CNT) or carbon nanowalls (CNW) are obtained under same growth conditions and without the need of additional catalyst. The use of spacer and insulator implies a certain control over the kind of allotropes that are obtained. High density and large surface area are morphological characteristics of the thus obtained C products. The possibility of application on many metals, and in the alloy composition, on as-delivered commercially available foils indicates that this strategy can be adapted to a bunch of specific applications, while the production of C nanostructures is of remarkable simplicity.

  20. Nonlinear aspects of quantum plasma physics

    International Nuclear Information System (INIS)

    Shukla, Padma K; Eliasson, B

    2010-01-01

    Dense quantum plasmas are ubiquitous in planetary interiors and in compact astrophysical objects (e.g., the interior of white dwarf stars, in magnetars, etc.), in semiconductors and micromechanical systems, as well as in the next-generation intense laser-solid density plasma interaction experiments and in quantum X-ray free-electron lasers. In contrast to classical plasmas, quantum plasmas have extremely high plasma number densities and low temperatures. Quantum plasmas are composed of electrons, positrons and holes, which are degenerate. Positrons (holes) have the same (slightly different) mass as electrons, but opposite charge. The degenerate charged particles (electrons, positrons, and holes) obey the Fermi-Dirac statistics. In quantum plasmas, there are new forces associated with (i) quantum statistical electron and positron pressures, (ii) electron and positron tunneling through the Bohm potential, and (iii) electron and positron angular momentum spin. Inclusion of these quantum forces allows the existence of very high-frequency dispersive electrostatic and electromagnetic waves (e.g., in the hard X-ray and gamma-ray regimes) with extremely short wavelengths. In this review paper, we present theoretical backgrounds for some important nonlinear aspects of wave-wave and wave-electron interactions in dense quantum plasmas. Specifically, we focus on nonlinear electrostatic electron and ion plasma waves, novel aspects of three-dimensional quantum electron fluid turbulence, as well as nonlinearly coupled intense electromagnetic waves and localized plasma wave structures. Also discussed are the phase-space kinetic structures and mechanisms that can generate quasistationary magnetic fields in dense quantum plasmas. The influence of the external magnetic field and the electron angular momentum spin on the electromagnetic wave dynamics is discussed. Finally, future perspectives of the nonlinear quantum plasma physics are highlighted. (reviews of topical problems)

  1. Paradigm transition in cosmic plasma physics

    International Nuclear Information System (INIS)

    Alfven, H.

    1982-06-01

    In situ measurements in the magnetospheres together with general advancement in plasma physics are now necessitating introduction of a number of effects that have been recently discovered or earlier neglected. Examples are: 1) Electric double layers (like in the lower magnetosphere) 2) Thin current layer (like in the magnetopause) giving space a cellular structure. 3) Current produced filaments (e.g. in prominences, solar corona and interstellar clouds). 4) Further it is important to use the electric current (particle) description and to study the whole circuit in which the current flows. 5) The pinch effect cannot be neglected as is now usually done. 6) The critical velocity phenomenon is essential, for example for the band structure of solar systems. 7) Theory of dusty plasmas is important. The result is a change in so many theories in cosmic plasma physics that it is appropriate to speak of an introduction of a new paradigm. This should be based on empirical knowledge from magnetospheric and laboratory investigations. Its application to astrophysics in general, including cosmology, will necessarily lead to a revision of e.g. the present theories of the formation of stars, planets and satellites. It is doubtful whether the big bang cosmology will survive. (Author)

  2. Development of amorphous silicon based EUV hardmasks through physical vapor deposition

    Science.gov (United States)

    De Silva, Anuja; Mignot, Yann; Meli, Luciana; DeVries, Scott; Xu, Yongan; Seshadri, Indira; Felix, Nelson M.; Zeng, Wilson; Cao, Yong; Phan, Khoi; Dai, Huixiong; Ngai, Christopher S.; Stolfi, Michael; Diehl, Daniel L.

    2017-10-01

    Extending extreme ultraviolet (EUV) single exposure patterning to its limits requires more than photoresist development. The hardmask film is a key contributor in the patterning stack that offers opportunities to enhance lithographic process window, increase pattern transfer efficiency, and decrease defectivity when utilizing very thin film stacks. This paper introduces the development of amorphous silicon (a-Si) deposited through physical vapor deposited (PVD) as an alternative to a silicon ARC (SiARC) or silicon-oxide-type EUV hardmasks in a typical trilayer patterning scheme. PVD offers benefits such as lower deposition temperature, and higher purity, compared to conventional chemical vapor deposition (CVD) techniques. In this work, sub-36nm pitch line-space features were resolved with a positive-tone organic chemically-amplified resist directly patterned on PVD a-Si, without an adhesion promotion layer and without pattern collapse. Pattern transfer into the underlying hardmask stack was demonstrated, allowing an evaluation of patterning metrics related to resolution, pattern transfer fidelity, and film defectivity for PVD a-Si compared to a conventional tri-layer patterning scheme. Etch selectivity and the scalability of PVD a-Si to reduce the aspect ratio of the patterning stack will also be discussed.

  3. 28. Zvenigorod conference on the plasma physics and controlled thermonuclear synthesis. Theses of reports

    International Nuclear Information System (INIS)

    2001-01-01

    Theses of reports, presented at the 28th Conference on the plasma physics and controlled thermonuclear synthesis (Zvenigorod, 19-23 February 2001) are published. 246 reports were heard at the following sections: magnetic confinement, theory and experiments; inertial thermonuclear synthesis; plasma processes and physics of gas-discharge plasma; physical bases of plasma technologies. 17 reports had the summarizing character [ru

  4. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  5. Synthesis and analysis of silicon nanowire below Si-Au eutectic temperatures using very high frequency plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Hamidinezhad, Habib; Wahab, Yussof; Othaman, Zulkafli; Ismail, Abd Khamim

    2011-01-01

    Silicon nanowires (SiNWs) were synthesized from pure silane precursor gas and Au nanoparticles catalyst at below Au-Si eutectic temperature. The SiNWs were grown onto Si (1 1 1) substrates using very high frequency plasma enhanced chemical vapor deposition via a vapor-solid-solid mechanism at temperatures ranging from 363 to 230 deg. C. The morphology of the synthesized SiNWs was characterized by means of field emission scanning electron microscope equipped with energy dispersive X-ray, high resolution transmission electron microscopy, X-ray diffraction technique and Raman spectroscope. Results demonstrated that the SiNWs can be grown at the temperature as low as 250 deg. C. In addition, it was revealed that the grown wires were silicon-crystallized.

  6. Assistive Technology for Persons with Physical Disabilities: Evaluation and Outcomes

    NARCIS (Netherlands)

    Rigby, P.J.

    2009-01-01

    This thesis presents a compilation of published studies that evaluated assistive technology interventions for children and adults with physical disabilities. The first chapter introduces the need for and the challenges involved in studying the outcomes of assistive technology interventions. The

  7. Plasma-assisted CO2 conversion: optimizing performance via microwave power modulation

    Science.gov (United States)

    Britun, Nikolay; Silva, Tiago; Chen, Guoxing; Godfroid, Thomas; van der Mullen, Joost; Snyders, Rony

    2018-04-01

    Significant improvement in the energy efficiency of plasma-assisted CO2 conversion is achieved with applied power modulation in a surfaguide microwave discharge. The obtained values of CO2 conversion and energy efficiency are, respectively, 0.23 and 0.33 for a 0.95 CO2  +  0.05 N2 gas mixture. Analysis of the energy relaxation mechanisms shows that power modulation can potentially affect the vibrational-translational energy exchange in plasma. In our case, however, this mechanism does not play a major role, likely due to the low degree of plasma non-equilibrium in the considered pressure range. Instead, the gas residence time in the discharge active zone together with plasma pulse duration are found to be the main factors affecting the CO2 conversion efficiency at low plasma pulse repetition rates. This effect is confirmed experimentally by the in situ time-resolved two-photon absorption laser-induced fluorescence measurements of CO molecular density produced in the discharge as a result of CO2 decomposition.

  8. Fundamental aspects of plasma chemical physics transport

    CERN Document Server

    Capitelli, Mario; Laricchiuta, Annarita

    2013-01-01

    Fundamental Aspects of Plasma Chemical Physics: Tranpsort develops basic and advanced concepts of plasma transport to the modern treatment of the Chapman-Enskog method for the solution of the Boltzmann transport equation. The book invites the reader to consider actual problems of the transport of thermal plasmas with particular attention to the derivation of diffusion- and viscosity-type transport cross sections, stressing the role of resonant charge-exchange processes in affecting the diffusion-type collision calculation of viscosity-type collision integrals. A wide range of topics is then discussed including (1) the effect of non-equilibrium vibrational distributions on the transport of vibrational energy, (2) the role of electronically excited states in the transport properties of thermal plasmas, (3) the dependence of transport properties on the multitude of Saha equations for multi-temperature plasmas, and (4) the effect of the magnetic field on transport properties. Throughout the book, worked examples ...

  9. Variational integrators in plasma physics

    International Nuclear Information System (INIS)

    Kraus, Michael

    2013-01-01

    To a large extent, research in plasma physics is concerned with the description and analysis of energy and momentum transfer between different scales and different kinds of waves. In the numerical modelling of such phenomena it appears to be crucial to describe the transfer processes preserving the underlying conservation laws in order to prevent physically spurious solutions. In this work, special numerical methods, so called variational integrators, are developed for several models of plasma physics. Special attention is given to conservation properties like conservation of energy and momentum. By design, variational integrators are applicable to all systems that have a Lagrangian formulation. Usually, equations of motion are derived by Hamilton's action principle and then discretised. In the application of the variational integrator theory, the order of these steps is reversed. At first, the Lagrangian and the accompanying variational principle are discretised, such that discrete equations of motion can be obtained directly by applying the discrete variational principle to the discrete Lagrangian. The advantage of this approach is that the resulting discretisation automatically retains the conservation properties of the continuous system. Following an overview of the geometric formulation of classical mechanics and field theory, which forms the basis of the variational integrator theory, variational integrators are introduced in a framework adapted to problems from plasma physics. The applicability of variational integrators is explored for several important models of plasma physics: particle dynamics (guiding centre dynamics), kinetic theory (the Vlasov-Poisson system) and fluid theory (magnetohydrodynamics). These systems, with the exception of guiding centre dynamics, do not possess a Lagrangian formulation to which the variational integrator methodology is directly applicable. Therefore the theory is extended by linking it to Ibragimov's theory of

  10. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    Energy Technology Data Exchange (ETDEWEB)

    Sulyaeva, Veronica S., E-mail: veronica@niic.nsc.ru [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A. [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, Valerii G. [Laboratory of Physical Principles for Integrated Microelectronics, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kirienko, Viktor V. [Laboratory of Nonequilibrium Semiconductors Systems, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation)

    2014-05-02

    Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers (93%). • BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9.

  11. Blue-violet InGaN laser diodes grown on bulk GaN substrates by plasma-assisted molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Skierbiszewski, C.; Wasilewski, Z.R.; Siekacz, M.; Feduniewicz, A.; Perlin, P.; Wisniewski, P.; Borysiuk, J.; Grzegory, I.; Leszczynski, M.; Suski, T.; Porowski, S.

    2005-01-01

    We report on the InGaN multiquantum laser diodes (LDs) made by rf plasma-assisted molecular beam epitaxy (PAMBE). The laser operation at 408 nm is demonstrated at room temperature with pulsed current injections using 50 ns pulses at 0.25% duty cycle. The threshold current density and voltage for the LDs with cleaved uncoated mirrors are 12 kA/cm 2 (900 mA) and 9 V, respectively. High output power of 0.83 W is obtained during pulse operation at 3.6 A and 9.6 V bias with the slope efficiency of 0.35 W/A. The laser structures are deposited on the high-pressure-grown low dislocation bulk GaN substrates taking full advantage of the adlayer enhanced lateral diffusion channel for adatoms below the dynamic metallic cover. Our devices compare very favorably to the early laser diodes fabricated using the metalorganic vapor phase epitaxy technique, providing evidence that the relatively low growth temperatures used in this process pose no intrinsic limitations on the quality of the blue optoelectronic components that can be fabricated using PAMBE

  12. Numerical study on the splitting of a vapor bubble in the ultrasonic assisted EDM process with the curved tool and workpiece.

    Science.gov (United States)

    Shervani-Tabar, M T; Seyed-Sadjadi, M H; Shabgard, M R

    2013-01-01

    Electrical discharge machining (EDM) is a powerful and modern method of machining. In the EDM process, a vapor bubble is generated between the tool and the workpiece in the dielectric liquid due to an electrical discharge. In this process dynamic behavior of the vapor bubble affects machining process. Vibration of the tool surface affects bubble behavior and consequently affects material removal rate (MRR). In this paper, dynamic behavior of the vapor bubble in an ultrasonic assisted EDM process after the appearance of the necking phenomenon is investigated. It is noteworthy that necking phenomenon occurs when the bubble takes the shape of an hour-glass. After the appearance of the necking phenomenon, the vapor bubble splits into two parts and two liquid jets are developed on the boundaries of the upper and lower parts of the vapor bubble. The liquid jet developed on the upper part of the bubble impinges to the tool and the liquid jet developed on the lower part of the bubble impinges to the workpiece. These liquid jets cause evacuation of debris from the gap between the tool and the workpiece and also cause erosion of the workpiece and the tool. Curved tool and workpiece affect the shape and the velocity of the liquid jets during splitting of the vapor bubble. In this paper dynamics of the vapor bubble after its splitting near the curved tool and workpiece is investigated in three cases. In the first case surfaces of the tool and the workpiece are flat, in the second case surfaces of the tool and the workpiece are convex and in the third case surfaces of the tool and workpiece are concave. Numerical results show that in the third case, the velocity of liquid jets which are developed on the boundaries of the upper and lower parts of the vapor bubble after its splitting have the highest magnitude and their shape are broader than the other cases. Copyright © 2012 Elsevier B.V. All rights reserved.

  13. Plasma-Assisted Synthesis of NiCoP for Efficient Overall Water Splitting

    KAUST Repository

    Liang, Hanfeng; Gandi, Appala; Anjum, Dalaver H.; Wang, Xianbin; Schwingenschlö gl, Udo; Alshareef, Husam N.

    2016-01-01

    be further enhanced by substitution with extrinsic metals, though very little work has been conducted in this area. Here we present for the first time a novel PH plasma-assisted approach to convert NiCo hydroxides into ternary NiCoP. The obtained Ni

  14. Control of ion content and nitrogen species using a mixed chemistry plasma for GaN grown at extremely high growth rates >9 μm/h by plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Gunning, Brendan P.; Clinton, Evan A.; Merola, Joseph J.; Doolittle, W. Alan; Bresnahan, Rich C.

    2015-10-01

    Utilizing a modified nitrogen plasma source, plasma assisted molecular beam epitaxy (PAMBE) has been used to achieve higher growth rates in GaN. A higher conductance aperture plate, combined with higher nitrogen flow and added pumping capacity, resulted in dramatically increased growth rates up to 8.4 μm/h using 34 sccm of N2 while still maintaining acceptably low operating pressure. It was further discovered that argon could be added to the plasma gas to enhance growth rates up to 9.8 μm/h, which was achieved using 20 sccm of N2 and 7.7 sccm Ar flows at 600 W radio frequency power, for which the standard deviation of thickness was just 2% over a full 2 in. diameter wafer. A remote Langmuir style probe employing the flux gauge was used to indirectly measure the relative ion content in the plasma. The use of argon dilution at low plasma pressures resulted in a dramatic reduction of the plasma ion current by more than half, while high plasma pressures suppressed ion content regardless of plasma gas chemistry. Moreover, different trends are apparent for the molecular and atomic nitrogen species generated by varying pressure and nitrogen composition in the plasma. Argon dilution resulted in nearly an order of magnitude achievable growth rate range from 1 μm/h to nearly 10 μm/h. Even for films grown at more than 6 μm/h, the surface morphology remained smooth showing clear atomic steps with root mean square roughness less than 1 nm. Due to the low vapor pressure of Si, Ge was explored as an alternative n-type dopant for high growth rate applications. Electron concentrations from 2.2 × 1016 to 3.8 × 1019 cm-3 were achieved in GaN using Ge doping, and unintentionally doped GaN films exhibited low background electron concentrations of just 1-2 × 1015 cm-3. The highest growth rates resulted in macroscopic surface features due to Ga cell spitting, which is an engineering challenge still to be addressed. Nonetheless, the dramatically enhanced growth rates demonstrate

  15. Chemistry of plasma-polymerized vinyltriethoxysilane controlled by deposition conditions

    Czech Academy of Sciences Publication Activity Database

    Čech, V.; Zemek, Josef; Peřina, Vratislav

    2008-01-01

    Roč. 5, č. 8 (2008), s. 745-752 ISSN 1612-8850 Grant - others:GAČR(CZ) GA104/06/0437 Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z10480505 Keywords : ESCA/XPS * FTIR * plasma-enhanced chemical vapor deposition (PECVD) * Rutherford back-scattering (RBS) * thin films Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.921, year: 2008

  16. Start-up assist by magnetized plasma flow injection in TPE-RX reversed-field pinch

    Energy Technology Data Exchange (ETDEWEB)

    Asai, T. [College of Science and Technology, Nihon University, 1-8 Kanda-Surugadai, Chiyoda-ku, Tokyo 101-8308 (Japan)]. E-mail: asai@phys.cst.nihon-u.ac.jp; Nagata, M. [Graduate School of Engineering, University of Hyogo, Himeji (Japan); Koguchi, H. [National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba (Japan); Hirano, Y. [National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba (Japan); Sakakita, H. [National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba (Japan); Yambe, K. [National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba (Japan); Kiyama, S. [National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba (Japan)

    2006-11-15

    A reversed-field pinch (RFP) start-up assisted by a magnetized plasma flow injection was demonstrated for the first time on a TPE-RX machine. This sequence of experiments aimed to establish a new method of ionization, gas-fill and helicity injection in the start-up phase of an RFP. In this start-up method, magnetized and well-ionized plasma is formed by a magnetized coaxial plasma gun and injected into the torus chamber as an initial pre-ionized plasma for RFP formation. In the initial experiments, attenuated density pump-out and comparatively slow decay of the toroidal flux and plasma current were observed as evidence of its being an effective start-up method.

  17. Android-assisted physics mobile learning to improve senior high school students' divergent thinking skills and physics HOTS

    Science.gov (United States)

    Mardiana, Nana; Kuswanto, Heru

    2017-08-01

    The aims of the research concerned here were to reveal (1) the characteristics of Android-assisted PML (physics mobile learning) to improve SMA (sekolah menengah atas, Indonesian senior high school) students' divergent thinking skills and physics HOTS (higher order thinking skills); (2) the feasibility of the Android-assisted PML; and (3) the influence of using the Android-assisted PML on improvement in SMA students' divergent thinking skills and physics HOTS. The7 research was of the R&D (research and development) type, adapted from theBorg-&-Gall development model. The research data were analyzed by means of MANOVA with the significance level of 5%. The results are as follows. (1) The product of the development, a learning media in software form with the android package(apk) format, is named PML (to refer to Physics Mobile Learning), which has such characterictics as being operable with use of Android devicesand being very good in quality in the aspect oflearning, material, software technology, and audiovisual appearance. 2) The developed learning media referred to as PML is appropriate for learning activity according to evaluation by a material expert, a media expert, peer reviewers, and physics teachers as well as according to results of students' tryouts. (3) The use of the Android-assisted PML media product could improve SMA students' divergent thinking skillsand physics HOTS with the respective high-category gain scores of 0.701 and 0.759.

  18. Branched carbon nanofiber network synthesis at room temperature using radio frequency supported microwave plasmas

    OpenAIRE

    Boskovic, BO; Stolojan, V; Zeze, DA; Forrest, RD; Silva, SRP; Haq, S

    2004-01-01

    Carbon nanofibers have been grown at room temperature using a combination of radio frequency and microwave assisted plasma-enhanced chemical vapor deposition. The nanofibers were grown, using Ni powder catalyst, onto substrates kept at room temperature by using a purposely designed water-cooled sample holder. Branched carbon nanofiber growth was obtained without using a template resulting in interconnected carbon nanofiber network formation on substrates held at room temperatur...

  19. Direct growth of self-crystallized graphene and graphite nanoballs with Ni vapor-assisted growth: from controllable growth to material characterization.

    Science.gov (United States)

    Yen, Wen-Chun; Chen, Yu-Ze; Yeh, Chao-Hui; He, Jr-Hau; Chiu, Po-Wen; Chueh, Yu-Lun

    2014-05-09

    A directly self-crystallized graphene layer with transfer-free process on arbitrary insulator by Ni vapor-assisted growth at growth temperatures between 950 to 1100 °C via conventional chemical vapor deposition (CVD) system was developed and demonstrated. Domain sizes of graphene were confirmed by Raman spectra from ~12 nm at growth temperature of 1000 °C to ~32 nm at growth temperature of 1100 °C, respectively. Furthermore, the thickness of the graphene is controllable, depending on deposition time and growth temperature. By increasing growth pressure, the growth of graphite nano-balls was preferred rather than graphene growth. The detailed formation mechanisms of graphene and graphite nanoballs were proposed and investigated in detail. Optical and electrical properties of graphene layer were measured. The direct growth of the carbon-based materials with free of the transfer process provides a promising application at nanoelectronics.

  20. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires

    International Nuclear Information System (INIS)

    Hou, W C; Hong, Franklin Chau-Nan

    2009-01-01

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 deg. C.

  1. Studies of electron cyclotron resonance ion source plasma physics

    International Nuclear Information System (INIS)

    Tarvainen, O.

    2005-01-01

    This thesis consists of an introduction to the plasma physics of electron cyclotron resonance ion sources (ECRIS) and a review of the results obtained by the author and co-workers including discussion of related work by others. The thesis begins with a theoretical discussion dealing with plasma physics relevant for the production of highly charged ions in ECR ion source plasmas. This is followed by an overview of different techniques, such as gas mixing and double frequency heating, that can be used to improve the performance of this type of ion source. The experimental part of the work consists of studies related to ECRIS plasma physics. The effect of the gas mixing technique on the production efficiency of different ion beams was studied with both gaseous and solid materials. It was observed that gas mixing improves the confinement of the heavier element while the confinement of the lighter element is reduced. When the effect of gas mixing on MIVOC-plasmas was studied with several mixing gases it was observed that applying this technique can reduce the inevitable carbon contamination by a significant factor. In order to understand the different plasma processes taking place in ECRIS plasmas, a series of plasma potential and emittance measurements was carried out. An instrument, which can be used to measure the plasma potential in a single measurement without disturbing the plasma, was developed for this work. Studying the plasma potential of ECR ion sources is important not only because it helps to understand different plasma processes, but also because the information can be used as an input parameter for beam transport simulations and ion source extraction design. The experiments performed have revealed clear dependencies of the plasma potential on certain source parameters such as the amount of carbon contamination accumulated on the walls of the plasma chamber during a MIVOC-run. It was also observed that gas mixing affects not only the production efficiency

  2. Physical processes in relativistic plasmas

    International Nuclear Information System (INIS)

    Svensson, R.

    1984-01-01

    The continuum emission in many active galactic nuclei (AGNs) extend to 100 keV and beyond (e.g. Rothschild et al. 1983). In thermal models of the continuum emission this implies temperatures above 10 9 K or kT of order mc 2 . In such a plasma the electrons are at least mildly relativistic and furthermore the particles and the photons are energetic enough to produce electron-positron pairs. The physics of such hot plasmas has only recently been studied in any detail and here we review the results of those studies. Significant electron-positron pair production may also occur in non-thermal models of the continuum emission if the optical depth to photon-photon pair production is greater than unity. We review the few results obtained regarding this interesting but not very well studied possibility. First, however, we briefly discuss the processes taking place in relativistic plasmas and the standard models for the continuum emission from AGNs. We then summarize the effects pair production have on these models and the observational implications of the presence of electron-positron pairs. (orig./WL)

  3. Scaling behavior of columnar structure during physical vapor deposition

    Science.gov (United States)

    Meese, W. J.; Lu, T.-M.

    2018-02-01

    The statistical effects of different conditions in physical vapor deposition, such as sputter deposition, have on thin film morphology has long been the subject of interest. One notable effect is that of column development due to differential chamber pressure in the well-known empirical model called the Thornton's Structure Zone Model. The model is qualitative in nature and theoretical understanding with quantitative predictions of the morphology is still lacking due, in part, to the absence of a quantitative description of the incident flux distribution on the growth front. In this work, we propose an incident Gaussian flux model developed from a series of binary hard-sphere collisions and simulate its effects using Monte Carlo methods and a solid-on-solid growth scheme. We also propose an approximate cosine-power distribution for faster Monte Carlo sampling. With this model, it is observed that higher chamber pressures widen the average deposition angle, and similarly increase the growth of column diameters (or lateral correlation length) and the column-to-column separation (film surface wavelength). We treat both the column diameter and the surface wavelength as power laws. It is seen that both the column diameter exponent and the wavelength exponent are very sensitive to changes in pressure for low pressures (0.13 Pa to 0.80 Pa); meanwhile, both exponents saturate for higher pressures (0.80 Pa to 6.7 Pa) around a value of 0.6. These predictions will serve as guides to future experiments for quantitative description of the film morphology under a wide range of vapor pressure.

  4. Physical models for the description of an electrodynamically accelerated plasma sheath

    International Nuclear Information System (INIS)

    Zambreanu, V.

    1977-01-01

    An analysis of the models proposed for the description of the plasma sheath dynamics in a coaxial system (of the same type as that operating at the Bucharest Institute of Physics) is presented. A particular attention is paid to the physical structure of the accelerated plasma. It has been shown that a self-consistent model could be derived from a phenomenological description of the sheath structure. The physical models presented so far in the literature have been classified into three groups: the hydrodynamic models, the plasma sheet models and the shock wave models. Each of these models is briefly described. The simplifying assumptions used in the construction of these models have been pointed out. The final conclusion has been that, under these assumptions, none of these models taken separately could completely and correctly describe the dynamical state of the plasma sheath. (author)

  5. Simulating plasma production from hypervelocity impacts

    Energy Technology Data Exchange (ETDEWEB)

    Fletcher, Alex, E-mail: alexcf@stanford.edu; Close, Sigrid [Stanford University, Aeronautics and Astronautics, 496 Lomita Mall, Stanford, California 94305 (United States); Mathias, Donovan [NASA Ames Research Center, Bldg. 258, Moffett Field, California 94035 (United States)

    2015-09-15

    Hypervelocity particles, such as meteoroids and space debris, routinely impact spacecraft and are energetic enough to vaporize and ionize themselves and as well as a portion of the target material. The resulting plasma rapidly expands into the surrounding vacuum. While plasma measurements from hypervelocity impacts have been made using ground-based technologies such as light gas guns and Van de Graaff dust accelerators, some of the basic plasma properties vary significantly between experiments. There have been both ground-based and in-situ measurements of radio frequency (RF) emission from hypervelocity impacts, but the physical mechanism responsible and the possible connection to the impact-produced plasma are not well understood. Under certain conditions, the impact-produced plasma can have deleterious effects on spacecraft electronics by providing a new current path, triggering an electrostatic discharge, causing electromagnetic interference, or generating an electromagnetic pulse. Multi-physics simulations of plasma production from hypervelocity impacts are presented. These simulations incorporate elasticity and plasticity of the solid target, phase change and plasma formation, and non-ideal plasma physics due to the high density and low temperature of the plasma. A smoothed particle hydrodynamics method is used to perform a continuum dynamics simulation with these additional physics. By examining a series of hypervelocity impacts, basic properties of the impact produced plasma plume (density, temperature, expansion speed, charge state) are determined for impactor speeds between 10 and 72 km/s. For a large range of higher impact speeds (30–72 km/s), we find the temperature is unvarying at 2.5 eV. We also find that the plasma plume is weakly ionized for impact speeds less than 14 km/s and fully ionized for impact speeds greater than 20 km/s, independent of impactor mass. This is the same velocity threshold for the detection of RF emission in recent

  6. Design and testing of miniaturized plasma sensor for measuring hypervelocity impact plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Goel, A., E-mail: ashish09@stanford.edu; Tarantino, P. M.; Lauben, D. S.; Close, S. [Department of Aeronautics and Astronautics, Stanford University, Stanford, California 94305 (United States)

    2015-04-15

    An increasingly notable component of the space environment pertains to the impact of meteoroids and orbital debris on spacecraft and the resulting mechanical and electrical damages. Traveling at speeds of tens of km/s, when these particles, collectively referred to as hypervelocity particles, impact a satellite, they vaporize, ionize, and produce a radially expanding plasma that can generate electrically harmful radio frequency emission or serve as a trigger for electrostatic discharge. In order to measure the flux, composition, energy distribution, and temperature of ions and electrons in this plasma, a miniaturized plasma sensor has been developed for carrying out in-situ measurements in space. The sensor comprises an array of electrostatic analyzer wells split into 16 different channels, catering to different species and energy ranges in the plasma. We present results from numerical simulation based optimization of sensor geometry. A novel approach of fabricating the sensor using printed circuit boards is implemented. We also describe the test setup used for calibrating the sensor and show results demonstrating the energy band pass characteristics of the sensor. In addition to the hypervelocity impact plasmas, the plasma sensor developed can also be used to carry out measurements of ionospheric plasma, diagnostics of plasma propulsion systems, and in other space physics experiments.

  7. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Johnston, Jamin M.; Catledge, Shane A., E-mail: catledge@uab.edu

    2016-02-28

    Graphical abstract: - Highlights: • A detailed phase analysis after PECVD boriding shows WCoB, CoB and/or W{sub 2}CoB{sub 2}. • EDS of PECVD borides shows boron diffusion into the carbide grain structure. • Nanoindentation hardness and modulus of borides is 23–27 GPa and 600–780 GPa. • Scratch testing shows hard coating with cracking at 40N and spallation at 70N. - Abstract: Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W{sub 2}CoB{sub 2} with average hardness from 23 to 27 GPa and average elastic modulus of 600–730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  8. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Johnston, Jamin M.; Catledge, Shane A.

    2016-01-01

    Graphical abstract: - Highlights: • A detailed phase analysis after PECVD boriding shows WCoB, CoB and/or W_2CoB_2. • EDS of PECVD borides shows boron diffusion into the carbide grain structure. • Nanoindentation hardness and modulus of borides is 23–27 GPa and 600–780 GPa. • Scratch testing shows hard coating with cracking at 40N and spallation at 70N. - Abstract: Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W_2CoB_2 with average hardness from 23 to 27 GPa and average elastic modulus of 600–730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  9. Model of inductive plasma production assisted by radio-frequency wave in tokamaks

    International Nuclear Information System (INIS)

    Hasegawa, Makoto; Hanada, Kazuaki; Sato, Kohnosuke

    2007-01-01

    For initial plasma production, an induction electric field generated by applying voltage to a poloidal field (PF) coil system is used to produce a Townsend avalanche breakdown. When the avalanche margins are small, as for the International Thermonuclear Experimental Reactor (ITER) in which the induction electric field is about 0.3 V/m, the assistance of radio-frequency waves (RF) is provided to reduce the induction electric field required for reliable breakdown. However, the conditions of RF-assisted breakdown are not clear. Here, the effects of both RF and induction electric field on the RF-assisted breakdown are evaluated considering the electron loss. When traveling loss is the dominant loss, a simple model of an extended Townsend avalanche is proposed. In this model, the induction electric field required for RF-assisted breakdown can be decreased to half that required for induction breakdown. (author)

  10. A Physics Exploratory Experiment on Plasma Liner Formation

    Science.gov (United States)

    Thio, Y. C. Francis; Knapp, Charles E.; Kirkpatrick, Ronald C.; Siemon, Richard E.; Turchi, Peter

    2002-01-01

    Momentum flux for imploding a target plasma in magnetized target fusion (MTF) may be delivered by an array of plasma guns launching plasma jets that would merge to form an imploding plasma shell (liner). In this paper, we examine what would be a worthwhile experiment to do in order to explore the dynamics of merging plasma jets to form a plasma liner as a first step in establishing an experimental database for plasma-jets driven magnetized target fusion (PJETS-MTF). Using past experience in fusion energy research as a model, we envisage a four-phase program to advance the art of PJETS-MTF to fusion breakeven Q is approximately 1). The experiment (PLX (Plasma Liner Physics Exploratory Experiment)) described in this paper serves as Phase I of this four-phase program. The logic underlying the selection of the experimental parameters is presented. The experiment consists of using twelve plasma guns arranged in a circle, launching plasma jets towards the center of a vacuum chamber. The velocity of the plasma jets chosen is 200 km/s, and each jet is to carry a mass of 0.2 mg - 0.4 mg. A candidate plasma accelerator for launching these jets consists of a coaxial plasma gun of the Marshall type.

  11. A technique to depress desflurane vapor pressure.

    Science.gov (United States)

    Brosnan, Robert J; Pypendop, Bruno H

    2006-09-01

    To determine whether the vapor pressure of desflurane could be decreased by using a solvent to reduce the anesthetic molar fraction in a solution (Raoult's Law). We hypothesized that such an anesthetic mixture could produce anesthesia using a nonprecision vaporizer instead of an agent-specific, electronically controlled, temperature and pressure compensated vaporizer currently required for desflurane administration. One healthy adult female dog. Propylene glycol was used as a solvent for desflurane, and the physical characteristics of this mixture were evaluated at various molar concentrations and temperatures. Using a circle system with a breathing bag attached at the patient end and a mechanical ventilator to simulate respiration, an in-circuit, nonprecision vaporizer containing 40% desflurane and 60% propylene glycol achieved an 11.5% +/- 1.0% circuit desflurane concentration with a 5.2 +/- 0.4 (0 = off, 10 = maximum) vaporizer setting. This experiment was repeated with a dog attached to the breathing circuit under spontaneous ventilation with a fresh gas flow of 0.5 L minute(-1). Anesthesia was maintained for over 2 hours at a mean vaporizer setting of 6.2 +/- 0.4, yielding mean inspired and end-tidal desflurane concentrations of 8.7% +/- 0.5% and 7.9% +/- 0.7%, respectively. Rather than alter physical properties of vaporizers to suit a particular anesthetic agent, this study demonstrates that it is also possible to alter physical properties of anesthetic agents to suit a particular vaporizer. However, propylene glycol may not prove an ideal solvent for desflurane because of its instability in solution and substantial-positive deviation from Raoult's Law.

  12. Membrane-based, sedimentation-assisted plasma separator for point-of-care applications.

    Science.gov (United States)

    Liu, Changchun; Mauk, Michael; Gross, Robert; Bushman, Frederic D; Edelstein, Paul H; Collman, Ronald G; Bau, Haim H

    2013-11-05

    Often, high-sensitivity, point-of-care (POC) clinical tests, such as HIV viral load, require large volumes of plasma. Although centrifuges are ubiquitously used in clinical laboratories to separate plasma from whole blood, centrifugation is generally inappropriate for on-site testing. Suitable alternatives are not readily available to separate the relatively large volumes of plasma from milliliters of blood that may be needed to meet stringent limit-of-detection specifications for low-abundance target molecules. We report on a simple-to-use, low-cost, pump-free, membrane-based, sedimentation-assisted plasma separator capable of separating a relatively large volume of plasma from undiluted whole blood within minutes. This plasma separator consists of an asymmetric, porous, polysulfone membrane housed in a disposable chamber. The separation process takes advantage of both gravitational sedimentation of blood cells and size exclusion-based filtration. The plasma separator demonstrated a "blood in-plasma out" capability, consistently extracting 275 ± 33.5 μL of plasma from 1.8 mL of undiluted whole blood within less than 7 min. The device was used to separate plasma laden with HIV viruses from HIV virus-spiked whole blood with recovery efficiencies of 95.5% ± 3.5%, 88.0% ± 9.5%, and 81.5% ± 12.1% for viral loads of 35,000, 3500, and 350 copies/mL, respectively. The separation process is self-terminating to prevent excessive hemolysis. The HIV-laden plasma was then injected into our custom-made microfluidic chip for nucleic acid testing and was successfully subjected to reverse-transcriptase loop-mediated isothermal amplification (RT-LAMP), demonstrating that the plasma is sufficiently pure to support high-efficiency nucleic acid amplification.

  13. Single-crystalline AlN growth on sapphire using physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Cardenas-Valencia, Andres M., E-mail: andres.cardenas@sri.co [SRI International (United States); Onishi, Shinzo; Rossie, Benjamin [SRI International (United States)

    2011-02-07

    A novel technique for growing single crystalline aluminum nitride (AlN) films is presented. The novelty of the technique, specifically, comes from the use of an innovative physical vapor deposition magnetron sputtering tool, which embeds magnets into the target material. A relatively high deposition rates is achieved ({approx}0.2 {mu}m/min), at temperatures between 860 and 940 {sup o}C. The AlN, grown onto sapphire, is single-crystalline as evidenced by observation using transmission electron microscopy. Tool configuration and growth conditions are discussed, as well as a first set of other analytical results, namely, x-ray diffraction and ultraviolet-visible transmission spectrophotometry.

  14. XXXII Zvenigorod conference on the plasma physics and controlled thermonuclear synthesis. Theses of reports

    International Nuclear Information System (INIS)

    2005-01-01

    Theses of the reports, presented at the XXXII International conference on the plasma physics and controlled thermonuclear synthesis (Zvenigorod, 14-18 February 2005) are published. The total number of reports is 322, including 16 summarizing ones. The other reports are distributed by the following sections: magnetic confinement of high-temperature plasma (88 reports), inertial thermonuclear fusion (65), physical processes in low-temperature plasma (99) and physical bases of the plasma and beam technologies (54) [ru

  15. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Gates, S. M.; Neumayer, D. A.; Sherwood, M. H.; Grill, A.; Wang, X.; Sankarapandian, M.

    2007-01-01

    The preparation of ultralow dielectric constant porous silicon, carbon, oxygen, hydrogen alloy dielectrics, called 'pSiCOH', using a production 200 mm plasma enhanced chemical vapor deposition tool and a thermal treatment is reported here. The effect of deposition temperature on the pSiCOH film is examined using Fourier transform infrared (FTIR) spectroscopy, dielectric constant (k), and film shrinkage measurements. For all deposition temperatures, carbon in the final porous film is shown to be predominantly Si-CH 3 species, and lower k is shown to correlate with increased concentration of Si-CH 3 . NMR and FTIR spectroscopies clearly detect the loss of a removable, unstable, hydrocarbon (CH x ) phase during the thermal treatment. Also detected are increased cross-linking of the Si-O skeleton, and concentration changes for three distinct structures of carbon. In the as deposited films, deposition temperature also affects the hydrocarbon (CH x ) content and the presence of C=O and C=C functional groups

  16. Introduction to plasma physics and controlled fusion

    CERN Document Server

    Chen, Francis F

    1984-01-01

    This complete introduction to plasma physics and controlled fusion by one of the pioneering scientists in this expanding field offers both a simple and intuitive discussion of the basic concepts of this subject and an insight into the challenging problems of current research. In a wholly lucid manner the work covers single-particle motions, fluid equations for plasmas, wave motions, diffusion and resistivity, Landau damping, plasma instabilities and nonlinear problems. For students, this outstanding text offers a painless introduction to this important field; for teachers, a large collection of problems; and for researchers, a concise review of the fundamentals as well as original treatments of a number of topics never before explained so clearly. This revised edition contains new material on kinetic effects, including Bernstein waves and the plasma dispersion function, and on nonlinear wave equations and solitons.

  17. Effects of water vapor on flue gas conditioning in the electric fields with corona discharge

    Energy Technology Data Exchange (ETDEWEB)

    Liqiang, QI, E-mail: qi_liqiang@163.com; Yajuan, Zhang

    2013-07-15

    Highlights: • The influence mechanism of water vapor humidification on SO{sub 2} oxidation was analyzed. •The effects of water vapor on the specific resistance in fly ash in ESPs were reported. • The effects of water vapor on the size distribution and specific surface area of fly ash were discussed. • The adhesive characteristic of fly ash in different water vapor was experimented. -- Abstract: Sulfur dioxide (SO{sub 2}) removal via pulsed discharge nonthermal plasma in the absence of ammonia was investigated to determine how electrostatic precipitators (ESPs) can effectively collect particulate matter less than 2.5 μm in diameter from flue gas. SO{sub 2} removal increased as water vapor concentration increased. In a wet-type plasma reactor, directing a gas-phase discharge plasma toward the water film surface significantly enhanced the liquid-phase oxidation of HSO{sub 3}{sup −} to SO{sub 4}{sup 2−}. Comparisons of various absorbents revealed that the hydroxyl radical is a key factor in plasma-induced liquid-phase reactions. The resistivity, size distribution, and cohesive force of fly ash at different water vapor contents were measured using a Bahco centrifuge, which is a dust electrical resistivity test instrument, as well as a cohesive force test apparatus developed by the researchers. When water vapor content increased by 5%, fly ash resistivity in flue gas decreased by approximately two orders of magnitude, adhesive force and size increased, and specific surface area decreased. Therefore, ESP efficiency increased.

  18. Frontiers of Physics and Plasma Science

    International Nuclear Information System (INIS)

    Sharma, Prerana

    2017-01-01

    Preface to the conference proceedingsWe are very pleased to introduce the proceeding of FPPS-2016; the international conference “Frontiers of Physics and Plasma Science” that took place on 7 and 8 November, 2016 in the campus of Ujjain Engineering College, Ujjain (India). The goal of the meeting was to provide a broad prospective to the plasma science emphasizing physics with a new plasma technologies. The scientific program of the conference focused on the advancement of the all branches of physics in achieving all applications of the plasma science. The conference spans a wide range of topics, reporting experiments, techniques and ideas that advance the plasma science worldwide.There were 20 invited lectures and 04 oral presentations covering the different area of the conference. The keynote lecture was delivered by Dr. Rajdeep Singh Rawat (NTU, Singapore) on “Density plasma focus: novel high energy density plasma device”. Prof. Y.C. Saxena (IPR, Gandhinagar, Ahmedabad), Prof. R. P. Sharma (IIT, New Delhi), Prof. Fernando Haas (Brazil), Prof. Davoud Dorranian (Tehran, Iran), Dr. Raju Khanal (Tribhuwan University, Nepal), Prof. Avinash Khare (IIT, New Delhi), Dr. Navin Dwivedi (Israel), Prof. V.K. Tripathi (IIT New Delhi), Dr. J. Ghosh (IPR, Gandhinagar, Gujarat), Dr. Devendra Sharma (IPR, Gandhinagar, Gujarat), Prof. R.K. Thareja (IIT Kanpur), Dr. Vipul Arora (RRCAT, Indore), Prof. M. P. Bora (Gauhati University, Guwahati) and many more have delivered their lecture in the field of plasma science and its applications. The program was chaired in a professional and efficient way by the session chairmen who were selected for their international standing in the subject.The 165 abstracts that were presented in two days (during parallel poster session) formed a heart of the conference and provided ample opportunity for the discussion. The 170 participants, 110 of whom were students had many fruitful discussions and exchange that contributed to the success of the

  19. VOA: a 2-d plasma physics code

    International Nuclear Information System (INIS)

    Eltgroth, P.G.

    1975-12-01

    A 2-dimensional relativistic plasma physics code was written and tested. The non-thermal components of the particle distribution functions are represented by expansion into moments in momentum space. These moments are computed directly from numerical equations. Currently three species are included - electrons, ions and ''beam electrons''. The computer code runs on either the 7600 or STAR machines at LLL. Both the physics and the operation of the code are discussed

  20. Shaping thin film growth and microstructure pathways via plasma and deposition energy: a detailed theoretical, computational and experimental analysis.

    Science.gov (United States)

    Sahu, Bibhuti Bhusan; Han, Jeon Geon; Kersten, Holger

    2017-02-15

    Understanding the science and engineering of thin films using plasma assisted deposition methods with controlled growth and microstructure is a key issue in modern nanotechnology, impacting both fundamental research and technological applications. Different plasma parameters like electrons, ions, radical species and neutrals play a critical role in nucleation and growth and the corresponding film microstructure as well as plasma-induced surface chemistry. The film microstructure is also closely associated with deposition energy which is controlled by electrons, ions, radical species and activated neutrals. The integrated studies on the fundamental physical properties that govern the plasmas seek to determine their structure and modification capabilities under specific experimental conditions. There is a requirement for identification, determination, and quantification of the surface activity of the species in the plasma. Here, we report a detailed study of hydrogenated amorphous and crystalline silicon (c-Si:H) processes to investigate the evolution of plasma parameters using a theoretical model. The deposition processes undertaken using a plasma enhanced chemical vapor deposition method are characterized by a reactive mixture of hydrogen and silane. Later, various contributions of energy fluxes on the substrate are considered and modeled to investigate their role in the growth of the microstructure of the deposited film. Numerous plasma diagnostic tools are used to compare the experimental data with the theoretical results. The film growth and microstructure are evaluated in light of deposition energy flux under different operating conditions.

  1. Mathematics for plasma physics; Mathematiques pour la physique des plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Sentis, R. [CEA Bruyeres-le-Chatel, 91 (France)

    2011-01-15

    The plasma physics is in the heart of the research of the CEA-DAM. Using mathematics in this domain is necessary, particularly for a precise statement of the partial differential equations systems which are on the basis of the numerical simulations. Examples are given concerning hydrodynamics, models for the thermal conduction and laser-plasma interaction. For the bi-temperature compressible Euler model, the mathematical study of the problem has allowed us to understand why the role of the energy equations dealing with ions on one hand and electrons on the other hand are not identical despite the symmetrical appearance of the system. The mathematical study is also necessary to be sure of the existence and uniqueness of the solution

  2. Variational integrators in plasma physics

    Energy Technology Data Exchange (ETDEWEB)

    Kraus, Michael

    2013-07-01

    To a large extent, research in plasma physics is concerned with the description and analysis of energy and momentum transfer between different scales and different kinds of waves. In the numerical modelling of such phenomena it appears to be crucial to describe the transfer processes preserving the underlying conservation laws in order to prevent physically spurious solutions. In this work, special numerical methods, so called variational integrators, are developed for several models of plasma physics. Special attention is given to conservation properties like conservation of energy and momentum. By design, variational integrators are applicable to all systems that have a Lagrangian formulation. Usually, equations of motion are derived by Hamilton's action principle and then discretised. In the application of the variational integrator theory, the order of these steps is reversed. At first, the Lagrangian and the accompanying variational principle are discretised, such that discrete equations of motion can be obtained directly by applying the discrete variational principle to the discrete Lagrangian. The advantage of this approach is that the resulting discretisation automatically retains the conservation properties of the continuous system. Following an overview of the geometric formulation of classical mechanics and field theory, which forms the basis of the variational integrator theory, variational integrators are introduced in a framework adapted to problems from plasma physics. The applicability of variational integrators is explored for several important models of plasma physics: particle dynamics (guiding centre dynamics), kinetic theory (the Vlasov-Poisson system) and fluid theory (magnetohydrodynamics). These systems, with the exception of guiding centre dynamics, do not possess a Lagrangian formulation to which the variational integrator methodology is directly applicable. Therefore the theory is extended by linking it to Ibragimov's theory of

  3. Discharge characteristics of copper vapor laser

    International Nuclear Information System (INIS)

    Nemoto, Koshichi; Fujii, Takashi

    1988-01-01

    This report describes about the copper vapor laser and experimental results of it's discharge characteristics. We measured time varing of plasma regist, and analyzed electron density. (1) The plasma regist is larger than 100Ω at the beginning of discharge, and is rapidly reduced to about 10Ω. (2) The electron density is estimated about 1∼2 x 10 12 /cc at the begining of discharge. (author)

  4. Atmospheric pressure plasma assisted calcination by the preparation of TiO2 fibers in submicron scale

    Science.gov (United States)

    Medvecká, Veronika; Kováčik, Dušan; Zahoranová, Anna; Černák, Mirko

    2018-01-01

    Atmospheric pressure plasma assisted calcination by the preparation of TiO2 submicron fibers as a low-temperature alternative to the conventional thermal annealing was studied. A special type of dielectric barrier discharge was used for plasma treatment of hybrid titanium butoxide/polyvinylpyrrolidone (Ti(Bu)/PVP) fibers prepared by forcespinning to decompose and oxidize the base polymer and precursor. The obtained fibers were characterized by changes in chemical bonds on the surface using Fourier Transform Infrared Spectroscopy (FTIR), chemical composition by using Energy-Dispersive X-Ray Spectroscopy (EDX), X-ray Photoelectron Spectroscopy (XPS). The morphology of fibers was investigated by Scanning Electron Microscopy (SEM). A significant decrease of organic components was reached by short plasma exposure times less than 1 h. The obtained fibers exhibit a high surface porosity without degradation of the fibrous structure. The results obtained indicate that atmospheric pressure plasma assisted calcination can be a viable low-temperature, energy- and time-saving alternative or pre-treatment method for the conventional high-temperature thermal calcination.

  5. Physics and astrophysics of quark-gluon plasma

    Energy Technology Data Exchange (ETDEWEB)

    Anon.

    1993-06-15

    The quark gluon plasma - matter too hot or dense for quarks to crystallize into particles - played a vital role in the formation of the Universe. Efforts to recreate and understand this type of matter are forefront physics and astrophysics, and progress was highlighted in the Second International Conference on Physics and Astrophysics of Quark Gluon Plasma (ICPA-QGP 93), held in Calcutta from 19-23 January. (The first conference in the series was held in Bombay in February 1988). Although primarily motivated towards enlightening the Indian physics community in this new and rapidly evolving area, in which India now plays an important role, the conference also catered for an international audience. Particular emphasis was placed on the role of quark gluon plasma in astrophysics and cosmology. While Charles Alcock of Lawrence Livermore looked at a less conventional picture giving inhomogeneous ('clumpy') nucleosynthesis, David Schramm (Chicago) covered standard big bang nucleosynthesis. The abundances of very light elements do not differ appreciably for these contrasting scenarios; the crucial difference between them shows up for heavier elements like lithium-7 and -8 and boron-11. Richard Boyd (Ohio State) highlighted the importance of accurate measurements of the primordial abundances of these elements for clues to the cosmic quark hadron phase transition. B. Banerjee (Bombay) argued, on the basis of lattice calculations, for only slight supercooling in the cosmic quark phase transition - an assertion which runs counter to the inhomogeneous nucleosynthesis scenario.

  6. Physics and astrophysics of quark-gluon plasma

    International Nuclear Information System (INIS)

    Anon.

    1993-01-01

    The quark gluon plasma - matter too hot or dense for quarks to crystallize into particles - played a vital role in the formation of the Universe. Efforts to recreate and understand this type of matter are forefront physics and astrophysics, and progress was highlighted in the Second International Conference on Physics and Astrophysics of Quark Gluon Plasma (ICPA-QGP 93), held in Calcutta from 19-23 January. (The first conference in the series was held in Bombay in February 1988). Although primarily motivated towards enlightening the Indian physics community in this new and rapidly evolving area, in which India now plays an important role, the conference also catered for an international audience. Particular emphasis was placed on the role of quark gluon plasma in astrophysics and cosmology. While Charles Alcock of Lawrence Livermore looked at a less conventional picture giving inhomogeneous ('clumpy') nucleosynthesis, David Schramm (Chicago) covered standard big bang nucleosynthesis. The abundances of very light elements do not differ appreciably for these contrasting scenarios; the crucial difference between them shows up for heavier elements like lithium-7 and -8 and boron-11. Richard Boyd (Ohio State) highlighted the importance of accurate measurements of the primordial abundances of these elements for clues to the cosmic quark hadron phase transition. B. Banerjee (Bombay) argued, on the basis of lattice calculations, for only slight supercooling in the cosmic quark phase transition - an assertion which runs counter to the inhomogeneous nucleosynthesis scenario

  7. Effect of disruptions on plasma-facing components

    International Nuclear Information System (INIS)

    Gilligan, J.G.; Bourham, M.A.; Tucker, E.C.

    1995-01-01

    Erosion of plasma-facing components during disruptions is a limiting factor in the design of large tokamaks like ITER. During a disruption, much of the stored thermal energy of the plasma will be dumped onto divertor plates, resulting in local heat fluxes, which may exceed 100 GW/m 2 over a period of about 0.1--1.0 msec. Melted and/or vaporized material is produced which is redistributed in the divertor region. Simulation of disruption damage is summarized from code results and from experimental exposure of materials to high heat-flux plasmas in plasma guns. In the US several codes have been used to predict both melt/vaporization and heat transfer on surfaces as well as energy and momentum transport in the vapor/plasma shield produced at the surface

  8. Video-assisted thoracoscopic PlasmaJet ablation for malignant pleural mesothelioma.

    Science.gov (United States)

    Perikleous, Periklis; Asadi, Nizar; Anikin, Vladimir

    2018-01-01

    The role of surgery in malignant pleural mesothelioma (MPM) remains debatable; nonetheless the relative advantages of different surgical approaches are frequently reassessed and reconsidered. While extensive operations and longer recovery periods can be justified for a group of carefully selected patients, many will present at an advanced stage of their disease or with associated co-morbidities which will exclude them from selection criteria for radical treatment. For these patients, minimally invasive video-assisted procedures may be considered, for purposes of cytoreduction and/or symptomatic relief. Even though there is currently not enough clinical evidence to suggest an improvement in overall survival with limited debulking procedures, it has been suggested that they can improve quality of life over drainage and pleurodesis alone. We consider video-assisted PlasmaJet ablation to potentially have a role in mesothelioma surgery, as it may be used for effective cytoreduction while minimising the risk for complications often associated with extensive pleurectomy procedures, and we report on the use of the PlasmaJet Surgical System in our centre for surgical management of a patient with MPM. After demonstrating safety and absence of major adverse events with this approach, we feel justified in offering the procedure to more of our patients as we aim to collect additional data.

  9. 10th International Conference and School on Plasma Physics and Controlled Fusion. Book of Abstracts

    International Nuclear Information System (INIS)

    Anon

    2004-01-01

    About 240 abstracts by Ukrainian and foreign authors submitted to 10-th International Conference and School on Plasma Physics and Controlled fusion have been considered by Conference Program Committee members. All the abstracts have been divided into 8 groups: magnetic confinement systems: stellarators, tokamaks, alternative conceptions; ITER and Fusion reactor aspects; basic plasma physics; space plasma; plasma dynamics and plasma-wall interaction; plasma electronics; low temperature plasma and plasma technologies; plasma diagnostics

  10. Modeling film uniformity and symmetry in ionized metal physical vapor deposition with cylindrical targets

    International Nuclear Information System (INIS)

    Lu Junqing; Yang Lin; Yoon, Jae Hong; Cho, Tong Yul; Tao Guoqing

    2008-01-01

    Severe asymmetry of the metal deposits on the trench sidewalls occurs near the wafer edge during low pressure ionized metal physical vapor deposition of Cu seed layer for microprocessor interconnects. To investigate this process and mitigate the asymmetry, an analytical view factor model based on the analogy between metal sputtering and diffuse thermal radiation was constructed to investigate deposition uniformity and symmetry for cylindrical target sputtering in low pressure (below 0.1 Pa) ionized Cu physical vapor deposition. The model predictions indicate that as the distance from the cylindrical target to wafer increases, the metal film thickness becomes more uniform across the wafer and the asymmetry of the metal deposits at the wafer edge increases significantly. These trends are similar to those for planar targets. To minimize the asymmetry, the height of the cylindrical target should be kept at a minimum. For cylindrical targets, the outward-facing sidewall of the trench could receive more direct Cu fluxes than the inward-facing one when the target to wafer distance is short. The predictions also indicate that increasing the diameter of the cylindrical target could significantly reduce the asymmetry in metal deposits at the wafer edge and make the film thickness more uniform across the wafer

  11. Fundamentals of plasma physics and controlled fusion. The third edition

    International Nuclear Information System (INIS)

    Miyamoto, Kenro

    2011-06-01

    Primary objective of this lecture note is to provide a basic text for the students to study plasma physics and controlled fusion researches. Secondary objective is to offer a reference book describing analytical methods of plasma physics for the researchers. This was written based on lecture notes for a graduate course and an advanced undergraduate course those have been offered at Department of Physics, Faculty of Science, University of Tokyo. In ch.1 and 2, basic concept of plasma and its characteristics are explained. In ch.3, orbits of ion and electron are described in several magnetic field configurations. Chapter 4 formulates Boltzmann equation of velocity space distribution function, which is the basic relation of plasma physics. From ch.5 to ch.9, plasmas are described as magnetohydrodynamic (MHD) fluid. MHD equation of motion (ch.5), equilibrium (ch.6) and diffusion and confinement time of plasma (ch.7) are described by the fluid model. Chapters 8 and 9 discuss problems of MHD instabilities whether a small perturbation will grow to disrupt the plasma or will damp to a stable state. The basic MHD equation of motion can be derived by taking an appropriate average of Boltzmann equation. This mathematical process is described in appendix A. The derivation of useful energy integral formula of axisymmetric toroidal system and the analysis of high n ballooning mode are described in app. B. From ch.10 to ch.14, plasmas are treated by kinetic theory. This medium, in which waves and perturbations propagate, is generally inhomogeneous and anisotropic. It may absorb or even amplify the wave. Cold plasma model described in ch.10 is applicable when the thermal velocity of plasma particles is much smaller than the phase velocity of wave. Because of its simplicity, the dielectric tensor of cold plasma can be easily derived and the properties of various wave can be discussed in the case of cold plasma. If the refractive index becomes large and the phase velocity of the

  12. Recommended Vapor Pressure of Solid Naphthalen

    Czech Academy of Sciences Publication Activity Database

    Růžička, K.; Fulem, Michal; Růžička, V.

    2005-01-01

    Roč. 50, - (2005), s. 1956-1970 ISSN 0021-9568 Institutional research plan: CEZ:AV0Z10100521 Keywords : solid naphthalene * vapor pressure * enthalpy of vaporization * enthalpy of fusion Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.610, year: 2005

  13. Direct growth of self-crystallized graphene and graphite nanoballs with Ni vapor-assisted growth: From controllable growth to material characterization

    Science.gov (United States)

    Yen, Wen-Chun; Chen, Yu-Ze; Yeh, Chao-Hui; He, Jr-Hau; Chiu, Po-Wen; Chueh, Yu-Lun

    2014-01-01

    A directly self-crystallized graphene layer with transfer-free process on arbitrary insulator by Ni vapor-assisted growth at growth temperatures between 950 to 1100°C via conventional chemical vapor deposition (CVD) system was developed and demonstrated. Domain sizes of graphene were confirmed by Raman spectra from ~12 nm at growth temperature of 1000°C to ~32 nm at growth temperature of 1100°C, respectively. Furthermore, the thickness of the graphene is controllable, depending on deposition time and growth temperature. By increasing growth pressure, the growth of graphite nano-balls was preferred rather than graphene growth. The detailed formation mechanisms of graphene and graphite nanoballs were proposed and investigated in detail. Optical and electrical properties of graphene layer were measured. The direct growth of the carbon-based materials with free of the transfer process provides a promising application at nanoelectronics. PMID:24810224

  14. 179th International School of Physics "Enrico Fermi" : Laser-Plasma Acceleration

    CERN Document Server

    Gizzi, L A; Faccini, R

    2012-01-01

    Impressive progress has been made in the field of laser-plasma acceleration in the last decade, with outstanding achievements from both experimental and theoretical viewpoints. Closely exploiting the development of ultra-intense, ultrashort pulse lasers, laser-plasma acceleration has developed rapidly, achieving accelerating gradients of the order of tens of GeV/m, and making the prospect of miniature accelerators a more realistic possibility. This book presents the lectures delivered at the Enrico Fermi International School of Physics and summer school: "Laser-Plasma Acceleration" , held in Varenna, Italy, in June 2011. The school provided an opportunity for young scientists to experience the best from the worlds of laser-plasma and accelerator physics, with intensive training and hands-on opportunities related to key aspects of laser-plasma acceleration. Subjects covered include: the secrets of lasers; the power of numerical simulations; beam dynamics; and the elusive world of laboratory plasmas. The object...

  15. Energetic particle induced desorption of water vapor cryo-condensate

    International Nuclear Information System (INIS)

    Menon, M.M.; Owen, L.W.; Simpkins, J.E.; Uckan, T.; Mioduszewski, P.K.

    1990-01-01

    An in-vessel cryo-condensation pump is being designed for the Advanced Divertor configuration of the DIII-D tokamak. To assess the importance of possible desorption of water vapor from the cryogenic surfaces of the pump due to impingement of energetic particles from the plasma, a 77 K surface on which a thin layer of water vapor was condensed was exposed to a tenuous plasma (density = 2 x 10 10 cm -3 , electron temperature = 3 eV). Significant desorption of the condensate occurred, suggesting that impingement of energeticparticles (10 eV) at flux levels of ∼10 16 cm 2 s -1 on cryogenic surfaces could potentially induce impurity problems in the tokamak plasma. A pumping configuration is presented in which this problem is minimized without sacrificing the pumping speed

  16. High-fidelity plasma codes for burn physics

    Energy Technology Data Exchange (ETDEWEB)

    Cooley, James [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Graziani, Frank [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Marinak, Marty [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Murillo, Michael [Michigan State Univ., East Lansing, MI (United States)

    2016-10-19

    Accurate predictions of equation of state (EOS), ionic and electronic transport properties are of critical importance for high-energy-density plasma science. Transport coefficients inform radiation-hydrodynamic codes and impact diagnostic interpretation, which in turn impacts our understanding of the development of instabilities, the overall energy balance of burning plasmas, and the efficacy of self-heating from charged-particle stopping. Important processes include thermal and electrical conduction, electron-ion coupling, inter-diffusion, ion viscosity, and charged particle stopping. However, uncertainties in these coefficients are not well established. Fundamental plasma science codes, also called high-fidelity plasma codes, are a relatively recent computational tool that augments both experimental data and theoretical foundations of transport coefficients. This paper addresses the current status of HFPC codes and their future development, and the potential impact they play in improving the predictive capability of the multi-physics hydrodynamic codes used in HED design.

  17. DSMC simulations of vapor transport toward development of the lithium vapor box divertor concept

    Science.gov (United States)

    Jagoe, Christopher; Schwartz, Jacob; Goldston, Robert

    2016-10-01

    The lithium vapor divertor box concept attempts to achieve volumetric dissipation of the high heat efflux from a fusion power system. The vapor extracts the heat of the incoming plasma by ionization and radiation, while remaining localized in the vapor box due to differential pumping based on rapid condensation. Preliminary calculations with lithium vapor at densities appropriate for an NSTX-U-scale machine give Knudsen numbers between 0.01 and 1, outside both the range of continuum fluid dynamics and of collisionless Monte Carlo. The direct-simulation Monte Carlo (DSMC) method, however, can simulate rarefied gas flows in this regime. Using the solver contained in the OpenFOAM package, pressure-driven flows of water vapor will be analyzed. The use of water vapor in the relevant range of Knudsen number allows for a flexible similarity experiment to verify the reliability of the code before moving to tests with lithium. The simulation geometry consists of chains of boxes on a temperature gradient, connected by slots with widths that are a representative fraction of the dimensions of the box. We expect choked flow, sonic shocks, and order-of-magnitude pressure and density drops from box to box, but this expectation will be tested in the simulation and then experiment. This work is supported by the Princeton Environmental Institute.

  18. Thermodynamic properties and transport coefficients of a two-temperature polytetrafluoroethylene vapor plasma for ablation-controlled discharge applications

    International Nuclear Information System (INIS)

    Wang, Haiyan; Qi, Haiyang; Wang, Weizong; Yan, Joseph D; Geng, Jinyue; Wu, Yaowu

    2017-01-01

    Ablation-controlled plasmas have been used in a range of technical applications where local thermodynamic equilibrium (LTE) is often violated near the wall due to the strong cooling effect caused by the ablation of wall materials. The thermodynamic and transport properties of ablated polytetrafluoroethylene (PTFE) vapor, which determine the flowing plasma behavior in such applications, are calculated based on a two-temperature model at atmospheric pressure. To our knowledge, no data for PTFE have been reported in the literature. The species composition and thermodynamic properties are numerically determined using the two-temperature Saha equation and the Guldberg–Waage equation according to van de Sanden et al ’s derivation. The transport coefficients, including viscosity, thermal conductivity and electrical conductivity, are calculated with the most recent collision interaction potentials using Devoto’s electron and heavy-particle decoupling approach but expanded to the third-order approximation (second-order for viscosity) in the frame of the Chapman–Enskog method. Results are computed for different degrees of thermal non-equilibrium, i.e. the ratio of electron to heavy-particle temperatures, from 1 to 10, with electron temperature ranging from 300 to 40 000 K. Plasma transport properties in the LTE state obtained from the present work are compared with existing published results and the causes for the discrepancy analyzed. The two-temperature plasma properties calculated in the present work enable the modeling of wall ablation-controlled plasma processes. (paper)

  19. Thermodynamic properties and transport coefficients of a two-temperature polytetrafluoroethylene vapor plasma for ablation-controlled discharge applications

    Science.gov (United States)

    Wang, Haiyan; Wang, Weizong; Yan, Joseph D.; Qi, Haiyang; Geng, Jinyue; Wu, Yaowu

    2017-10-01

    Ablation-controlled plasmas have been used in a range of technical applications where local thermodynamic equilibrium (LTE) is often violated near the wall due to the strong cooling effect caused by the ablation of wall materials. The thermodynamic and transport properties of ablated polytetrafluoroethylene (PTFE) vapor, which determine the flowing plasma behavior in such applications, are calculated based on a two-temperature model at atmospheric pressure. To our knowledge, no data for PTFE have been reported in the literature. The species composition and thermodynamic properties are numerically determined using the two-temperature Saha equation and the Guldberg-Waage equation according to van de Sanden et al’s derivation. The transport coefficients, including viscosity, thermal conductivity and electrical conductivity, are calculated with the most recent collision interaction potentials using Devoto’s electron and heavy-particle decoupling approach but expanded to the third-order approximation (second-order for viscosity) in the frame of the Chapman-Enskog method. Results are computed for different degrees of thermal non-equilibrium, i.e. the ratio of electron to heavy-particle temperatures, from 1 to 10, with electron temperature ranging from 300 to 40 000 K. Plasma transport properties in the LTE state obtained from the present work are compared with existing published results and the causes for the discrepancy analyzed. The two-temperature plasma properties calculated in the present work enable the modeling of wall ablation-controlled plasma processes.

  20. Medium term outcome of bipolar plasma vaporization in prostate cancer patients--a palliative modality of preserving spontaneous voiding.

    Science.gov (United States)

    Geavlete, B; Moldoveanu, C; Niţă, Gh; Stănescu, F; Jecu, M; Geavlete, P

    2012-12-15

    This retrospective analysis evaluated the efficiency, safety, and medium term postoperative results of bipolar plasma vaporization (BPV) in prostate cancer (PCa) cases associating complete urinary retention. A series of 40 patients diagnosed with locally advanced or metastatic PCa and complete urinary retention requiring a Foley catheter indwelling underwent BPV aiming to restore spontaneous voiding. A total of 35 patients completed the one year evaluation protocol consisting of International Prostate Symptom Score (IPSS), quality of life score (QoL), maximum flow rate (Q(max)) and post-voiding residual urinary volume (PVR), measured at 1, 3, 6 and 12 months after surgery. BPV was successfully performed in all cases with satisfactory efficiency, as confirmed by the mean operation time (42.8 minutes) and hemoglobin drop (0.7 g/dl). A fast and safe postoperative recovery period was described in this series (hematuria rate--7.5%; mean catheterization period--36 hours; mean hospital stay--2.5 days; early-irritative symptoms' rate--15%). At 1, 3, 6 and 12 months, satisfactory values were determined in terms of IPSS, Qmax, QoL and PVR. These parameters emphasized a stable evolution throughout the entire follow-up, as 88.6% of the patients maintained spontaneous voiding. The present trial confirmed the plasma-button vaporization as a promising therapeutic approach in PCa cases associating complete urinary retention. The technique displayed good efficacy, low perioperative morbidity, short convalescence, and satisfactory urodynamics and symptom score parameters during the one-year follow-up period.

  1. Plasma-assisted heterogeneous catalysis for NOx reduction in lean-burn engine exhaust

    Energy Technology Data Exchange (ETDEWEB)

    Penetrante, B.M.; Hsaio, M.C.; Merritt, B.T.; Vogtlin, G.E. [Lawrence Livermore National Lab., CA (United States); Wan, C.Z.; Rice, G.W.; Voss, K.E. [Engelhard Corp., Iselin, NJ (United States)

    1997-12-31

    This paper discusses the combination of a plasma with a catalyst to improve the reduction of NO{sub x} under lean-burn conditions. The authors have been investigating the effects of a plasma on the NO{sub x} reduction activity and temperature operating window of various catalytic materials. One of the goals is to develop a fundamental understanding of the interaction between the gas-phase plasma chemistry and the heterogeneous chemistry on the catalyst surface. The authors have observed that plasma assisted heterogeneous catalysis can facilitate NO{sub x} reduction under conditions that normally make it difficult for either the plasma or the catalyst to function by itself. By systematically varying the plasma electrode and catalyst configuration, they have been able to elucidate the process by which the plasma chemistry affects the chemical reduction of NO{sub x} on the catalyst surface. They have discovered that the main effect of the plasma is to induce the gas-phase oxidation of NO to NO{sub 21}. The reduction of NO{sub x} to N{sub 2} is then accomplished by heterogeneous reaction of O with activated hydrocarbons on the catalyst surface. The use of a plasma opens the opportunity for a new class of catalysts that are potentially more durable, more active, more selective and more sulfur-tolerant compared to conventional lean-NO{sub x} catalysts.

  2. Alushta-2012. International Conference-School on Plasma Physics and Controlled Fusion and the Adjoint Workshop 'Nano-and micro-sized structures in plasmas'. Book of Abstracts

    International Nuclear Information System (INIS)

    Makhlaj, V.A.

    2012-01-01

    The Conference was devoted to a new valuable information about the present status of plasma physics and controlled fusion research. The main topics was : magnetic confinement systems; plasma heating and current drive; ITER and fusion reactor aspects; basic plasma physics; space plasma; plasma dynamics and plasma-wall interaction; plasma electronics; low temperature plasma and plasma technologies; plasma diagnostics; formation of nano-and micro-sized structures in plasmas; properties of plasmas with nano- and micro- objects

  3. Summary of the international 'Dawson' Symposium on the physics of plasmas

    International Nuclear Information System (INIS)

    Tajima, T.

    1990-12-01

    The ''Dawson'' Symposium was held on September 24 and 25, 1990 in honor of John Dawson's 60th birthday to reflect on various physics of plasma that he had pioneered. The international speakers touched on a wide range of subjects: magnetic fusion, laser fusion, isotope separation, computer simulation, basic plasma physics, accelerators and light sources, space physics, and international scientific collaboration. Highlighted in this article are magnetic fusion and laser fusion investigation that Dawson has been engaged in and the reviews of the present status of their development. The impact of the two-component fusion plasma idea, reactor concepts for advanced fuels, hot electron production by lasers and other nonlinear effects in laser fusion are discussed. Dawson's contributions in the allied areas are also reviewed

  4. A physics department's role in preparing physics teachers: The Colorado learning assistant model

    Science.gov (United States)

    Otero, Valerie; Pollock, Steven; Finkelstein, Noah

    2010-11-01

    In response to substantial evidence that many U.S. students are inadequately prepared in science and mathematics, we have developed an effective and adaptable model that improves the education of all students in introductory physics and increases the numbers of talented physics majors becoming certified to teach physics. We report on the Colorado Learning Assistant model and discuss its effectiveness at a large research university. Since its inception in 2003, we have increased the pool of well-qualified K-12 physics teachers by a factor of approximately three, engaged scientists significantly in the recruiting and preparation of future teachers, and improved the introductory physics sequence so that students' learning gains are typically double the traditional average.

  5. [Research programs in plasma physics]: Annual report

    International Nuclear Information System (INIS)

    Weitzner, H.

    1988-01-01

    This paper contains a brief review of the work done in 1987 at New York University in plasma physics. Topics discussed in this report are: reduction and interpretation of experimental tokamak data, turbulent transport in tokamaks and RFP's, laminar flow transport, wave propagation in different frequency regimes, stability of flows, plasma fueling, magnetic reconnection problems, development of new numerical techniques for Fokker-Planck-like equations, and stability of shock waves. Outside of fusion there has been work in free electron lasers, heating of solar coronal loops and renormalized theory of fluid turbulence

  6. Stress hysteresis and mechanical properties of plasma-enhanced chemical vapor deposited dielectric films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.; Kamarajugadda, Mallika; Bozeman, Steven P.; Stearns, Laura C.

    2004-02-01

    A comprehensive survey is described of the responses of three plasma-enhanced chemical vapor deposited dielectric film systems to thermal cycling and indentation contact. All three films—silicon oxide, silicon nitride, and silicon oxy-nitride—exhibited significant nonequilibrium permanent changes in film stress on thermal cycling or annealing. The linear relationship between stress and temperature changed after the films were annealed at 300 °C, representing a structural alteration in the film reflecting a change in coefficient of thermal expansion or biaxial modulus. A double-substrate method was used to deduce both thermoelastic properties before and after the anneal of selected films and the results were compared with the modulus deconvoluted from small-scale depth-sensing indentation experiments (nanoindentation). Rutherford backscattering spectrometry and hydrogen forward scattering were used to deduce the composition of the films and it was found that all the films contained significant amounts of hydrogen.

  7. Physics of the quark - gluon plasma

    International Nuclear Information System (INIS)

    2001-09-01

    This document gathers 31 contributions to the workshop on the physics of quark-gluon plasma that took place in Palaiseau in september 2001: 1) gamma production in heavy collisions, 2) BRAHMS, 3) experimental conference summary, 4) modelling relativistic nuclear collisions, 5) microscopic reaction dynamics at SPS and RHIC, 6) direct gamma and hard scattering at SPS, 7) soft physics at RHIC, 8) results from the STAR experiment, 9) quarkonia: experimental possibilities, 10) elliptic flow measurements with PHENIX, 11) charmonium production in p-A collisions, 12) anisotropic flow at the SPS and RHIC, 13) deciphering the space-time evolution of heavy ion collisions with correlation measurements, 14) 2-particle correlation at RHIC, 15) particle spectra at AGS, SPS and RHIC, 16) strangeness production in STAR, 17) strangeness production in Pb-Pb collisions at SPS, 18) heavy ion physics at CERN after 2000 and before LHC, 19) NEXUS guideline and theoretical consistency, 20) introduction to high p T physics at RHIC, 21) a novel quasiparticle description of the quark-gluon plasma, 22) dissociation of excited quarkonia states, 23) high-mass dimuon and B → J/Ψ production in ultrarelativistic heavy ion collisions, 24) strange hyperon production in p + p and p + Pb interactions from NA49, 25) heavy quarkonium hadron cross-section, 26) a new method of flow analysis, 27) low mass dilepton production and chiral symmetry restoration, 28) classical initial conditions for nucleus-nucleus collisions, 29) numerical calculation of quenching weights, 30) strangeness enhancement energy dependence, and 31) heavy quarkonium dissociation

  8. Physics of the quark - gluon plasma

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-09-01

    This document gathers 31 contributions to the workshop on the physics of quark-gluon plasma that took place in Palaiseau in september 2001: 1) gamma production in heavy collisions, 2) BRAHMS, 3) experimental conference summary, 4) modelling relativistic nuclear collisions, 5) microscopic reaction dynamics at SPS and RHIC, 6) direct gamma and hard scattering at SPS, 7) soft physics at RHIC, 8) results from the STAR experiment, 9) quarkonia: experimental possibilities, 10) elliptic flow measurements with PHENIX, 11) charmonium production in p-A collisions, 12) anisotropic flow at the SPS and RHIC, 13) deciphering the space-time evolution of heavy ion collisions with correlation measurements, 14) 2-particle correlation at RHIC, 15) particle spectra at AGS, SPS and RHIC, 16) strangeness production in STAR, 17) strangeness production in Pb-Pb collisions at SPS, 18) heavy ion physics at CERN after 2000 and before LHC, 19) NEXUS guideline and theoretical consistency, 20) introduction to high p{sub T} physics at RHIC, 21) a novel quasiparticle description of the quark-gluon plasma, 22) dissociation of excited quarkonia states, 23) high-mass dimuon and B {yields} J/{psi} production in ultrarelativistic heavy ion collisions, 24) strange hyperon production in p + p and p + Pb interactions from NA49, 25) heavy quarkonium hadron cross-section, 26) a new method of flow analysis, 27) low mass dilepton production and chiral symmetry restoration, 28) classical initial conditions for nucleus-nucleus collisions, 29) numerical calculation of quenching weights, 30) strangeness enhancement energy dependence, and 31) heavy quarkonium dissociation.

  9. Renormalization and plasma physics

    Energy Technology Data Exchange (ETDEWEB)

    Krommes, J.A.

    1980-02-01

    A review is given of modern theories of statistical dynamics as applied to problems in plasma physics. The derivation of consistent renormalized kinetic equations is discussed, first heuristically, later in terms of powerful functional techniques. The equations are illustrated with models of various degrees of idealization, including the exactly soluble stochastic oscillator, a prototype for several important applications. The direct-interaction approximation is described in detail. Applications discussed include test particle diffusion and the justification of quasilinear theory, convective cells, E vector x B vector turbulence, the renormalized dielectric function, phase space granulation, and stochastic magnetic fields.

  10. Renormalization and plasma physics

    International Nuclear Information System (INIS)

    Krommes, J.A.

    1980-02-01

    A review is given of modern theories of statistical dynamics as applied to problems in plasma physics. The derivation of consistent renormalized kinetic equations is discussed, first heuristically, later in terms of powerful functional techniques. The equations are illustrated with models of various degrees of idealization, including the exactly soluble stochastic oscillator, a prototype for several important applications. The direct-interaction approximation is described in detail. Applications discussed include test particle diffusion and the justification of quasilinear theory, convective cells, E vector x B vector turbulence, the renormalized dielectric function, phase space granulation, and stochastic magnetic fields

  11. Annual review of the Institute of Plasma Physics, Nagoya University, for fiscal 1978

    International Nuclear Information System (INIS)

    1979-01-01

    Activities of Institute of Plasma Physics, Nagoya University, from April 1978 to March 1979, are described in individual short summaries. As a main project, the JIPP T-II program aims at confinement and heating of hot plasmas in a tokamak/stellarator hybrid system. The STP-3 system for high beta pinch plasma has now almost been completed. Installation of the RFC-XX is now complete with the delivery of two rf oscillators for point cusp plugs. In high energy beam experiment, toroidal magnetic configurations maintained by intense relativistic currents were demonstrated. The Nagoya Bumpy Torus is a race track convertible to a circular torus. In parallel with the above research projects, there continued experiments on basic plasma physics, laser-produced plasma, the atomic processes and the surface physics related to the plasma-wall interaction. Theoretical and computational divisions worked in close collaboration with the above. (J.P.N.)

  12. BOOK REVIEW: Introduction to Plasma Physics: With Space and Laboratory Applications

    Science.gov (United States)

    Browning, P. K.

    2005-07-01

    A new textbook on plasma physics must be very welcome, as this will encourage the teaching of courses on the subject. This book is written by two experts in their fields, and is aimed at advanced undergraduate and postgraduate courses. There are of course many other plasma physics textbooks available. The niche which this particular book fills is really defined by its subtitle: that is, `with space and laboratory applications'. This differs from most other books which tend to emphasise either space or fusion applications (but not both) or to concentrate only on general theory. Essentially, the emphasis here is on fundamental plasma physics theory, but applications are given from time to time. For example, after developing Alfvén wave theory, observations of Alfvén waves in the solar wind and in the Jovian magnetosphere are presented; whilst ion acoustic cylcotron waves are illustrated by data from a laboratory Q machine. It is fair to say that examples from space seem to predominate. Nevertheless, the approach of including a broad range of applications is very good from an educational point of view, and this should help to train a generation of students with a grasp of fundamental plasma physics who can work in a variety of research fields. The subject coverage of the book is fairly conventional and there are no great surprises. It begins, inevitably, with a discussion of plasma parameters (Debye length etc) and of single particle motions. Both kinetic theory and magnetohydrodynamics are introduced. Waves are quite extensively discussed in several chapters, including both cold and hot plasmas, magnetised and unmagnetised. Nonlinear effects—a large subject!—are briefly discussed. A final chapter deals with collisions in fully ionised plasmas. The choice of contents of a textbook is always something of a matter of personal choice. It is easy to complain about what has been left out, and everyone has their own favourite topics. With that caveat, I would question

  13. Plasma-assisted co-evaporation of {beta}-indium sulfide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kosaraju, Sreenivas; Marino, Joseph A.; Harvey, John A.; Wolden, Colin A. [Department of Chemical Engineering, Colorado School of Mines, Golden, CO 80401 (United States)

    2006-05-05

    This paper describes the development of plasma-assisted co-evaporation (PACE) for the formation of {beta}-In{sub 2}S{sub 3} thin films. Indium was supplied by conventional thermal evaporation, while the chalcogen gas precursor (H{sub 2}S) was activated using an inductively coupled plasma (ICP) source. Using a combination of optical emission spectroscopy and mass spectrometry it was shown that the ICP effectively dissociated H{sub 2}S, producing atomic sulfur. Transport modeling was used to quantify the flux distributions of the co-evaporated metal and the plasma-generated species impinging the substrate. Model predictions were validated by measurements of deposition rate and film properties. Substantial improvements in both materials utilization and substrate temperature reduction were realized with respect to conventional co-evaporation. {beta}-In{sub 2}S{sub 3} was formed as low as 100{sup o}C and it was observed that quality was a strong function of S/In ratio. The grain size decreased and the optical band gap increased as the substrate temperature was reduced. (author)

  14. Princeton Plasma Physics Laboratory. Annual report, October 1, 1989--September 30, 1990

    Energy Technology Data Exchange (ETDEWEB)

    1990-12-31

    This report discusses the following topics: principal parameters achieved in experimental devices fiscal year 1990; tokamak fusion test reactor; compact ignition tokamak; Princeton beta experiment- modification; current drive experiment-upgrade; international collaboration; x-ray laser studies; spacecraft glow experiment; plasma processing: deposition and etching of thin films; theoretical studies; tokamak modeling; international thermonuclear experimental reactor; engineering department; project planning and safety office; quality assurance and reliability; technology transfer; administrative operations; PPPL patent invention disclosures for fiscal year 1990; graduate education; plasma physics; graduate education: plasma science and technology; science education program; and Princeton Plasma Physics Laboratory reports fiscal year 1990.

  15. Applications of Symmetry Methods to the Theory of Plasma Physics

    Directory of Open Access Journals (Sweden)

    Giampaolo Cicogna

    2006-02-01

    Full Text Available The theory of plasma physics offers a number of nontrivial examples of partial differential equations, which can be successfully treated with symmetry methods. We propose three different examples which may illustrate the reciprocal advantage of this "interaction" between plasma physics and symmetry techniques. The examples include, in particular, the complete symmetry analysis of system of two PDE's, with the determination of some conditional and partial symmetries, the construction of group-invariant solutions, and the symmetry classification of a nonlinear PDE.

  16. 1984 Review of the Applied Plasma Physics Program

    International Nuclear Information System (INIS)

    1984-09-01

    This report describes the present and planned programs of the Division of Applied Plasma Physics (APP), Office of Fusion Energy. The major activities of the division include fusion theory, experimental plasma research, advanced fusion concepts, and the magnetic fusion energy computer network. The planned APP program is consistent with the recently issued Comprehensive Program Management Plan for Magnetic Fusion Energy, which describes the overall objectives and strategy for the development of fusion energy

  17. MD simulation: determination of the physical properties and surface vaporization analysis of beryllium armours

    International Nuclear Information System (INIS)

    Prinzio, M. Di; Aquaro, D.

    2006-01-01

    The erosion of the divertor and of the first wall determined on the base of the anticipated operating conditions, is a critical issue that could affect the performance and the operating schedule of the nuclear fusion reactor ITER. This paper deals with the analysis of beryllium thermal properties by means of MD simulations, in order to better predict thermal behaviour of beryllium armoured PFCs in fusion devices. The importance of this analysis is clearly connected to thermal response evaluation of PFCs to high heat flux exposure, during off-normal events and Edge Localized Modes. The ensuing strong over-heating, in fact, produces material ablation through vaporization of surface material layers and possible loss of melting material. The overall PFCs erosion has bearings on plasma contamination, due to eroded material transport, and components lifetime, due to armour thickness reduction. An important feature of beryllium is its high vapour pressure. During thermal transients the strong vaporization keeps surface temperature relatively low but eroded thickness results high as well. Small changes in beryllium vapour pressure produce not negligible differences in thermal analyses results. On the basis of available force fields, classical Molecular Dynamics simulations have been carried out in order to better understand surface vaporization in tokamak conditions and to evaluate the effect of beryllium oxides formation. This effect has been successfully modelled by MD simulation, carried out with Moldy code. Morse stretching and bending potential for Be-O bond simulation have been used, and partial charges method, accounting for molecular polarity, has been employed. Since during short thermal transients, such as ELMs, only a few microns of Be armour will be overheated and reach melting threshold, the effective thermal conductivity is very important in determining the temperature evolution of surface layers and the ensuing erosion. Thermal conductivity can be evaluated

  18. Perspective: The physics, diagnostics, and applications of atmospheric pressure low temperature plasma sources used in plasma medicine

    Science.gov (United States)

    Laroussi, M.; Lu, X.; Keidar, M.

    2017-07-01

    Low temperature plasmas have been used in various plasma processing applications for several decades. But it is only in the last thirty years or so that sources generating such plasmas at atmospheric pressure in reliable and stable ways have become more prevalent. First, in the late 1980s, the dielectric barrier discharge was used to generate relatively large volume diffuse plasmas at atmospheric pressure. Then, in the early 2000s, plasma jets that can launch cold plasma plumes in ambient air were developed. Extensive experimental and modeling work was carried out on both methods and much of the physics governing such sources was elucidated. Starting in the mid-1990s, low temperature plasma discharges have been used as sources of chemically reactive species that can be transported to interact with biological media, cells, and tissues and induce impactful biological effects. However, many of the biochemical pathways whereby plasma affects cells remain not well understood. This situation is changing rather quickly because the field, known today as "plasma medicine," has experienced exponential growth in the last few years thanks to a global research community that engaged in fundamental and applied research involving the use of cold plasma for the inactivation of bacteria, dental applications, wound healing, and the destruction of cancer cells/tumors. In this perspective, the authors first review the physics as well as the diagnostics of the principal plasma sources used in plasma medicine. Then, brief descriptions of their biomedical applications are presented. To conclude, the authors' personal assessment of the present status and future outlook of the field is given.

  19. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  20. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.