WorldWideScience

Sample records for plasma-assisted molecular-beam epitaxy

  1. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Turski, H.; Muziol, G.; Wolny, P.; Cywiński, G.; Grzanka, S.; Sawicka, M.; Perlin, P.; Skierbiszewski, C.

    2014-01-01

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ N ) during quantum wells (QWs) growth. We found that high Φ N improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold current density are discussed

  2. Growth of InN on 6H-SiC by plasma assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Brown, April S.; Kim, Tong-Ho; Choi, Soojeong; Wu, Pae; Morse, Michael [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Moto, Akihiro [Innovation Core SEI, Inc., 3235 Kifer Road, Santa Clara, CA 95051 (United States)

    2006-06-15

    We have investigated the growth of InN films by plasma assisted molecular beam epitaxy on the Si-face of 6H-SiC(0001). Growth is performed under In-rich conditions using a two-step process consisting of the deposition of a thin, low-temperature 350 C InN buffer layer, followed by the subsequent deposition of the InN epitaxial layer at 450 C. The effect of buffer annealing is investigated. The structural and optical evolution of the growing layer has been monitored in real time using RHEED and spectroscopic ellipsometry. Structural, morphological, electrical and optic properties are discussed. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Epitaxial growth of M-plane GaN on ZnO micro-rods by plasma-assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Shuo-Ting You

    2015-12-01

    Full Text Available We have studied the GaN grown on ZnO micro-rods by plasma-assisted molecular beam epitaxy. From the analyses of GaN microstructure grown on non-polar M-plane ZnO surface ( 10 1 ̄ 0 by scanning transmission electron microscope, we found that the ZnGa2O4 compound was formed at the M-plane hetero-interface, which was confirmed by polarization-dependent photoluminescence. We demonstrated that the M-plane ZnO micro-rod surface can be used as an alternative substrate to grow high quality M-plane GaN epi-layers.

  4. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  5. GaN Schottky diodes with single-crystal aluminum barriers grown by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Tseng, H. Y.; Yang, W. C.; Lee, P. Y.; Lin, C. W.; Cheng, Kai-Yuan; Hsieh, K. C.; Cheng, K. Y., E-mail: kycheng@ee.nthu.edu.tw [Department of Electrical Engineering, National Tsing Hua University, Hsinchu 30013, Taiwan (China); Hsu, C.-H. [Division of Scientific Research, National Synchrotron Radiation Research Center, Hsinchu 30076, Taiwan (China)

    2016-08-22

    GaN-based Schottky barrier diodes (SBDs) with single-crystal Al barriers grown by plasma-assisted molecular beam epitaxy are fabricated. Examined using in-situ reflection high-energy electron diffractions, ex-situ high-resolution x-ray diffractions, and high-resolution transmission electron microscopy, it is determined that epitaxial Al grows with its [111] axis coincident with the [0001] axis of the GaN substrate without rotation. In fabricated SBDs, a 0.2 V barrier height enhancement and 2 orders of magnitude reduction in leakage current are observed in single crystal Al/GaN SBDs compared to conventional thermal deposited Al/GaN SBDs. The strain induced piezoelectric field is determined to be the major source of the observed device performance enhancements.

  6. The growth of III-V nitrides heterostructure on Si substrate by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Beh, K.P.; Yam, F.K.; Chin, C.W.; Tneh, S.S.; Hassan, Z.

    2010-01-01

    This paper reports the growth of InGaN/GaN/AlN epitaxial layer on Si(1 1 1) substrate by utilizing plasma-assisted molecular beam epitaxy (PA-MBE) system. The as-grown film was characterized using high-resolution X-ray diffraction (HR-XRD) and photoluminescence (PL). High work function metals, iridium and gold were deposited on the film as metal contacts and their electrical characteristics at pre- and post-annealing were studied. The structural quality of this film is comparative to the values reported in the literature, and the indium molar fraction is 0.57 by employing Vegard's law. The relatively low yellow band emission signifies the grown film is of high quality. For metal contact studies it was found that the post-annealed sample for 5 min shows good conductivity as compared to the other samples.

  7. Reduction of buffer layer conduction near plasma-assisted molecular-beam epitaxy grown GaN/AlN interfaces by beryllium doping

    International Nuclear Information System (INIS)

    Storm, D.F.; Katzer, D.S.; Binari, S.C.; Glaser, E.R.; Shanabrook, B.V.; Roussos, J.A.

    2002-01-01

    Beryllium doping of epitaxial GaN layers is used to reduce leakage currents through interfacial or buffer conducting layers grown by plasma-assisted molecular-beam epitaxy on SiC. Capacitance-voltage measurements of Schottky barrier test structures and dc pinch-off characteristics of unintentionally doped GaN high-electron-mobility transistors indicate that these leakage currents are localized near the GaN/AlN interface of our AlGaN/GaN/AlN device structures. Insertion of a 2000 Aa Be:GaN layer at the interface reduces these currents by three orders of magnitude

  8. Real time spectroscopic ellipsometry investigation of homoepitaxial GaN grown by plasma assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Tong-Ho; Choi, Soojeong; Wu, Pae; Brown, April [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Moto, Akihiro [Innovation Core SEI, Inc., 3235 Kifer Road, Santa Clara, CA 95051 (United States)

    2006-06-15

    The growth of GaN by plasma assisted molecular beam epitaxy on GaN template substrates (GaN on sapphire) is investigated with in-situ multi-channel spectroscopic ellipsometry. Growth is performed under various Ga/N flux ratios at growth temperatures in the range 710-780 C. The thermal roughening of the GaN template caused by decomposition of the surface is investigated through the temporal variation of the GaN pseudodielectric function over the temperature range of 650 C to 850 C. The structural, morphological, and optical properties are also discussed. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Plasma-assisted Molecular Beam Epitaxy of N-polar InAlN-barrier High-electron-mobility Transistors.

    Science.gov (United States)

    Hardy, Matthew T; Storm, David F; Katzer, D Scott; Downey, Brian P; Nepal, Neeraj; Meyer, David J

    2016-11-24

    Plasma-assisted molecular beam epitaxy is well suited for the epitaxial growth of III-nitride thin films and heterostructures with smooth, abrupt interfaces required for high-quality high-electron-mobility transistors (HEMTs). A procedure is presented for the growth of N-polar InAlN HEMTs, including wafer preparation and growth of buffer layers, the InAlN barrier layer, AlN and GaN interlayers and the GaN channel. Critical issues at each step of the process are identified, such as avoiding Ga accumulation in the GaN buffer, the role of temperature on InAlN compositional homogeneity, and the use of Ga flux during the AlN interlayer and the interrupt prior to GaN channel growth. Compositionally homogeneous N-polar InAlN thin films are demonstrated with surface root-mean-squared roughness as low as 0.19 nm and InAlN-based HEMT structures are reported having mobility as high as 1,750 cm 2 /V∙sec for devices with a sheet charge density of 1.7 x 10 13 cm -2 .

  10. Mg doping of GaN grown by plasma-assisted molecular beam epitaxy under nitrogen-rich conditions

    International Nuclear Information System (INIS)

    Zhang Meng; Bhattacharya, Pallab; Guo Wei; Banerjee, Animesh

    2010-01-01

    Acceptor doping of GaN with Mg during plasma-assisted molecular beam epitaxy, under N-rich conditions and a relatively high growth temperature of 740 deg. C, was investigated. The p-doping level steadily increases with increasing Mg flux. The highest doping level achieved, determined from Hall measurements, is 2.1x10 18 cm -3 . The corresponding doping efficiency and hole mobility are ∼4.9% and 3.7 cm 2 /V s at room temperature. Cross-sectional transmission electron microscopy and photoluminescence measurements confirm good crystalline and optical quality of the Mg-doped layers. An InGaN/GaN quantum dot light emitting diode (λ peak =529 nm) with p-GaN contact layers grown under N-rich condition exhibits a low series resistance of 9.8 Ω.

  11. n-type dopants in (001) β-Ga2O3 grown on (001) β-Ga2O3 substrates by plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Han, Sang-Heon; Mauze, Akhil; Ahmadi, Elaheh; Mates, Tom; Oshima, Yuichi; Speck, James S.

    2018-04-01

    Ge and Sn as n-type dopants in (001) β-Ga2O3 films were investigated using plasma-assisted molecular beam epitaxy. The Ge concentration showed a strong dependence on the growth temperature, whereas the Sn concentration remains independent of the growth temperature. The maximum growth temperature at which a wide range of Ge concentrations (from 1017 to 1020 cm-3) could be achieved was 675 °C while the same range of Sn concentration could be achieved at growth temperature of 750 °C. Atomic force microscopy results revealed that higher growth temperature shows better surface morphology. Therefore, our study reveals a tradeoff between higher Ge doping concentration and high quality surface morphology on (001) β-Ga2O3 films grown by plasma-assisted molecular beam epitaxy. The Ge doped films had an electron mobility of 26.3 cm2 V-1 s-1 at the electron concentration of 6.7 × 1017 cm-3 whereas the Sn doped films had an electron mobility of 25.3 cm2 V-1 s-1 at the electron concentration of 1.1 × 1018 cm-3.

  12. Pumping requirements and options for molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

    International Nuclear Information System (INIS)

    McCollum, M.J.; Plano, M.A.; Haase, M.A.; Robbins, V.M.; Jackson, S.L.; Cheng, K.Y.; Stillman, G.E.

    1989-01-01

    This paper discusses the use of gas sources in growth by MBE as a result of current interest in growth of InP/InGaAsP/InGaAs lattice matched to InP. For gas flows greater than a few sccm, pumping speed requirements dictate the use of turbomolecular or diffusion pumps. GaAs samples with high p-type mobilities have been grown with diffusion pumped molecular beam epitaxial system. According to the authors, this demonstration of the inherent cleanliness of a properly designed diffusion pumping system indicates that a diffusion pump is an excellent inexpensive and reliable choice for growth by molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

  13. Characterization of GaN P-N Junction Grown on Si (111) Substrate by Plasma-assisted Molecular Beam Epitaxy

    International Nuclear Information System (INIS)

    Rosfariza Radzali; Rosfariza Radzali; Mohd Anas Ahmad; Zainuriah Hassan; Norzaini Zainal; Kwong, Y.F.; Woei, C.C.; Mohd Zaki Mohd Yusoff; Mohd Zaki Mohd Yusoff

    2011-01-01

    In this report, the growth of GaN pn junction on Si (111) substrate by plasma assisted molecular beam epitaxy (PAMBE) is presented. Doping of GaN p-n junction has been carried out using Si and Mg as n-type dopant and p-type dopants, respectively. The sample had been characterized by PL, Raman spectroscopy, HR-XRD and SEM. PL spectrum showed strong band edge emission of GaN at ∼364 nm, indicating good quality of the sample. The image of SEM cross section of the sample showed sharp interfaces. The presence of peak ∼657 cm -1 in Raman measurement exhibited successful doping of Mg in the sample. (author)

  14. P-type doping of semipolar GaN(11 anti 22) by plasma-assisted molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Das, A.; Lahourcade, L. [Equipe Mixte CEA-CNRS, Nanophysique et Semiconducteurs, CEA-Grenoble, INAC/SP2M, Grenoble (France); Pernot, J. [Institut Neel, CNRS et Universite Joseph Fourier, Grenoble (France); Valdueza-Felip, S. [Equipe Mixte CEA-CNRS, Nanophysique et Semiconducteurs, CEA-Grenoble, INAC/SP2M, Grenoble (France); Dept. Electronica, Escuela Politecnica, Universidad de Alcala, Alcala de Henares, Madrid (Spain); Ruterana, P. [CIMAP, UMR6252, CNRS-ENSICAEN-CEA-UCBN, Caen (France); Laufer, A.; Eickhoff, M. [I. Physikalisches Institut, Justus-Liebig-Universitaet Giessen (Germany); Monroy, E.

    2010-07-15

    We report the effect of Mg doping on the growth kinetics of semipolar GaN(11-22) synthesized by plasma-assisted molecular-beam epitaxy. Mg tends to segregate on the surface, inhibiting the formation of the self-regulated Ga film which is used as a surfactant for the growth of undoped and Si-doped GaN(11-22). As a result, the growth widow is reduced for Mg doped layers, and we observe a certain deterioration of the surface morphology. In spite of this difficulties, homogenous Mg incorporation is achieved and layers display p -type conductivity for Mg atomic concentration higher than 7 x 10{sup 18} cm{sup -3}. Microscopy studies show no evidence of the pyramidal defects or polarity inversion domains found in Mg-doped GaN(0001). (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Direct growth of hexagonal boron nitride/graphene heterostructures on cobalt foil substrates by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Zhongguang; Khanaki, Alireza; Tian, Hao; Zheng, Renjing; Suja, Mohammad; Liu, Jianlin, E-mail: jianlin@ece.ucr.edu [Quantum Structures Laboratory, Department of Electrical and Computer Engineering, University of California, Riverside, California 92521 (United States); Zheng, Jian-Guo [Irvine Materials Research Institute, University of California, Irvine, California 92697-2800 (United States)

    2016-07-25

    Graphene/hexagonal boron nitride (G/h-BN) heterostructures have attracted a great deal of attention because of their exceptional properties and wide variety of potential applications in nanoelectronics. However, direct growth of large-area, high-quality, and stacked structures in a controllable and scalable way remains challenging. In this work, we demonstrate the synthesis of h-BN/graphene (h-BN/G) heterostructures on cobalt (Co) foil by sequential deposition of graphene and h-BN layers using plasma-assisted molecular beam epitaxy. It is found that the coverage of h-BN layers can be readily controlled on the epitaxial graphene by growth time. Large-area, uniform-quality, and multi-layer h-BN films on thin graphite layers were achieved. Based on an h-BN (5–6 nm)/G (26–27 nm) heterostructure, capacitor devices with Co(foil)/G/h-BN/Co(contact) configuration were fabricated to evaluate the dielectric properties of h-BN. The measured breakdown electric field showed a high value of ∼2.5–3.2 MV/cm. Both I-V and C-V characteristics indicate that the epitaxial h-BN film has good insulating characteristics.

  16. Raman scattering and Rutherford backscattering studies on InN films grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chung, Yee Ling; Peng Xingyu; Liao, Ying Chieh; Yao Shude; Chen, Li Chyong; Chen, Kuei Hsien; Feng, Zhe Chuan

    2011-01-01

    A series of InN thin films was grown on sapphire substrates via plasma-assisted molecular beam epitaxy (PA-MBE) with different nitrogen plasma power. Various characterization techniques, including Hall, photoluminescence, Raman scattering and Rutherford backscattering, have been employed to study these InN films. Good crystalline wurtzite structures have been identified for all PA-MBE grown InN films on sapphire substrate, which have narrower XRD wurtzite (0002) peaks, showed c-axis Raman scattering allowed longitudinal optical (LO) modes of A 1 and E 1 plus E 2 symmetry, and very weak backscattering forbidden transverse optical (TO) modes. The lower plasma power can lead to the lower carrier concentration, to have the InN film close to intrinsic material with the PL emission below 0.70 eV. With increasing the plasma power, high carrier concentration beyond 1 x 10 20 cm -3 can be obtained, keeping good crystalline perfection. Rutherford backscattering confirmed most of InN films keeping stoichiometrical In/N ratios and only with higher plasma power of 400 W leaded to obvious surface effect and interdiffusion between the substrate and InN film.

  17. Room temperature Ultraviolet B emission from InAlGaN films synthesized by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kong, W., E-mail: wei.kong@duke.edu; Jiao, W. Y.; Kim, T. H.; Brown, A. S. [Department of Electrical and Computer Engineering, Duke University, Durham, North Carolina 27708 (United States); Roberts, A. T. [Charles Bowden Laboratory, Army Aviation and Missile RD& E Center, Redstone Arsenal, Alabama 35898 (United States); Fournelle, J. [Department of Geoscience, University of Wisconsin, Madison, Wisconsin 53706 (United States); Losurdo, M. [CNR-NANOTEC, Istituto di Nanotecnologia, via Orabona, 4-70126 Bari (Italy); Everitt, H. O. [Charles Bowden Laboratory, Army Aviation and Missile RD& E Center, Redstone Arsenal, Alabama 35898 (United States); Department of Physics, Duke University, Durham, North Carolina 27708 (United States)

    2015-09-28

    Thin films of the wide bandgap quaternary semiconductor In{sub x}Al{sub y}Ga{sub (1−x−y)}N with low In (x = 0.01–0.05) and high Al composition (y = 0.40–0.49) were synthesized on GaN templates by plasma-assisted molecular beam epitaxy. High-resolution X-ray diffraction was used to correlate the strain accommodation of the films to composition. Room temperature ultraviolet B (280 nm–320 nm) photoluminescence intensity increased with increasing In composition, while the Stokes shift remained relatively constant. The data suggest a competition between radiative and non-radiative recombination occurs for carriers, respectively, localized at centers produced by In incorporation and at dislocations produced by strain relaxation.

  18. Investigation of the growth of In2O3 on Y-stabilized ZrO2(100) by oxygen plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Bourlange, A.; Payne, D.J.; Palgrave, R.G.; Foord, J.S.; Egdell, R.G.; Jacobs, R.M.J.; Schertel, A.; Hutchison, J.L.; Dobson, P.J.

    2009-01-01

    Thin films of In 2 O 3 have been grown on Y-stabilised ZrO 2 (100) substrates by oxygen plasma assisted molecular beam epitaxy over a range of substrate temperatures between 650 o C and 900 o C. Growth at 650 o C leads to continuous but granular films and complete extinction of substrate core level structure in X-ray photoelectron spectroscopy. However with increasing substrate temperature the films break up into a series of discrete micrometer sized islands. Both the continuous and the island films have excellent epitaxial relationship with the substrate as gauged by X-ray diffraction and selected area electron diffraction and lattice imaging in high resolution transmission electron microscopy.

  19. UVB-emitting InAlGaN multiple quantum well synthesized using plasma-assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    W. Kong

    2017-03-01

    Full Text Available A high Al-content (y > 0.4 multi-quantum-well (MQW structure with a quaternary InxAlyGa(1-x-yN active layer was synthesized using plasma-assisted molecular beam epitaxy. The MQW structure exhibits strong carrier confinement and room temperature ultraviolet-B (UVB photoluminescence an order of magnitude stronger than that of a reference InxAlyGa(1-x-yN thin film with comparable composition and thickness. The samples were characterized using spectroscopic ellipsometry, atomic force microscopy, and high-resolution X-ray diffraction. Numerical simulations suggest that the UVB emission efficiency is limited by dislocation-related non-radiative recombination centers in the MQW and at the MQW - buffer interface. Emission efficiency can be significantly improved by reducing the dislocation density from 109cm−2 to 107cm−2 and by optimizing the width and depth of the quantum wells.

  20. Growth and etching characteristics of (001) β-Ga2O3 by plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Oshima, Yuichi; Ahmadi, Elaheh; Kaun, Stephen; Wu, Feng; Speck, James S.

    2018-01-01

    We investigated the homoepitaxial growth and etching characteristics of (001) β-Ga2O3 by plasma-assisted molecular beam epitaxy. The growth rate of β-Ga2O3 increased with increasing Ga-flux, reaching a clear plateau of 56 nm h-1, and then decreased at higher Ga-flux. The growth rate decreased from 56 to 42 nm h-1 when the substrate temperature was increased from 750 °C to 800 °C. The growth rate was negative (net etching) when only Ga-flux was supplied. The etching rate proportionally increased with increasing the Ga-flux, reaching 84 nm h-1. The etching was enhanced at higher temperatures. It was found that Ga-etching of (001) β-Ga2O3 substrates prior to the homoepitaxial growth markedly improved the surface roughness of the film.

  1. VO2 Thermochromic Films on Quartz Glass Substrate Grown by RF-Plasma-Assisted Oxide Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Dong Zhang

    2017-03-01

    Full Text Available Vanadium dioxide (VO2 thermochromic thin films with various thicknesses were grown on quartz glass substrates by radio frequency (RF-plasma assisted oxide molecular beam epitaxy (O-MBE. The crystal structure, morphology and chemical stoichiometry were investigated systemically by X-ray diffraction (XRD, atomic force microscopy (AFM, Raman spectroscopy and X-ray photoelectron spectroscopy (XPS analyses. An excellent reversible metal-to-insulator transition (MIT characteristics accompanied by an abrupt change in both electrical resistivity and optical infrared (IR transmittance was observed from the optimized sample. Remarkably, the transition temperature (TMIT deduced from the resistivity-temperature curve was reasonably consistent with that obtained from the temperature-dependent IR transmittance. Based on Raman measurement and XPS analyses, the observations were interpreted in terms of residual stresses and chemical stoichiometry. This achievement will be of great benefit for practical application of VO2-based smart windows.

  2. Blue-violet InGaN laser diodes grown on bulk GaN substrates by plasma-assisted molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Skierbiszewski, C.; Wasilewski, Z.R.; Siekacz, M.; Feduniewicz, A.; Perlin, P.; Wisniewski, P.; Borysiuk, J.; Grzegory, I.; Leszczynski, M.; Suski, T.; Porowski, S.

    2005-01-01

    We report on the InGaN multiquantum laser diodes (LDs) made by rf plasma-assisted molecular beam epitaxy (PAMBE). The laser operation at 408 nm is demonstrated at room temperature with pulsed current injections using 50 ns pulses at 0.25% duty cycle. The threshold current density and voltage for the LDs with cleaved uncoated mirrors are 12 kA/cm 2 (900 mA) and 9 V, respectively. High output power of 0.83 W is obtained during pulse operation at 3.6 A and 9.6 V bias with the slope efficiency of 0.35 W/A. The laser structures are deposited on the high-pressure-grown low dislocation bulk GaN substrates taking full advantage of the adlayer enhanced lateral diffusion channel for adatoms below the dynamic metallic cover. Our devices compare very favorably to the early laser diodes fabricated using the metalorganic vapor phase epitaxy technique, providing evidence that the relatively low growth temperatures used in this process pose no intrinsic limitations on the quality of the blue optoelectronic components that can be fabricated using PAMBE

  3. Lateral epitaxial overgrowth of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Yongjin; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here the lateral epitaxial overgrowth (LEO) of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy (MBE) growth with radio frequency nitrogen plasma as a gas source. Two kinds of GaN nanostructures are defined by electron beam lithography and realized on a GaN substrate by fast atom beam etching. The epitaxial growth of GaN by MBE is performed on the prepared GaN template, and the selective growth of GaN takes place with the assistance of GaN nanostructures. The LEO of GaN produces novel GaN epitaxial structures which are dependent on the shape and the size of the processed GaN nanostructures. Periodic GaN hexagonal pyramids are generated inside the air holes, and GaN epitaxial strips with triangular section are formed in the grating region. This work provides a promising way for producing novel GaN-based devices by the LEO of GaN using the MBE technique

  4. Stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy

    Science.gov (United States)

    Agrawal, M.; Ravikiran, L.; Dharmarasu, N.; Radhakrishnan, K.; Karthikeyan, G. S.; Zheng, Y.

    2017-01-01

    The stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy (PA-MBE) has been studied. AlN nucleation layer and GaN layer were grown as a function of III/V ratio. GaN/AlN structure is found to form buried cracks when AlN is grown in the intermediate growth regime(III/V˜1)and GaN is grown under N-rich growth regime (III/VHEMT) heterostructure was demonstrated on 2-inch SiC that showed good two dimensional electron gas (2DEG) properties with a sheet resistance of 480 Ω/sq, mobility of 1280 cm2/V.s and sheet carrier density of 1×1013 cm-2.

  5. Step-flow anisotropy of the m-plane GaN (1100) grown under nitrogen-rich conditions by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Sawicka, Marta; Siekacz, Marcin; Skierbiszewski, Czeslaw; Turski, Henryk; Krysko, Marcin; DziePcielewski, Igor; Grzegory, Izabella; Smalc-Koziorowska, Julita

    2011-01-01

    The homoepitaxial growth of m-plane (1100) GaN was investigated by plasma-assisted molecular beam epitaxy under nitrogen-rich conditions. The surface morphologies as a function of sample miscut were studied, providing evidence for a strong growth anisotropy that is a consequence of the anisotropy of Ga adatom diffusion barriers on the m-plane surface recently calculated ab initio[Lymperakis and Neugebauer, Phys. Rev. B 79, 241308(R) (2009)]. We found that substrate miscut toward [0001] implies a step flow toward while substrate miscut toward [0001] causes formation of atomic steps either perpendicular or parallel to the [0001] direction, under N-rich conditions at 730 deg C. We describe the growth conditions for achieving atomically flat m-plane GaN layers with parallel atomic steps.

  6. Roles of kinetics and energetics in the growth of AlN by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Im, I. H.; Minegishi, T.; Hanada, T.; Lee, S. W.; Cho, M. W.; Yao, T.; Oh, D. C.; Chang, J. H.

    2006-01-01

    The roles of kinetics and energetics in the growth processes of AlN on c-sapphire by plasma assisted molecular beam epitaxy are investigated by varying the growth rate from 1 to 31 A/min and the substrate temperature from 800 to 1000 .deg. C. The energetics is found to govern the growth of AlN in the low-growth rate region even at a low substrate temperature of 800 .deg. C owing to the enhanced residence time of adatoms, thereby increasing the surface migration length. As the growth rate increases, the growth tends to be governed by kinetics because of a reduction in the residence time of adatoms. Consequently, the surface roughness and crystal quality are greatly improved for the low-growth-rate case. In addition, the lattice strain relaxation is completed from the beginning of epitaxy for energetics-limiting growth while lattice strain relaxation is retarded for kinetics-limiting growth because of pre-existing partial strain relaxation. Energetics becomes more favorable as the substrate temperature is raised because of an increase in the surface diffusion length owing to an enhanced diffusion coefficient. Consequently high-crystal-quality AlN layers are grown under the energetics-limiting growth condition with a screw dislocation density of 7.4 x 10 8 cm -2 even for a thin 42-nm thick film.

  7. Properties of InSbN grown on GaAs by radio frequency nitrogen plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lim, K P; Yoon, S F; Pham, H T

    2009-01-01

    We report the growth of InSbN on a lattice-mismatched GaAs substrate using radio frequency nitrogen plasma-assisted molecular beam epitaxy. The effects of a two-step thin InSb buffer layer grown at 330 and 380 deg. C and substrate temperature (270-380 deg. C) on the properties of the InSbN are studied. The crystalline quality of the InSbN is significantly improved by the two-step buffer layer due to defect suppression. The shifting in the absorption edge of the InSbN from ∼5 to 8 μm following an increase in the substrate temperature is correlated with the reduction in free carrier concentration from ∼10 18 to 10 16 cm -3 and increase in concentration of N substituting Sb from ∼0.2 to 1%. These results will be beneficial to those working on the pseudo-monolithic integration of InSbN detectors on a GaAs platform.

  8. Growth mechanisms of plasma-assisted molecular beam epitaxy of green emission InGaN/GaN single quantum wells at high growth temperatures

    International Nuclear Information System (INIS)

    Yang, W. C.; Wu, C. H.; Tseng, Y. T.; Chiu, S. Y.; Cheng, K. Y.

    2015-01-01

    The results of the growth of thin (∼3 nm) InGaN/GaN single quantum wells (SQWs) with emission wavelengths in the green region by plasma-assisted molecular beam epitaxy are present. An improved two-step growth method using a high growth temperature up to 650 °C is developed to increase the In content of the InGaN SQW to 30% while maintaining a strong luminescence intensity near a wavelength of 506 nm. The indium composition in InGaN/GaN SQW grown under group-III-rich condition increases with increasing growth temperature following the growth model of liquid phase epitaxy. Further increase in the growth temperature to 670 °C does not improve the photoluminescence property of the material due to rapid loss of indium from the surface and, under certain growth conditions, the onset of phase separation

  9. Tin-Assisted Synthesis of ɛ -Ga2O3 by Molecular Beam Epitaxy

    Science.gov (United States)

    Kracht, M.; Karg, A.; Schörmann, J.; Weinhold, M.; Zink, D.; Michel, F.; Rohnke, M.; Schowalter, M.; Gerken, B.; Rosenauer, A.; Klar, P. J.; Janek, J.; Eickhoff, M.

    2017-11-01

    The synthesis of ɛ -Ga2O3 and β -Ga2O3 by plasma-assisted molecular beam epitaxy on (001 )Al2O3 substrates is studied. The growth window of β -Ga2O3 in the Ga-rich regime, usually limited by the formation of volatile gallium suboxide, is expanded due to the presence of tin during the growth process, which stabilizes the formation of gallium oxides. X-ray diffraction, transmission electron microscopy, time-of-flight secondary-ion mass spectrometry, Raman spectroscopy, and atomic force microscopy are used to analyze the influence of tin on the layer formation. We demonstrate that it allows the synthesis of phase-pure ɛ -Ga2O3 . A growth model based on the oxidation of gallium suboxide by reduction of an intermediate sacrificial tin oxide is suggested.

  10. High Al-content AlxGa1-xN epilayers grown on Si substrate by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hussein, A.SH.; Thahab, S.M.; Hassan, Z.; Chin, C.W.; Abu Hassan, H.; Ng, S.S.

    2009-01-01

    The microstructure and optical properties of Al x Ga 1-x N/GaN/AlN films on Si (1 1 1) substrate grown by plasma-assisted molecular beam epitaxy (MBE) have been studied and investigated. Reflection high energy electron diffraction (RHEED), scanning electron microscopy (SEM), high-resolution X-ray diffraction (HR-XRD), energy dispersive X-ray spectroscopy (EDS) line analysis and photoluminescence (PL) were used to investigate a reconstruction pattern, cross-section, mole fraction and crystalline quality of the heterostructure. By applying the Vegard's law, a high Al-mole fraction of Al x Ga 1-x N sample with value of 0.43 has been obtained and compared with EDS line analysis measurement value. PL spectrum has exhibited a sharp and intense band edge emission of GaN with the absence of yellow emission band, indicating good crystal quality of the Al x Ga 1-x N has been successfully grown on Si substrate.

  11. Room temperature photoluminescence from In{sub x}Al{sub (1−x)}N films deposited by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kong, W., E-mail: wei.kong@duke.edu; Jiao, W. Y.; Kim, T. H.; Brown, A. S. [Department of Electrical and Computer Engineering, Duke University, Durham, North Carolina 27708 (United States); Mohanta, A. [Oak Ridge Institute for Science and Education, Research Participation Program, U.S. Army Aviation and Missile Research, Development and Engineering Center (AMRDEC), Redstone Arsenal, Alabama 35898 (United States); Roberts, A. T. [Charles Bowden Research Lab, Army Aviation and Missile RD and E Center, Redstone Arsenal, Alabama 35898 (United States); Fournelle, J. [Department of Geoscience, University of Wisconsin, Madison, Wisconsin 53706 (United States); Losurdo, M. [Plasma Chemistry Research Center-CNR, via Orabona, 4-70126 Bari (Italy); Everitt, H. O. [Charles Bowden Research Lab, Army Aviation and Missile RD and E Center, Redstone Arsenal, Alabama 35898 (United States); Department of Physics, Duke University, Durham, North Carolina 27708 (United States)

    2014-09-29

    InAlN films deposited by plasma-assisted molecular beam epitaxy exhibited a lateral composition modulation characterized by 10–12 nm diameter, honeycomb-shaped, columnar domains with Al-rich cores and In-rich boundaries. To ascertain the effect of this microstructure on its optical properties, room temperature absorption and photoluminescence characteristics of In{sub x}Al{sub (1−x)}N were comparatively investigated for indium compositions ranging from x = 0.092 to 0.235, including x = 0.166 lattice matched to GaN. The Stokes shift of the emission was significantly greater than reported for films grown by metalorganic chemical vapor deposition, possibly due to the phase separation in these nanocolumnar domains. The room temperature photoluminescence also provided evidence of carrier transfer from the InAlN film to the GaN template.

  12. Structural, optical, and hydrogenation properties of ZnO nanowall networks grown on a Si (1 1 1) substrate by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Su, S.C.; Lu, Y.M.; Zhang, Z.Z.; Li, B.H.; Shen, D.Z.; Yao, B.; Zhang, J.Y.; Zhao, D.X.; Fan, X.W.

    2008-01-01

    ZnO nanowall networks were grown on a Si (1 1 1) substrate by plasma-assisted molecular beam epitaxy (P-MBE) without using catalysts. Scanning electronic microscopy (FE-SEM) confirmed the formation of nanowalls with a thickness of about 10-20 nm. X-ray diffraction (XRD) showed that the ZnO nanowall networks were crystallized in a wurtzite structure with their height parallel to the direction. Photoluminescence (PL) of the ZnO nanowall networks exhibited free excitons (FEs), donor-bound exciton (D 0 X), donor-acceptor pair (DAP), and free exciton to acceptor (FA) emissions. The growth mechanism of the ZnO nanowall networks was discussed, and their hydrogenation was also studied

  13. Real time ellipsometry for monitoring plasma-assisted epitaxial growth of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Losurdo, Maria [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy)]. E-mail: maria.losurdo@ba.imip.cnr.it; Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Capezzuto, Pio [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Brown, April S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Kim, Tong-Ho [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Choi, Soojeong [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States)

    2006-10-31

    GaN is grown on Si-face 4H-SiC(0 0 0 1) substrates using remote plasma-assisted methods including metalorganic chemical vapour deposition (RP-MOCVD) and molecular beam epitaxy (MBE). Real time spectroscopic ellipsometry is used for monitoring all the steps of substrate pre-treatments and the heteroepitaxial growth of GaN on SiC. Our characterization emphasis is on understanding the nucleation mechanism and the GaN growth mode, which depend on the SiC surface preparation.

  14. The Study of Al0.29Ga0.71N-BASED Schottky Photodiodes Grown on Silicon by Plasma-Assisted Molecular Beam Epitaxy

    Science.gov (United States)

    Mohd Yusoff, M. Z.; Hassan, Z.; Chin, C. W.; Hassan, H. Abu; Abdullah, M. J.; Mohammad, N. N.; Ahmad, M. A.; Yusof, Y.

    2013-05-01

    In this paper, the growth and characterization of epitaxial Al0.29Ga0.71N grown on Si(111) by RF-plasma assisted molecular beam epitaxy (MBE) are described. The Al mole fraction was derived from the HR-XRD symmetric rocking curve (RC) ω/2θ scans of (0002) plane as x = 0.29. PL spectrum of sample has shown sharp and intense band edge emission of GaN without the existence of yellow emission band, showing that it is comparable in crystal quality of the sample when compared with previous reports. From the Raman measurement of as-grown Al0.29Ga0.71N layer on GaN/AlN/Si sample. We found that the dominant E2 (high) phonon mode of GaN appears at 572.7 cm-1. The E2 (high) mode of AlN appears at 656.7 cm-1 and deviates from the standard value of 655 cm-1 for unstrained AlN. Finally, AlGaN Schottky photodiode have been fabricated and analyzed by mean of electrical characterization, using current-voltage (I-V) measurement to evaluate the performance of this device.

  15. Cu-doped AlN: A possible spinaligner at room-temperature grown by molecular beam epitaxy?

    Science.gov (United States)

    Ganz, P. R.; Schaadt, D. M.

    2011-12-01

    Cu-doped AlN was prepared by plasma assisted molecular beam epitaxy on C-plane sapphire substrates. The growth conditions were investigated for different Cu to Al flux ratios from 1.0% to 4.0%. The formation of Cu-Al alloys on the surface was observed for all doping level. In contrast to Cu-doped GaN, all samples showed diamagnetic behavior determined by SQUID measurements.

  16. Control over the morphology of AlN during molecular beam epitaxy with the plasma activation of nitrogen on Si (111) substrates

    International Nuclear Information System (INIS)

    Mizerov, A. M.; Kladko, P. N.; Nikitina, E. V.; Egorov, A. Yu.

    2015-01-01

    The results of studies of the growth kinetics of AlN layers during molecular beam epitaxy with the plasma activation of nitrogen using Si (111) substrates are presented. The possibility of the growth of individual AlN/Si (111) nanocolumns using growth conditions with enrichment of the surface with metal near the formation mode of Al drops, at a substrate temperature close to maximal, during molecular beam epitaxy with the plasma activation of nitrogen (T s ≈ 850°C) is shown. The possibility of growing smooth AlN layers on a nanocolumnar AlN/Si (111) buffer with the use of T s ≈ 750°C and growth conditions providing enrichment with metal is shown

  17. Control over the morphology of AlN during molecular beam epitaxy with the plasma activation of nitrogen on Si (111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Mizerov, A. M., E-mail: mizerov@beam.ioffe.ru; Kladko, P. N.; Nikitina, E. V.; Egorov, A. Yu. [Russian Academy of Sciences, St. Petersburg Academic University-Nanotechnology Research and Education Centre (Russian Federation)

    2015-02-15

    The results of studies of the growth kinetics of AlN layers during molecular beam epitaxy with the plasma activation of nitrogen using Si (111) substrates are presented. The possibility of the growth of individual AlN/Si (111) nanocolumns using growth conditions with enrichment of the surface with metal near the formation mode of Al drops, at a substrate temperature close to maximal, during molecular beam epitaxy with the plasma activation of nitrogen (T{sub s} ≈ 850°C) is shown. The possibility of growing smooth AlN layers on a nanocolumnar AlN/Si (111) buffer with the use of T{sub s} ≈ 750°C and growth conditions providing enrichment with metal is shown.

  18. Molecular beam epitaxy a short history

    CERN Document Server

    Orton, J W

    2015-01-01

    This volume describes the development of molecular beam epitaxy from its origins in the 1960s through to the present day. It begins with a short historical account of other methods of crystal growth, both bulk and epitaxial, to set the subject in context, emphasising the wide range of semiconductor materials employed. This is followed by an introduction to molecular beams and their use in the Stern-Gerlach experiment and the development of the microwave MASER.

  19. Ga-assisted catalyst-free growth mechanism of GaAs nanowires by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Colombo, C.; Spirkoska, D.; Frimmer, M.; Abstreiter, G.; Fontcuberta i Morral, A.

    2008-01-01

    The mechanisms of Ga-assisted GaAs nanowires grown by molecular beam epitaxy are addressed. The axial and radial growth rates as a function of the Ga rate and As pressure indicate that on the opposite of what is observed in thin film epitaxy, the growth rate of the nanowires is arsenic limited. As a consequence, the axial growth rate of the wires can be controlled by the As 4 pressure. Additionally, due to the small As 4 pressure leading to nanowire growth, the deposition on the facets is very slow, leading to a much lower radial growth rate. Finally, we present a model that is able to accurately describe the presented observations and predicts a maximum length of nontapered nanowires of 40 μm

  20. Hollow-anode plasma source for molecular beam epitaxy of gallium nitride

    International Nuclear Information System (INIS)

    Anders, A.; Newman, N.; Rubin, M.; Dickinson, M.; Jones, E.; Phatak, P.; Gassmann, A.

    1996-01-01

    GaN films have been grown by molecular beam epitaxy (MBE) using a hollow-anode nitrogen plasma source. The source was developed to minimize defect formation as a result of contamination and ion damage. The hollow-anode discharge is a special form of glow discharge with very small anode area. A positive anode voltage drop of 30 endash 40 V and an increased anode sheath thickness leads to ignition of a relatively dense plasma in front of the anode hole. Driven by the pressure gradient, the open-quote open-quote anode close-quote close-quote plasma forms a bright plasma jet streaming with supersonic velocity towards the substrate. Films of GaN have been grown on (0001) SiC and (0001) Al 2 O 3 at 600 endash 800 degree C. The films were investigated by photoluminescence, cathodoluminescence, x-ray diffraction, Rutherford backscattering, and particle-induced x-ray emission. The film with the highest structural quality had a rocking curve width of 5 arcmin, the lowest reported value for MBE growth to date. copyright 1996 American Institute of Physics

  1. m-plane GaN layers grown by rf-plasma assisted molecular beam epitaxy with varying Ga/N flux ratios on m-plane 4H-SiC substrates

    International Nuclear Information System (INIS)

    Armitage, R.; Horita, M.; Suda, J.; Kimoto, T.

    2007-01-01

    A series of m-plane GaN layers with the Ga beam-equivalent pressure (BEP) as the only varied parameter was grown by rf-plasma assisted molecular beam epitaxy on m-plane 4H-SiC substrates using AlN buffer layers. The smoothest growth surfaces and most complete film coalescence were found for the highest Ga BEP corresponding to the Ga droplet accumulation regime. However, better structural quality as assessed by x-ray rocking curves was observed for growth at a lower Ga BEP value below the droplet limit. The variation of rocking curve widths for planes inclined with respect to the epilayer c axis followed a different trend with Ga BEP than those of reflections parallel to the c axis. The GaN layers were found to exhibit a large residual compressive strain along the a axis

  2. On the optical and microstrain analysis of graded InGaN/GaN MQWs based on plasma assisted molecular beam epitaxy

    KAUST Repository

    Mishra, Pawan; Janjua, Bilal; Ng, Tien Khee; Anjum, Dalaver H.; Elafandy, Rami T.; Prabaswara, Aditya; Shen, Chao; Salhi, Abdelmajid; Alyamani, Ahmed Y.; El-Desouki, Munir M.; Ooi, Boon S.

    2016-01-01

    In this paper, c-plane stepped- and graded- InGaN/GaN multiple quantum wells (MQWs) are grown using plasma assisted molecular beam epitaxy (PAMBE) by in situ surface stoichiometry monitoring (i-SSM). Such a technique considerably reduces the strain build-up due to indium clustering within and across graded-MQWs; especially for QW closer to the top which results in mitigation of the quantum-confined Stark effect (QCSE). This is validated by a reduced power dependent photoluminescence blueshift of 10 meV in graded-MQWs as compared to a blueshift of 17 meV for stepped-MQWs. We further analyze microstrain within the MQWs, using Raman spectroscopy and geometrical phase analysis (GPA) on high-angle annular dark-field (HAADF)-scanning transmission electron microscope (STEM) images of stepped- and graded-MQWs, highlighting the reduction of ~1% strain in graded-MQWs over stepped-MQWs. Our analysis provides direct evidence of the advantage of graded-MQWs for the commercially viable c-plane light-emitting and laser diodes. © 2016 Optical Society of America.

  3. On the optical and microstrain analysis of graded InGaN/GaN MQWs based on plasma assisted molecular beam epitaxy

    KAUST Repository

    Mishra, Pawan

    2016-05-23

    In this paper, c-plane stepped- and graded- InGaN/GaN multiple quantum wells (MQWs) are grown using plasma assisted molecular beam epitaxy (PAMBE) by in situ surface stoichiometry monitoring (i-SSM). Such a technique considerably reduces the strain build-up due to indium clustering within and across graded-MQWs; especially for QW closer to the top which results in mitigation of the quantum-confined Stark effect (QCSE). This is validated by a reduced power dependent photoluminescence blueshift of 10 meV in graded-MQWs as compared to a blueshift of 17 meV for stepped-MQWs. We further analyze microstrain within the MQWs, using Raman spectroscopy and geometrical phase analysis (GPA) on high-angle annular dark-field (HAADF)-scanning transmission electron microscope (STEM) images of stepped- and graded-MQWs, highlighting the reduction of ~1% strain in graded-MQWs over stepped-MQWs. Our analysis provides direct evidence of the advantage of graded-MQWs for the commercially viable c-plane light-emitting and laser diodes. © 2016 Optical Society of America.

  4. Effect of Al mole fraction on structural and electrical properties of AlxGa1-xN/GaN heterostructures grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hussein, A. SH.; Hassan, Z.; Thahab, S.M.; Ng, S.S.; Hassan, H. Abu; Chin, C.W.

    2011-01-01

    The effect of Al mole fractions on the structural and electrical properties of Al x Ga 1-x N/GaN thin films grown by plasma-assisted molecular beam epitaxy (PA-MBE) on Si (1 1 1) substrates has been investigated by X-ray diffraction (XRD), scanning electron microscopy (SEM), atomic force microscopy (AFM), and current-voltage (I-V) measurements. X-ray results revealed that the AlGaN/GaN/AlN was epitaxially grown on Si substrate. By applying Vegard's law, the Al mole fractions of Al x Ga 1-x N samples were found to be 0.11, 0.24, 0.30 and 0.43, respectively. The structural and morphology results indicated that there is a relatively larger tensile strain for the sample with the smallest Al mole fraction; while a smaller compressive strain and larger grain size appear with Al mole fraction equal to 0.30. The strain gets relaxed with the highest Al mole fraction sample. Finally, the linear relationship between the barrier height and Al mole fraction was obtained.

  5. Structural, Optical, and Electrical Characterization of β-Ga2O3 Thin Films Grown by Plasma-Assisted Molecular Beam Epitaxy Suitable for UV Sensing

    Directory of Open Access Journals (Sweden)

    Abraham Arias

    2018-01-01

    Full Text Available β-Ga2O3 thin films were grown on c-plane sapphire substrates by plasma-assisted molecular beam epitaxy. The films were grown using an elemental gallium source and oxygen supplied by an RF plasma source. Reflection high-energy electron diffraction (RHEED was used to monitor the surface quality in real time. Both in situ RHEED and ex situ X-ray diffraction confirmed the formation of single crystal β-phase films with excellent crystallinity on c-plane sapphire. Spectroscopic ellipsometry was used to determine the film thicknesses, giving values in the 11.6–18.8 nm range and the refractive index dispersion curves. UV-Vis transmittance measurements revealed that strong absorption of β-Ga2O3 starts at ∼270 nm. Top metal contacts were deposited by thermal evaporation for I-V characterization, which has been carried out in dark, as well as under visible and UV light illumination. The optical and electrical measurements showed that the grown thin films of β-Ga2O3 are excellent candidates for deep-ultraviolet detection and sensing.

  6. Effect of Mg Doping on the Photoluminescence of GaN:Mg Films by Radio-Frequency Plasma-Assisted Molecular Beam Epitaxy

    International Nuclear Information System (INIS)

    Sui Yan-Ping; Yu Guang-Hui

    2011-01-01

    We investigate undoped GaN and Mg-doped GaN grown by rf plasma-assisted molecular beam epitaxy (MBE) with different Mg concentrations by photoluminescence (PL) at low temperature, Hall-effect and XRD measurements. In the PL spectra of lightly Mg-doped GaN films, a low intensity near band edge (NBE) emission and strong donor-acceptor pair (DAP) emission with its phonon replicas are observed. As the Mg concentration is increased, the DAP and NBE bands become weaker and a red shift of these bands is observed in the PL spectra. Yellow luminescence (YL) is observed in heavily Mg-doped GaN. The x-ray diffraction is employed to study the structure of the films. Hall measurement shows that there is a maximum value (3.9 × 10 18 cm −3 ) of hole concentration with increasing Mg source temperature for compensation effect. PL spectra of undoped GaN are also studied under N-rich and Ga-rich growth conditions. Yellow luminescences of undoped Ga-rich GaN and heavily Mg-doped GaN are compared, indicating the different origins of the YL bands. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  7. Luminescent N-polar (In,Ga)N/GaN quantum wells achieved by plasma-assisted molecular beam epitaxy at temperatures exceeding 700 °C

    Science.gov (United States)

    Chèze, C.; Feix, F.; Lähnemann, J.; Flissikowski, T.; Kryśko, M.; Wolny, P.; Turski, H.; Skierbiszewski, C.; Brandt, O.

    2018-01-01

    Previously, we found that N-polar (In,Ga)N/GaN quantum wells prepared on freestanding GaN substrates by plasma-assisted molecular beam epitaxy at conventional growth temperatures of about 650 °C do not exhibit any detectable luminescence even at 10 K. In the present work, we investigate (In,Ga)N/GaN quantum wells grown on Ga- and N-polar GaN substrates at a constant temperature of 730 °C . This exceptionally high temperature results in a vanishing In incorporation for the Ga-polar sample. In contrast, quantum wells with an In content of 20% and abrupt interfaces are formed on N-polar GaN. Moreover, these quantum wells exhibit a spatially uniform green luminescence band up to room temperature, but the intensity of this band is observed to strongly quench with temperature. Temperature-dependent photoluminescence transients show that this thermal quenching is related to a high density of nonradiative Shockley-Read-Hall centers with large capture coefficients for electrons and holes.

  8. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Chen, Yen-Liang; Lo, Ikai; Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi; Tsai, Jenn-Kai; Schuber, Ralf; Schaadt, Daniel

    2012-01-01

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69″ to 59.43″ for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 × 5 μm 2 with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: ► The samples were grown by plasma-assisted molecular beam epitaxy. ► The GaN epilayer was grown on sapphire substrate. ► The samples were characterized by X-ray diffraction and atomic force microscopy. ► The sample quality was improved by gradient buffer layer.

  9. Si Incorporation in InP Nanowires Grown by Au-Assisted Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Lorenzo Rigutti

    2009-01-01

    Full Text Available We report on the growth, structural characterization, and conductivity studies of Si-doped InP nanowires grown by Au-assisted molecular beam epitaxy. It is shown that Si doping reduces the mean diffusion length of adatoms on the lateral nanowire surface and consequently reduces the nanowire growth rate and promotes lateral growth. A resistivity as low as 5.1±0.3×10−5 Ω⋅cm is measured for highly doped nanowires. Two dopant incorporation mechanisms are discussed: incorporation via catalyst particle and direct incorporation on the nanowire sidewalls. The first mechanism is shown to be less efficient than the second one, resulting in inhomogeneous radial dopant distribution.

  10. Plasma-assisted molecular beam epitaxy of (11-22)-oriented 3-nitrides

    International Nuclear Information System (INIS)

    Lahourcade, L.

    2009-10-01

    This work reports on the molecular-beam epitaxial growth of (1122)-oriented semi-polar nitride semiconductors using m-sapphire substrates. The (1122) crystallographic orientation is predefined by AlN deposition on m-sapphire under N excess. On top of this AlN buffer layer, undoped or Si-doped two-dimensional GaN(1122) films are formed under Ga-rich conditions, with a stabilized Ga-excess ad-layer of about 1.05±0.10 ML. In contrast, Mg tends to segregate on the GaN surface, inhibiting the self-regulated Ga excess film. Nevertheless, uniform Mg incorporation can be obtained, and p-type conductivity was achieved. GaN/AlN quantum wells are synthesized by deposition of the binary compounds under the above-described conditions. In the case of GaN/AlN quantum dots, the three-dimensional transition is induced by a growth interruption under vacuum. The reduction of the internal electric field in GaN/AlN nano-structures is confirmed by the blue shift of the photoluminescence spectrum and by the short photoluminescence decay times measured at low temperature. These results are consistent with theoretical calculations of the electronic structure. (author)

  11. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yen-Liang [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Lo, Ikai, E-mail: ikailo@mail.phys.nsysu.edu.tw [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Tsai, Jenn-Kai [Department of Electronics Engineering, National Formosa University, Hu-Wei, Yun-Lin County 63208, Taiwan, ROC (China); Schuber, Ralf; Schaadt, Daniel [Institute of Applied Physics/DFG-Center for Functional Nanostructures (CFN), Karlsruhe Institute of Technology (KIT), Karlsruhe (Germany)

    2012-07-31

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69 Double-Prime to 59.43 Double-Prime for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 Multiplication-Sign 5 {mu}m{sup 2} with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: Black-Right-Pointing-Pointer The samples were grown by plasma-assisted molecular beam epitaxy. Black-Right-Pointing-Pointer The GaN epilayer was grown on sapphire substrate. Black-Right-Pointing-Pointer The samples were characterized by X-ray diffraction and atomic force microscopy. Black-Right-Pointing-Pointer The sample quality was improved by gradient buffer layer.

  12. Schottky barrier height of Ni to β-(AlxGa1-x)2O3 with different compositions grown by plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Ahmadi, Elaheh; Oshima, Yuichi; Wu, Feng; Speck, James S.

    2017-03-01

    Coherent β-(AlxGa1-x)2O3 films (x = 0, 0.038, 0.084, 0.164) were grown successfully on a Sn-doped β-Ga2O3 (010) substrate using plasma-assisted molecular beam epitaxy. Atom probe tomography, transmission electron microscopy, and high resolution x-ray diffraction were used to verify the alloy composition and high quality of the films. Schottky diodes were then fabricated using Ni as the Schottky metal. Capacitance-voltage measurements revealed a very low (current-voltage (I-V) measurements performed at temperatures varying from 300 K to 500 K on the Schottky diodes. These measurements revealed that the apparent Schottky barrier height could have similar values for different compositions of β-(AlxGa1-x)2O3. We believe this is attributed to the lateral fluctuation in the alloy’s composition. This results in a lateral variation in the barrier height. Therefore, the average Schottky barrier height extracted from I-V measurements could be similar for β-(AlxGa1-x)2O3 films with different compositions.

  13. Facility for low-temperature spin-polarized-scanning tunneling microscopy studies of magnetic/spintronic materials prepared in situ by nitride molecular beam epitaxy.

    Science.gov (United States)

    Lin, Wenzhi; Foley, Andrew; Alam, Khan; Wang, Kangkang; Liu, Yinghao; Chen, Tianjiao; Pak, Jeongihm; Smith, Arthur R

    2014-04-01

    Based on the interest in, as well as exciting outlook for, nitride semiconductor based structures with regard to electronic, optoelectronic, and spintronic applications, it is compelling to investigate these systems using the powerful technique of spin-polarized scanning tunneling microscopy (STM), a technique capable of achieving magnetic resolution down to the atomic scale. However, the delicate surfaces of these materials are easily corrupted by in-air transfers, making it unfeasible to study them in stand-alone ultra-high vacuum STM facilities. Therefore, we have carried out the development of a hybrid system including a nitrogen plasma assisted molecular beam epitaxy/pulsed laser epitaxy facility for sample growth combined with a low-temperature, spin-polarized scanning tunneling microscope system. The custom-designed molecular beam epitaxy growth system supports up to eight sources, including up to seven effusion cells plus a radio frequency nitrogen plasma source, for epitaxially growing a variety of materials, such as nitride semiconductors, magnetic materials, and their hetero-structures, and also incorporating in situ reflection high energy electron diffraction. The growth system also enables integration of pulsed laser epitaxy. The STM unit has a modular design, consisting of an upper body and a lower body. The upper body contains the coarse approach mechanism and the scanner unit, while the lower body accepts molecular beam epitaxy grown samples using compression springs and sample skis. The design of the system employs two stages of vibration isolation as well as a layer of acoustic noise isolation in order to reduce noise during STM measurements. This isolation allows the system to effectively acquire STM data in a typical lab space, which during its construction had no special and highly costly elements included, (such as isolated slabs) which would lower the environmental noise. The design further enables tip exchange and tip coating without

  14. Facility for low-temperature spin-polarized-scanning tunneling microscopy studies of magnetic/spintronic materials prepared in situ by nitride molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Wenzhi; Foley, Andrew; Alam, Khan; Wang, Kangkang; Liu, Yinghao; Chen, Tianjiao; Pak, Jeongihm; Smith, Arthur R., E-mail: smitha2@ohio.edu [Department of Physics and Astronomy, Nanoscale and Quantum Phenomena Institute, Ohio University, Athens, Ohio 45701 (United States)

    2014-04-15

    Based on the interest in, as well as exciting outlook for, nitride semiconductor based structures with regard to electronic, optoelectronic, and spintronic applications, it is compelling to investigate these systems using the powerful technique of spin-polarized scanning tunneling microscopy (STM), a technique capable of achieving magnetic resolution down to the atomic scale. However, the delicate surfaces of these materials are easily corrupted by in-air transfers, making it unfeasible to study them in stand-alone ultra-high vacuum STM facilities. Therefore, we have carried out the development of a hybrid system including a nitrogen plasma assisted molecular beam epitaxy/pulsed laser epitaxy facility for sample growth combined with a low-temperature, spin-polarized scanning tunneling microscope system. The custom-designed molecular beam epitaxy growth system supports up to eight sources, including up to seven effusion cells plus a radio frequency nitrogen plasma source, for epitaxially growing a variety of materials, such as nitride semiconductors, magnetic materials, and their hetero-structures, and also incorporating in situ reflection high energy electron diffraction. The growth system also enables integration of pulsed laser epitaxy. The STM unit has a modular design, consisting of an upper body and a lower body. The upper body contains the coarse approach mechanism and the scanner unit, while the lower body accepts molecular beam epitaxy grown samples using compression springs and sample skis. The design of the system employs two stages of vibration isolation as well as a layer of acoustic noise isolation in order to reduce noise during STM measurements. This isolation allows the system to effectively acquire STM data in a typical lab space, which during its construction had no special and highly costly elements included, (such as isolated slabs) which would lower the environmental noise. The design further enables tip exchange and tip coating without

  15. Facility for low-temperature spin-polarized-scanning tunneling microscopy studies of magnetic/spintronic materials prepared in situ by nitride molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lin, Wenzhi; Foley, Andrew; Alam, Khan; Wang, Kangkang; Liu, Yinghao; Chen, Tianjiao; Pak, Jeongihm; Smith, Arthur R.

    2014-01-01

    Based on the interest in, as well as exciting outlook for, nitride semiconductor based structures with regard to electronic, optoelectronic, and spintronic applications, it is compelling to investigate these systems using the powerful technique of spin-polarized scanning tunneling microscopy (STM), a technique capable of achieving magnetic resolution down to the atomic scale. However, the delicate surfaces of these materials are easily corrupted by in-air transfers, making it unfeasible to study them in stand-alone ultra-high vacuum STM facilities. Therefore, we have carried out the development of a hybrid system including a nitrogen plasma assisted molecular beam epitaxy/pulsed laser epitaxy facility for sample growth combined with a low-temperature, spin-polarized scanning tunneling microscope system. The custom-designed molecular beam epitaxy growth system supports up to eight sources, including up to seven effusion cells plus a radio frequency nitrogen plasma source, for epitaxially growing a variety of materials, such as nitride semiconductors, magnetic materials, and their hetero-structures, and also incorporating in situ reflection high energy electron diffraction. The growth system also enables integration of pulsed laser epitaxy. The STM unit has a modular design, consisting of an upper body and a lower body. The upper body contains the coarse approach mechanism and the scanner unit, while the lower body accepts molecular beam epitaxy grown samples using compression springs and sample skis. The design of the system employs two stages of vibration isolation as well as a layer of acoustic noise isolation in order to reduce noise during STM measurements. This isolation allows the system to effectively acquire STM data in a typical lab space, which during its construction had no special and highly costly elements included, (such as isolated slabs) which would lower the environmental noise. The design further enables tip exchange and tip coating without

  16. Origin of Spontaneous Core-Shell AIGaAs Nanowires Grown by Molecular Beam Epitaxy

    DEFF Research Database (Denmark)

    Dubrovskii, V. G.; Shtrom, I. V.; Reznik, R. R.

    2016-01-01

    Based on the high-angle annular dark-field scanning transmission electron microscopy and energy dispersive X-ray spectroscopy studies, we unravel the origin of spontaneous core shell AlGaAs nanowires grown by gold-assisted molecular beam epitaxy. Our AlGaAs nanowires have a cylindrical core...

  17. Molecular-beam epitaxial growth and characterization of quaternary III-nitride compounds

    International Nuclear Information System (INIS)

    Monroy, E.; Gogneau, N.; Enjalbert, F.; Fossard, F.; Jalabert, D.; Bellet-Amalric, E.; Dang, Le Si; Daudin, B.

    2003-01-01

    We report on the controlled growth and characterization of quaternary AlGaInN compounds by plasma-assisted molecular beam epitaxy. Two-dimensional growth is achieved with a monolayer of In segregating at the growth front. In incorporation is hindered by increasing growth temperature and Al mole fraction, which is explained by the lower binding energy of InN compared to GaN and AlN. The mosaicity of the layers is determined by the substrate quality, whereas the alloy disorder increases with the Al content, independent of the In mole fraction. Room temperature photoluminescence is dominated by a narrow band-edge emission, whose Stokes shift and activation energy increase with the In content. This behavior is interpreted in terms of carrier localization in self-formed alloy inhomogeneities. An In-related band bowing parameter of 2.5 eV has been estimated

  18. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-08-31

    We report the growth and characterization of III-nitride ternary thin films (Al{sub x}Ga{sub 1−x}N, In{sub x}Al{sub 1−x}N and In{sub x}Ga{sub 1−x}N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures.

  19. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    International Nuclear Information System (INIS)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-01-01

    We report the growth and characterization of III-nitride ternary thin films (Al x Ga 1−x N, In x Al 1−x N and In x Ga 1−x N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures

  20. Stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    M. Agrawal

    2017-01-01

    Full Text Available The stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy (PA-MBE has been studied. AlN nucleation layer and GaN layer were grown as a function of III/V ratio. GaN/AlN structure is found to form buried cracks when AlN is grown in the intermediate growth regime(III/V∼1and GaN is grown under N-rich growth regime (III/V<1. The III/V ratio determines the growth mode of the layers that influences the lattice mismatch at the GaN/AlN interface. The lattice mismatch induced interfacial stress at the GaN/AlN interface relaxes by the formation of buried cracks in the structure. Additionally, the stress also relaxes by misorienting the AlN resulting in two misorientations with different tilts. Crack-free layers were obtained when AlN and GaN were grown in the N-rich growth regime (III/V<1 and metal rich growth regime (III/V≥1, respectively. AlGaN/GaN high electron mobility transistor (HEMT heterostructure was demonstrated on 2-inch SiC that showed good two dimensional electron gas (2DEG properties with a sheet resistance of 480 Ω/sq, mobility of 1280 cm2/V.s and sheet carrier density of 1×1013 cm−2.

  1. Plasmas for the low-temperature growth of high-quality GaN films by molecular beam epitaxy and remote plasma MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Capezzuto, P.; Bruno, G. [Plasmachemistry Research Center, CNR, Bari (Italy); Namkoong, G.; Doolittle, W.A.; Brown, A.S. [Georgia Inst. of Tech., Atlanta (United States). School of Electrical and Computer Engineering, Microelectronic Research Center

    2002-03-16

    GaN heteroepitaxial growth on sapphire (0001) substrates was carried out by both radio-frequency (rf) remote plasma metalorganic chemical vapor deposition (RP-MOCVD) and molecular beam epitaxy (MBE). A multistep growth process including substrate plasma cleaning and nitridation, buffer growth, its subsequent annealing and epilayer growth was used. In order to achieve a better understanding of the GaN growth, in-situ real time investigation of the surface chemistry is performed for all the steps using the conventional reflection high-energy electron spectroscopy (RHEED) during the MBE process, while laser reflectance interferometry (LRI) and spectroscopic ellipsometry (SE), which do not require UHV conditions, are used for the monitoring of the RP-MOCVD process. The chemistry of the rf N{sub 2} plasma sapphire nitridation and its effect on the epilayer growth and quality are discussed in both MBE and RP-MOCVD. (orig.)

  2. Molecular beam epitaxy of InN nanowires on Si

    Science.gov (United States)

    Golam Sarwar, A. T. M.; Carnevale, Santino D.; Kent, Thomas F.; Laskar, Masihhur R.; May, Brelon J.; Myers, Roberto C.

    2015-10-01

    We report on a systematic growth study of the nucleation process of InN nanowires on Si(1 1 1) substrates using plasma assisted molecular beam epitaxy (PAMBE). Samples are grown with various substrate temperatures and III/V ratios. Scanning electron microscopy, X-ray diffraction spectroscopy, energy dispersive X-ray spectroscopy, and photoluminescence are carried out to map out the variation in structural and optical properties versus growth conditions. Statistical averages of areal density, height, and radius are mapped as a function of substrate temperature and III/V ratio. Three different morphological phases are identified on the growth surface: InN, α-In and β-In. Based on SEM image analysis of samples grown at different conditions, the formation mechanism of these phases is proposed. Finally, the growth phase diagram of PAMBE grown InN on Si under N-rich condition is presented, and tapered versus non-tapered growth conditions are identified. It is found that high growth temperature and low III/V ratio plays a critical role in the growth of non-tapered InN nanowires.

  3. Reduced defect densities in the ZnO epilayer grown on Si substrates by laser-assisted molecular-beam epitaxy using a ZnS epitaxial buffer layer

    International Nuclear Information System (INIS)

    Onuma, T.; Chichibu, S.F.; Uedono, A.; Yoo, Y.-Z.; Chikyow, T.; Sota, T.; Kawasaki, M.; Koinuma, H.

    2004-01-01

    Nonradiative photoluminescence (PL) lifetime (τ nr ) and point defect density in the (0001) ZnO epilayer grown on (111) Si substrates by laser-assisted molecular-beam epitaxy (L-MBE) using a (0001) ZnS epitaxial buffer layer were compared with those in the ZnO films on (111) and (001) Si substrates prepared by direct transformation of ZnS epilayers on Si by thermal oxidation [Yoo et al., Appl. Phys. Lett. 78, 616 (2001)]. Both the ZnO films exhibited excitonic reflectance anomalies and corresponding PL peaks at low temperature, and the density or size of vacancy-type point defects (Zn vacancies), which were measured by the monoenergetic positron annihilation measurement, in the L-MBE epilayer was lower than that in the films prepared by the oxidation transformation. The ZnO epilayer grown on a (0001) ZnS epitaxial buffer on (111) Si exhibited longer τ nr of 105 ps at room temperature

  4. Emission control of InGaN nanocolumns grown by molecular-beam epitaxy on Si(111) substrates

    International Nuclear Information System (INIS)

    Albert, S.; Bengoechea-Encabo, A.; Sanchez-Garcia, M. A.; Calleja, E.; Lefebvre, P.; Jahn, U.; Trampert, A.

    2011-01-01

    This work studies the effect of the growth temperature on the morphology and emission characteristics of self-assembled InGaN nanocolumns grown by plasma assisted molecular beam epitaxy. Morphology changes are assessed by scanning electron microscopy, while emission is measured by photoluminescence. Within the growth temperature range of 750 to 650 deg. C, an increase in In incorporation for decreasing temperature is observed. This effect allows tailoring the InGaN nanocolumns emission line shape by using temperature gradients during growth. Depending on the gradient rate, span, and sign, broad emission line shapes are obtained, covering the yellow to green range, even yielding white emission.

  5. Characterization of InGaGdN layers prepared by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Tawil, Siti Nooraya Mohd [Institute of Scientific and Industrial Research, Osaka University, 8-1 Mihagaoka, Ibaraki, 567-0047 Osaka (Japan); Faculty of Electrical and Electronic Engineering, Tun Hussein Onn University of Malaysia, 86400 Batu Pahat Johor (Malaysia); Kakimi, Rina; Krishnamurthy, Daivasigamani; Emura, Shuichi; Tambo, Hiroyuki; Hasegawa, Shigehiko; Asahi, Hajime [Institute of Scientific and Industrial Research, Osaka University, 8-1 Mihagaoka, Ibaraki, 567-0047 Osaka (Japan)

    2010-11-15

    Gd-doped InGaN layers were prepared by plasma-assisted molecular-beam epitaxy in search of new functional diluted magnetic semiconductors for their potential use in spintronics. The local structure around the Gd atoms was examined by the Gd L{sub III}-edge of X-ray absorption fine structure. It was found that the majority of Gd atoms substitutionally occupied the cation sites in the InGaGdN layers. Clear hysteresis and saturation magnetization were observed from the magnetization versus field curves examined by means of a superconducting quantum interference device magnetometer at low and room temperatures. In addition, the incorporation of extra shallow donors by co-doping InGaN with both Gd and Si showed higher magnetization than the undoped InGaGdN. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Growth of Gold-assisted Gallium Arsenide Nanowires on Silicon Substrates via Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Ramon M. delos Santos

    2008-06-01

    Full Text Available Gallium arsenide nanowires were grown on silicon (100 substrates by what is called the vapor-liquid-solid (VLS growth mechanism using a molecular beam epitaxy (MBE system. Good quality nanowires with surface density of approximately 108 nanowires per square centimeter were produced by utilizing gold nanoparticles, with density of 1011 nanoparticles per square centimeter, as catalysts for nanowire growth. X-ray diffraction measurements, scanning electron microscopy, transmission electron microscopy and Raman spectroscopy revealed that the nanowires are epitaxially grown on the silicon substrates, are oriented along the [111] direction and have cubic zincblende structure.

  7. Molecular-beam epitaxial growth and ion-beam analysis systems for functional materials research

    International Nuclear Information System (INIS)

    Takeshita, H.; Aoki, Y.; Yamamoto, S.; Naramoto, H.

    1992-01-01

    Experimental systems for molecular beam epitaxial growth and ion beam analysis have been designed and constructed for the research of inorganic functional materials such as thin films and superlattices. (author)

  8. Accompanying growth and room-temperature ferromagnetism of η-Mn3N2 thin films by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yu, Fengmei; Liu, Yajing; Yang, Mei; Wu, Shuxiang; Zhou, Wenqi; Li, Shuwei

    2013-01-01

    η-phase manganese nitride films have been grown on LaAlO 3 (100) and LaSrAlO 4 (001) substrates by using plasma-assisted molecular beam epitaxy. On the basis of reflective high energy electron diffraction, X-ray diffraction, and X-ray photoemission spectroscopy, it is confirmed that two types of η-Mn 3 N 2 with different lattice constants coexist in the films due to the lattice mismatches between the Mn 3 N 2 films and the substrates. Magnetic properties of the films were characterized by a superconducting quantum interference device magnetometer at room temperature. The Mn 3 N 2 films on LaAlO 3 substrate were found to have room-temperature ferromagnetism. Two potential interaction mechanisms are proposed regarding the origin of the observed ferromagnetism. - Highlights: ► The films of two types of η-Mn 3 N 2 have been grown by molecular beam epitaxy. ► Mn 3 N 2 A and Mn 3 N 2 B coexisted in the films on LaAlO 3 and LaSrAlO 4 . ► The room-temperature ferromagnetism of the Mn 3 N 2 films on LaAlO 3 was obtained

  9. Demonstration of β-(Al x Ga1- x )2O3/β-Ga2O3 modulation doped field-effect transistors with Ge as dopant grown via plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Ahmadi, Elaheh; Koksaldi, Onur S.; Zheng, Xun; Mates, Tom; Oshima, Yuichi; Mishra, Umesh K.; Speck, James S.

    2017-07-01

    β-(Al x Ga1- x )2O3/β-Ga2O3 heterostructures were grown via plasma-assisted molecular beam epitaxy. The β-(Al x Ga1- x )2O3 barrier was partially doped by Ge to achieve a two-dimensional electron gas (2DEG) in Ga2O3. The formation of the 2DEG was confirmed by capacitance-voltage measurements. The impact of Ga-polishing on both the surface morphology and the reduction of the unintentionally incorporated Si at the growth interface was investigated using atomic force microscopy and secondary-ion mass spectrometry. Modulation doped field-effect transistors were fabricated. A maximum current density of 20 mA/mm with a pinch-off voltage of -6 V was achieved on a sample with a 2DEG sheet charge density of 1.2 × 1013 cm-2.

  10. Morphology of self-catalyzed GaN nanowires and chronology of their formation by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Galopin, E; Largeau, L; Patriarche, G; Travers, L; Glas, F; Harmand, J C

    2011-01-01

    GaN nanowires are synthesized by plasma-assisted molecular beam epitaxy on Si(111) substrates. The strong impact of the cell orientation relative to the substrate on the nanowire morphology is shown. To study the kinetics of growth, thin AlN markers are introduced periodically during NW growth. These markers are observed in single nanowires by transmission electron microscopy, giving access to the chronology of the nanowire formation and to the time evolution of the nanowire morphology. A long delay precedes the beginning of nanowire formation. Then, their elongation proceeds at a constant rate. Later, shells develop on the side-wall facets by ascending growth of layer bunches which first agglomerate at the nanowire foot.

  11. The competing oxide and sub-oxide formation in metal-oxide molecular beam epitaxy

    International Nuclear Information System (INIS)

    Vogt, Patrick; Bierwagen, Oliver

    2015-01-01

    The hetero-epitaxial growth of the n-type semiconducting oxides β-Ga 2 O 3 , In 2 O 3 , and SnO 2 on c- and r-plane sapphire was performed by plasma-assisted molecular beam epitaxy. The growth-rate and desorbing flux from the substrate were measured in-situ under various oxygen to metal ratios by laser reflectometry and quadrupole mass spectrometry, respectively. These measurements clarified the role of volatile sub-oxide formation (Ga 2 O, In 2 O, and SnO) during growth, the sub-oxide stoichiometry, and the efficiency of oxide formation for the three oxides. As a result, the formation of the sub-oxides decreased the growth-rate under metal-rich growth conditions and resulted in etching of the oxide film by supplying only metal flux. The flux ratio for the exclusive formation of the sub-oxide (e.g., the p-type semiconductor SnO) was determined, and the efficiency of oxide formation was found to be the highest for SnO 2 , somewhat lower for In 2 O 3 , and the lowest for Ga 2 O 3 . Our findings can be generalized to further oxides that possess related sub-oxides

  12. Surface chemistry and growth mechanisms studies of homo epitaxial (1 0 0) GaAs by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yan Dawei; Wu Weidong; Zhang Hong; Wang Xuemin; Zhang Hongliang; Zhang Weibin; Xiong Zhengwei; Wang Yuying; Shen Changle; Peng Liping; Han Shangjun; Zhou Minjie

    2011-01-01

    In this paper, GaAs thin film has been deposited on thermally desorbed (1 0 0) GaAs substrate using laser molecular beam epitaxy. Scanning electron microscopy, in situ reflection high energy electron diffraction and in situ X-ray photoelectron spectroscopy are applied for evaluation of the surface morphology and chemistry during growth process. The results show that a high density of pits is formed on the surface of GaAs substrate after thermal treatment and the epitaxial thin film heals itself by a step flow growth, resulting in a smoother surface morphology. Moreover, it is found that the incorporation of As species into GaAs epilayer is more efficient in laser molecular beam epitaxy than conventional molecular beam epitaxy. We suggest the growth process is impacted by surface chemistry and morphology of GaAs substrate after thermal treatment and the growth mechanisms are discussed in details.

  13. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kruse, J. E.; Doundoulakis, G. [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Lymperakis, L. [Max-Planck-Institut für Eisenforschung, Max-Planck-Straße 1, 40237 Düsseldorf (Germany); Eftychis, S.; Georgakilas, A., E-mail: alexandr@physics.uoc.gr [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Adikimenakis, A.; Tsagaraki, K.; Androulidaki, M.; Konstantinidis, G. [Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Olziersky, A.; Dimitrakis, P.; Ioannou-Sougleridis, V.; Normand, P. [Institute of Nanoscience and Nanotechnology, NCSR Demokritos, Patriarchou Grigoriou and Neapoleos 27, 15310 Aghia Paraskevi, Athens (Greece); Koukoula, T.; Kehagias, Th.; Komninou, Ph. [Department of Physics, Aristotle University of Thessaloniki, 54124 Thessaloniki (Greece)

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well as numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.

  14. Structural characterization of zincblende Ga1-xMnxN epilayers grown by molecular beam epitaxy on (001) GaAs substrates

    International Nuclear Information System (INIS)

    Fay, M.W.; Han, Y.; Brown, P.D.; Novikov, S.V.; Edmonds, K.W.; Campion, R.P.; Gallagher, B.L.; Foxon, C.T.

    2005-01-01

    Zincblende p-type Ga 1-x Mn x N epilayers, grown with and without AlN/GaN buffer layers using plasma-assisted molecular beam epitaxy on (001) oriented GaAs substrates, have been investigated using a variety of complementary transmission electron microscopy techniques. The epilayers were found to contain a high anisotropic density of stacking faults and microtwins. MnAs inclusions were identified at the Ga 1-x Mn x N/(001)GaAs interface extending into the substrate. The use of AlN/GaN buffer layers was found to inhibit the formation of these inclusions

  15. Effect of III/V ratio on the polarity of AlN and GaN layers grown in the metal rich growth regime on Si(111) by plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Agrawal, Manvi; Dharmarasu, Nethaji; Radhakrishnan, K.; Pramana, Stevin Snellius

    2015-01-01

    Wet chemical etching, reflection high energy electron diffraction, scanning electron microscope and convergent beam electron diffraction have been employed to study the polarities of AlN and the subsequently grown GaN as a function of metal flux in the metal rich growth regime. Both AlN and GaN exhibited metal polarity in the intermediate growth conditions. However, in the droplet growth regime, the polarity of AlN and GaN were N polar and Ga polar, respectively. It was observed that Ga polar GaN could be obtained on both Al and N polar AlN. AlGaN/GaN high electron mobility transistor (HEMT) heterostructure exhibiting hall mobility of 900 cm 2 V -1 s -1 and sheet carrier density of 1.2 × 10 13 cm -2 was demonstrated using N polar AlN which confirmed Ga polarity of GaN. Al metal flux was likely to play an important role in controlling the polarity of AlN and determining the polarity of the subsequent GaN grown on Si(111) by plasma assisted molecular beam epitaxy (PA-MBE). (author)

  16. Microstructure of InxGa1−xN nanorods grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Webster, R F; Soundararajah, Q Y; Griffiths, I J; Cherns, D; Novikov, S V; Foxon, C T

    2015-01-01

    Transmission electron microscopy is used to examine the structure and composition of In x Ga 1−x N nanorods grown by plasma-assisted molecular beam epitaxy. The results confirm a core–shell structure with an In-rich core and In-poor shell resulting from axial and lateral growth sectors respectively. Atomic resolution mapping by energy-dispersive x-ray microanalysis and high angle annular dark field imaging show that both the core and the shell are decomposed into Ga-rich and In-rich platelets parallel to their respective growth surfaces. It is argued that platelet formation occurs at the surfaces, through the lateral expansion of surface steps. Studies of nanorods with graded composition show that decomposition ceases for x ≥ 0.8 and the ratio of growth rates, shell:core, decreases with increasing In concentration. (paper)

  17. Monitoring the beam flux in molecular beam epitaxy using laser multiphoton ionization

    International Nuclear Information System (INIS)

    Chien, R.; Sogard, M.R.

    1990-01-01

    In this paper, we will describe a method using laser nonresonant multiphoton ionization to measure beam flux in molecular beam epitaxy (MBE) systems. The results were obtained in a test chamber where a focused excimer laser beam was used to photoionize a small fraction of the atomic and molecular beams. The constituents of the beams were identified by a time-of-flight mass spectrometer. Ion signal strength was found to be directly correlated to the temperature of the atomic beam oven. Good stability and sensitivity on gallium, aluminum, and silicon atomic beams was demonstrated. Arsenic was also detected. We demonstrated very sensitive detection of contaminant atomic and molecular constituents of our system. We have also detected the presence of short-term fluctuations in the gallium flux from an effusion source. These fluctuations, previously suspected, can be in excess of ±10%

  18. Control of ion content and nitrogen species using a mixed chemistry plasma for GaN grown at extremely high growth rates >9 μm/h by plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Gunning, Brendan P.; Clinton, Evan A.; Merola, Joseph J.; Doolittle, W. Alan; Bresnahan, Rich C.

    2015-10-01

    Utilizing a modified nitrogen plasma source, plasma assisted molecular beam epitaxy (PAMBE) has been used to achieve higher growth rates in GaN. A higher conductance aperture plate, combined with higher nitrogen flow and added pumping capacity, resulted in dramatically increased growth rates up to 8.4 μm/h using 34 sccm of N2 while still maintaining acceptably low operating pressure. It was further discovered that argon could be added to the plasma gas to enhance growth rates up to 9.8 μm/h, which was achieved using 20 sccm of N2 and 7.7 sccm Ar flows at 600 W radio frequency power, for which the standard deviation of thickness was just 2% over a full 2 in. diameter wafer. A remote Langmuir style probe employing the flux gauge was used to indirectly measure the relative ion content in the plasma. The use of argon dilution at low plasma pressures resulted in a dramatic reduction of the plasma ion current by more than half, while high plasma pressures suppressed ion content regardless of plasma gas chemistry. Moreover, different trends are apparent for the molecular and atomic nitrogen species generated by varying pressure and nitrogen composition in the plasma. Argon dilution resulted in nearly an order of magnitude achievable growth rate range from 1 μm/h to nearly 10 μm/h. Even for films grown at more than 6 μm/h, the surface morphology remained smooth showing clear atomic steps with root mean square roughness less than 1 nm. Due to the low vapor pressure of Si, Ge was explored as an alternative n-type dopant for high growth rate applications. Electron concentrations from 2.2 × 1016 to 3.8 × 1019 cm-3 were achieved in GaN using Ge doping, and unintentionally doped GaN films exhibited low background electron concentrations of just 1-2 × 1015 cm-3. The highest growth rates resulted in macroscopic surface features due to Ga cell spitting, which is an engineering challenge still to be addressed. Nonetheless, the dramatically enhanced growth rates demonstrate

  19. Nitridation effects of Si(1 1 1) substrate surface on InN nanorods grown by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Feng, Shan [Faculty of Materials Science and Chemistry, China University of Geosciences, Wuhan 430074 (China); Tan, Jin, E-mail: jintan_cug@163.com [Faculty of Materials Science and Chemistry, China University of Geosciences, Wuhan 430074 (China); Engineering Research Center of Nano-Geomaterials of Ministry of Education, China University of Geosciences, Wuhan 430074 (China); Li, Bin; Song, Hao; Wu, Zhengbo; Chen, Xin [Faculty of Materials Science and Chemistry, China University of Geosciences, Wuhan 430074 (China)

    2015-02-05

    Graphical abstract: The morphology evolution of InN nanorods in samples (g)–(i). The alignment of InN nanorods is improved and the deviation angle distribution narrows down with increase in nitriding time. It suggests that extending the nitriding time can enhance the vertical orientation of InN nanorods. - Highlights: • InN nanorods were grown on surface nitrided Si(1 1 1) substrate using PAMBE system. • Nitridation of substrate surface has a strong effect on morphology of InN nanorods. • InN nanorods cannot be formed with 1 min nitridation of Si(1 1 1) substrate. • Increasing nitriding time will increase optimum growth temperature of InN nanorods. • Increasing nitriding time can enhance vertical orientation of InN nanorods. - Abstract: The InN nanorods were grown on Si(1 1 1) substrate by plasma-assisted molecular beam epitaxy (PAMBE) system, with a substrate nitridation process. The effect of nitriding time of Si(1 1 1) substrate on morphology, orientation and growth temperature of InN nanorods was characterized via scanning electron microscopy (SEM) and X-ray diffraction (XRD). The deviation angle of InN nanorods was measured to evaluate the alignment of arrays. The results showed that InN nanorods could not be formed with 1 min nitridation of Si(1 1 1) substrate, but they could be obtained again when the nitriding time was increased to more than 10 min. In order to get aligned InN nanorods, the growth temperature needed to increase with longer nitriding time. The vertical orientation of InN nanorods could be enhanced with increase in nitriding time. The influence of the substrate nitridation on the photoluminescence (PL) spectra of InN nanorods has been investigated.

  20. Deep level defects in Ge-doped (010) β-Ga2O3 layers grown by plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Farzana, Esmat; Ahmadi, Elaheh; Speck, James S.; Arehart, Aaron R.; Ringel, Steven A.

    2018-04-01

    Deep level defects were characterized in Ge-doped (010) β-Ga2O3 layers grown by plasma-assisted molecular beam epitaxy (PAMBE) using deep level optical spectroscopy (DLOS) and deep level transient (thermal) spectroscopy (DLTS) applied to Ni/β-Ga2O3:Ge (010) Schottky diodes that displayed Schottky barrier heights of 1.50 eV. DLOS revealed states at EC - 2.00 eV, EC - 3.25 eV, and EC - 4.37 eV with concentrations on the order of 1016 cm-3, and a lower concentration level at EC - 1.27 eV. In contrast to these states within the middle and lower parts of the bandgap probed by DLOS, DLTS measurements revealed much lower concentrations of states within the upper bandgap region at EC - 0.1 - 0.2 eV and EC - 0.98 eV. There was no evidence of the commonly observed trap state at ˜EC - 0.82 eV that has been reported to dominate the DLTS spectrum in substrate materials synthesized by melt-based growth methods such as edge defined film fed growth (EFG) and Czochralski methods [Zhang et al., Appl. Phys. Lett. 108, 052105 (2016) and Irmscher et al., J. Appl. Phys. 110, 063720 (2011)]. This strong sensitivity of defect incorporation on crystal growth method and conditions is unsurprising, which for PAMBE-grown β-Ga2O3:Ge manifests as a relatively "clean" upper part of the bandgap. However, the states at ˜EC - 0.98 eV, EC - 2.00 eV, and EC - 4.37 eV are reminiscent of similar findings from these earlier results on EFG-grown materials, suggesting that possible common sources might also be present irrespective of growth method.

  1. Efficient n-type doping of CdTe epitaxial layers grown by photo-assisted molecular beam epitaxy with the use of chlorine

    Energy Technology Data Exchange (ETDEWEB)

    Hommel, D.; Scholl, S.; Kuhn, T.A.; Ossau, W.; Waag, A.; Landwehr, G. (Univ. Wuerzburg, Physikalisches Inst. (Germany)); Bilger, G. (Univ. Stuttgart, Inst. fuer Physikalische Elektronik (Germany))

    1993-01-30

    Chlorine has been used successfully for the first time for n-type doping of CdTe epitaxial layers (epilayers) grown by photo-assisted molecular beam epitaxy. Similar to n-type doping of ZnSe layers, ZnCl[sub 2] has been used as source material. The free-carrier concentration can be varied over more than three orders of magnitude by changing the ZnCl[sub 2] oven temperature. Peak mobilities are 4700 cm[sup 2] V[sup -1] s[sup -1] for an electron concentration of 2x10[sup 16] cm[sup -3] and 525 cm[sup 2] V[sup -1] s[sup -1] for 2x10[sup 18] cm[sup -3]. The electrical transport data obtained by Van der Pauw configuration and Hall structure measurements are consistent with each other, indicating a good uniformity of the epilayers. In photoluminescence the donor-bound-exciton emission dominates for all chlorine concentrations. This contasts significantly with results obtained for indium doping, commonly used for obtaining n-type CdTe epilayers. The superiority of chlorine over indium doping and the influence of growth parameters on the behaviour of CdTe:Cl layers will be discussed on the basis of transport, luminescence, secondary ion mass spectroscopy and X-ray photoelectron spectroscopy data. (orig.).

  2. Electron molecular beam epitaxy: Layer-by-layer growth of complex oxides via pulsed electron-beam deposition

    International Nuclear Information System (INIS)

    Comes, Ryan; Liu Hongxue; Lu Jiwei; Gu, Man; Khokhlov, Mikhail; Wolf, Stuart A.

    2013-01-01

    Complex oxide epitaxial film growth is a rich and exciting field, owing to the wide variety of physical properties present in oxides. These properties include ferroelectricity, ferromagnetism, spin-polarization, and a variety of other correlated phenomena. Traditionally, high quality epitaxial oxide films have been grown via oxide molecular beam epitaxy or pulsed laser deposition. Here, we present the growth of high quality epitaxial films using an alternative approach, the pulsed electron-beam deposition technique. We demonstrate all three epitaxial growth modes in different oxide systems: Frank-van der Merwe (layer-by-layer); Stranski-Krastanov (layer-then-island); and Volmer-Weber (island). Analysis of film quality and morphology is presented and techniques to optimize the morphology of films are discussed.

  3. Control of chemical bonding of the ZnO surface grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ogata, K.; Komuro, T.; Hama, K.; Koike, K.; Sasa, S.; Inoue, M.; Yano, M.

    2004-01-01

    Toward the fabrication of enzyme modified field effect transistors (EnFETs) as one of organic/inorganic hybridized structures, surface bonding of the ZnO grown by molecular beam epitaxy was controlled by ex situ treatments. Angle resolved X-ray photoelectron spectroscopy (XPS) measurement revealed that O-H bonds exist at the surface of ZnO. It was found that the number of O-H bond could be changed with reversibility using plasma and thermal treatments

  4. Molecular beam epitaxy for high-performance Ga-face GaN electron devices

    International Nuclear Information System (INIS)

    Kaun, Stephen W; Speck, James S; Wong, Man Hoi; Mishra, Umesh K

    2013-01-01

    Molecular beam epitaxy (MBE) has emerged as a powerful technique for growing GaN-based high electron mobility transistor (HEMT) epistructures. Over the past decade, HEMT performance steadily improved, mainly through the optimization of device fabrication processes. Soon, HEMT performance will be limited by the crystalline quality of the epistructure. MBE offers heterostructure growth with highly abrupt interfaces, low point defect concentrations, and very low carbon and hydrogen impurity concentrations. Minimizing parasitic leakage pathways and resistances is essential in the growth of HEMTs for high-frequency and high-power applications. Through growth on native substrates with very low threading dislocation density, low-leakage HEMTs with very low on-resistance can be realized. Ga-rich plasma-assisted MBE (PAMBE) has been studied extensively, and it is clear that this technique has inherent limitations, including a high density of leakage pathways and a very small growth parameter space. Relatively new MBE growth techniques—high-temperature N-rich PAMBE and ammonia-based MBE—are being developed to circumvent the shortcomings of Ga-rich PAMBE. (invited review)

  5. Molecular beam epitaxy for the future

    International Nuclear Information System (INIS)

    Takahashi, K.

    1984-01-01

    Molecular beam epitaxy (MBE) is most commonly used to fabricate super-lattices, high electron mobility transistors, multi-quantum well lasers and other new semiconductor devices by utilizing its excellent controlability. MBE for the future is presumed to include techniques such as metalorganic chemical vapor deposition, photochemical reaction process using gas sources and ion implantation. A report on the crystal growth of GaAs using metalorganics, trimethylgallium and triethylgallium, which are usually used in chemical vapor deposition, as gaseous sources of gallium in an MBE system is made. (Author) [pt

  6. Highly repeatable room temperature negative differential resistance in AlN/GaN resonant tunneling diodes grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Growden, Tyler A.; Fakhimi, Parastou; Berger, Paul R.; Storm, David F.; Meyer, David J.; Zhang, Weidong; Brown, Elliott R.

    2016-01-01

    AlN/GaN resonant tunneling diodes grown on low dislocation density semi-insulating bulk GaN substrates via plasma-assisted molecular-beam epitaxy are reported. The devices were fabricated using a six mask level, fully isolated process. Stable room temperature negative differential resistance (NDR) was observed across the entire sample. The NDR exhibited no hysteresis, background light sensitivity, or degradation of any kind after more than 1000 continuous up-and-down voltage sweeps. The sample exhibited a ∼90% yield of operational devices which routinely displayed an average peak current density of 2.7 kA/cm 2 and a peak-to-valley current ratio of ≈1.15 across different sizes.

  7. Highly repeatable room temperature negative differential resistance in AlN/GaN resonant tunneling diodes grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Growden, Tyler A.; Fakhimi, Parastou; Berger, Paul R., E-mail: pberger@ieee.org [Department of Electrical and Computer Engineering, The Ohio State University, Columbus, Ohio 43210 (United States); Storm, David F.; Meyer, David J. [U.S. Naval Research Laboratory, Washington, DC 20375 (United States); Zhang, Weidong; Brown, Elliott R. [Departments of Physics and Electrical Engineering, Wright State University, Dayton, Ohio 45435 (United States)

    2016-08-22

    AlN/GaN resonant tunneling diodes grown on low dislocation density semi-insulating bulk GaN substrates via plasma-assisted molecular-beam epitaxy are reported. The devices were fabricated using a six mask level, fully isolated process. Stable room temperature negative differential resistance (NDR) was observed across the entire sample. The NDR exhibited no hysteresis, background light sensitivity, or degradation of any kind after more than 1000 continuous up-and-down voltage sweeps. The sample exhibited a ∼90% yield of operational devices which routinely displayed an average peak current density of 2.7 kA/cm{sup 2} and a peak-to-valley current ratio of ≈1.15 across different sizes.

  8. Control of ion content and nitrogen species using a mixed chemistry plasma for GaN grown at extremely high growth rates >9 μm/h by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Gunning, Brendan P.; Clinton, Evan A.; Merola, Joseph J.; Doolittle, W. Alan, E-mail: alan.doolittle@ece.gatech.edu [Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Bresnahan, Rich C. [Veeco Instruments, St. Paul, Minnesota 55127 (United States)

    2015-10-21

    Utilizing a modified nitrogen plasma source, plasma assisted molecular beam epitaxy (PAMBE) has been used to achieve higher growth rates in GaN. A higher conductance aperture plate, combined with higher nitrogen flow and added pumping capacity, resulted in dramatically increased growth rates up to 8.4 μm/h using 34 sccm of N{sub 2} while still maintaining acceptably low operating pressure. It was further discovered that argon could be added to the plasma gas to enhance growth rates up to 9.8 μm/h, which was achieved using 20 sccm of N{sub 2} and 7.7 sccm Ar flows at 600 W radio frequency power, for which the standard deviation of thickness was just 2% over a full 2 in. diameter wafer. A remote Langmuir style probe employing the flux gauge was used to indirectly measure the relative ion content in the plasma. The use of argon dilution at low plasma pressures resulted in a dramatic reduction of the plasma ion current by more than half, while high plasma pressures suppressed ion content regardless of plasma gas chemistry. Moreover, different trends are apparent for the molecular and atomic nitrogen species generated by varying pressure and nitrogen composition in the plasma. Argon dilution resulted in nearly an order of magnitude achievable growth rate range from 1 μm/h to nearly 10 μm/h. Even for films grown at more than 6 μm/h, the surface morphology remained smooth showing clear atomic steps with root mean square roughness less than 1 nm. Due to the low vapor pressure of Si, Ge was explored as an alternative n-type dopant for high growth rate applications. Electron concentrations from 2.2 × 10{sup 16} to 3.8 × 10{sup 19} cm{sup −3} were achieved in GaN using Ge doping, and unintentionally doped GaN films exhibited low background electron concentrations of just 1–2 × 10{sup 15} cm{sup −3}. The highest growth rates resulted in macroscopic surface features due to Ga cell spitting, which is an engineering challenge still to be

  9. Control of ion content and nitrogen species using a mixed chemistry plasma for GaN grown at extremely high growth rates >9 μm/h by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gunning, Brendan P.; Clinton, Evan A.; Merola, Joseph J.; Doolittle, W. Alan; Bresnahan, Rich C.

    2015-01-01

    Utilizing a modified nitrogen plasma source, plasma assisted molecular beam epitaxy (PAMBE) has been used to achieve higher growth rates in GaN. A higher conductance aperture plate, combined with higher nitrogen flow and added pumping capacity, resulted in dramatically increased growth rates up to 8.4 μm/h using 34 sccm of N 2 while still maintaining acceptably low operating pressure. It was further discovered that argon could be added to the plasma gas to enhance growth rates up to 9.8 μm/h, which was achieved using 20 sccm of N 2 and 7.7 sccm Ar flows at 600 W radio frequency power, for which the standard deviation of thickness was just 2% over a full 2 in. diameter wafer. A remote Langmuir style probe employing the flux gauge was used to indirectly measure the relative ion content in the plasma. The use of argon dilution at low plasma pressures resulted in a dramatic reduction of the plasma ion current by more than half, while high plasma pressures suppressed ion content regardless of plasma gas chemistry. Moreover, different trends are apparent for the molecular and atomic nitrogen species generated by varying pressure and nitrogen composition in the plasma. Argon dilution resulted in nearly an order of magnitude achievable growth rate range from 1 μm/h to nearly 10 μm/h. Even for films grown at more than 6 μm/h, the surface morphology remained smooth showing clear atomic steps with root mean square roughness less than 1 nm. Due to the low vapor pressure of Si, Ge was explored as an alternative n-type dopant for high growth rate applications. Electron concentrations from 2.2 × 10 16 to 3.8 × 10 19 cm −3 were achieved in GaN using Ge doping, and unintentionally doped GaN films exhibited low background electron concentrations of just 1–2 × 10 15 cm −3 . The highest growth rates resulted in macroscopic surface features due to Ga cell spitting, which is an engineering challenge still to be addressed. Nonetheless, the

  10. Epitaxial growth and new phase of single crystal Dy by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yang, Kai-Yueh; Homma, Hitoshi; Schuller, I.K.

    1987-09-01

    We have grown two novel epitaxial phases of dysprosium (Dy) on vanadium (V) by molecular beam epitaxy technique. Surface and bulk structures are studied by in-situ reflection high energy electron diffraction (RHEED) and x-ray diffraction techniques. The new hcp phases are ∼4% expanded uniformly in-plane (0001), and ∼9% and ∼4% expanded out of plane along the c-axes for non-interrupted and interrupted deposition case, respectively. We also observed (2 x 2), (3 x 3), and (4 x 4) Dy surface reconstruction patterns and a series of transitions as the Dy film thickness increases. 12 refs., 3 figs

  11. Constructing oxide interfaces and heterostructures by atomic layer-by-layer laser molecular beam epitaxy

    OpenAIRE

    Lei, Qingyu; Golalikhani, Maryam; Davidson, Bruce A.; Liu, Guozhen; Schlom, D. G.; Qiao, Qiao; Zhu, Yimei; Chandrasena, Ravini U.; Yang, Weibing; Gray, Alexander X.; Arenholz, Elke; Farrar, Andrew K.; Tenne, Dmitri A.; Hu, Minhui; Guo, Jiandong

    2016-01-01

    Advancements in nanoscale engineering of oxide interfaces and heterostructures have led to discoveries of emergent phenomena and new artificial materials. Combining the strengths of reactive molecular-beam epitaxy and pulsed-laser deposition, we show here, with examples of Sr1+xTi1-xO3+delta, Ruddlesden-Popper phase Lan+1NinO3n+1 (n = 4), and LaAl1+yO3(1+0.5y)/SrTiO3 interfaces, that atomic layer-by-layer laser molecular-beam epitaxy (ALL-Laser MBE) significantly advances the state of the art...

  12. Raman investigation of GaP–Si interfaces grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Bondi, A.; Cornet, C.; Boyer, S.; Nguyen Thanh, T.; Létoublon, A.; Pedesseau, L.; Durand, O. [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France); Moreac, A. [Institut de Physique de Rennes, UMR-CNRS n°6251, Université Rennes1, Campus de Beaulieu — 35042 Rennes cedex (France); Ponchet, A. [CEMES, UPR CNRS 8011, F-31055 Toulouse (France); Le Corre, A. [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France); Even, J., E-mail: jacky.even@insa.rennes.fr [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France)

    2013-08-31

    Raman spectroscopy was used to investigate the residual strain in thin GaP layers deposited on Si substrates by molecular beam epitaxy. Different growth conditions were used to obtain a clean GaP–Si interface, including migration enhanced epitaxy. The strain induced Raman shifts of the longitudinal and the transverse optical GaP lattice modes were analyzed. The effects of crystalline defects are discussed, supported by high resolution transmission electron microscopy and X-ray scattering studies. Finally, Raman Spectroscopy reveals the presence of disorder (or surface)-activated optical phonons. This result is discussed in the light of surface morphology analyses. - Highlights: ► GaP thin layers grown by molecular beam epitaxy on Si substrates. ► Strain-induced Raman shifts of the optical GaP modes are analyzed. ► Simulation of optical GaP modes by density functional perturbation theory. ► Comparison with X-ray diffraction and electron and scanning probe microscopy data.

  13. Twenty years of molecular beam epitaxy

    Science.gov (United States)

    Cho, A. Y.

    1995-05-01

    The term "molecular beam epitaxy" (MBE) was first used in one of our crystal growth papers in 1970, after having conducted extensive surface physics studies in the late 1960's of the interaction of atomic and molecular beams with solid surfaces. The unique feature of MBE is the ability to prepare single crystal layers with atomic dimensional precision. MBE sets the standard for epitaxial growth and has made possible semiconductor structures that could not be fabricated with either naturally existing materials or by other crystal growth techniques. MBE led the crystal growth technologies when it prepared the first semiconductor quantum well and superlattice structures that gave unexpected and exciting electrical and optical properties. For example, the discovery of the fractional quantized Hall effect. It brought experimental quantum physics to the classroom, and practically all major universities throughout the world are now equipped with MBE systems. The fundamental principles demonstrated by the MBE growth of III-V compound semiconductors have also been applied to the growth of group IV, II-VI, metal, and insulating materials. For manufacturing, the most important criteria are uniformity, precise control of the device structure, and reproducibility. MBE has produced more lasers (3 to 5 million per month for compact disc application) than any other crystal growth technique in the world. New directions for MBE are to incorporate in-situ, real-time monitoring capabilities so that complex structures can be precisely "engineered". In the future, as environmental concerns increase, the use of toxic arsine and phosphine may be limited. Successful use of valved cracker cells for solid arsenic and phosphorus has already produced InP based injection lasers.

  14. Molecular beam epitaxy of GaAs nanowires and their sustainability for optoelectronic applications. Comparing Au- and self-assisted growth methods

    Energy Technology Data Exchange (ETDEWEB)

    Breuer, Steffen

    2011-09-28

    In this work the synthesis of GaAs nanowires by molecular beam epitaxy (MBE) using the vapour-liquid-solid (VLS) mechanism is investigated. A comparison between Au- and self-assisted VLS growth is at the centre of this thesis. While the Au-assisted method is established as a versatile tool for nanowire growth, the recently developed self-assisted variation results from the exchange of Au by Ga droplets and thus eliminates any possibility of Au incorporation. By both methods, we achieve nanowires with epitaxial alignment to the Si(111) substrates. Caused by differences during nanowire nucleation, a parasitic planar layer grows between the nanowires by the Au-assisted method, but can be avoided by the self-assisted method. Au-assisted nanowires grow predominantly in the metastable wurtzite crystal structure, while their self-assisted counterparts have the zincblende structure. All GaAs nanowires are fully relaxed and the strain arising from the lattice mismatch between GaAs and Si of 4.1 % is accommodated by misfit dislocations at the interface. Self-assisted GaAs nanowires are generally found to have vertical and non-polar side facets, while tilted and polar nanofacets were described for Au-assisted GaAs nanowires. We employ VLS nucleation theory to understand the effect of the droplet material on the lateral facets. Optoelectronic applications require long minority carrier lifetimes at room temperature. We fabricate GaAs/(Al,Ga)As core-shell nanowires and analyse them by transient photoluminescence (PL) spectroscopy. The results are 2.5 ns for the self-assisted nanowires as well as 9 ps for the Au-assisted nanowires. By temperature-dependent PL measurements we find a characteristic activation energy of 77 meV that is present only in the Au-assisted nanowires. We conclude that most likely Au is incorporated from the droplets into the GaAs nanowires and acts as a deep, non-radiative recombination centre.

  15. AlGaAs and AlGaAs/GaAs/AlGaAs nanowires grown by molecular beam epitaxy on silicon substrates

    DEFF Research Database (Denmark)

    Cirlin, G E; Reznik, R R; Shtrom, I V

    2017-01-01

    The data on growth peculiarities and physical properties of GaAs insertions embedded in AlGaAs nanowires grown on different (1 1 1) substrates by Au-assisted molecular beam epitaxy are presented. The influence of nanowires growth conditions on structural and optical properties is studied in detail...

  16. Reclamation of a molecular beam epitaxy system and conversion for oxide epitaxy

    International Nuclear Information System (INIS)

    Carver, Alexander G.; Henderson, Walter; Doolittle, W. Alan

    2008-01-01

    An early 1980s vintage molecular beam epitaxy system, a Varian Gen II system, originally used for HgCdTe epitaxy, was converted into a system capable of growing thin-film complex metal oxides. The nature of some of the alternative oxides requires a thorough cleaning and, in some cases, complete replacement of system components. Details are provided regarding the chemistry of the etchants used, safety requirements for properly handling, and disposal of large quantities of etchants and etch by-products, and components that can be reused versus components that require replacement are given. Following the given procedures, an ultimate base pressure of 2x10 -10 Torr was obtained. Films grown in the system after reclamation contained no evidence of previously present materials down to the detection limit of secondary ion mass spectrometry

  17. Growth of c-plane ZnO on γ-LiAlO2 (1 0 0) substrate with a GaN buffer layer by plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yan, T.; Lu, C.-Y.J.; Schuber, R.; Chang, L.; Schaadt, D.M.; Chou, M.M.C.; Ploog, K.H.; Chiang, C.-M.

    2015-01-01

    Highlights: • ZnO epilayers were grown on LiAlO 2 (1 0 0) substrate with a GaN buffer layer by MBE. • A high Zn/O flux ratio is beneficial for reducing the density of screw dislocations. • Reciprocal space maps demonstrate that the misfit strain in ZnO has been relaxed. • No interfacial layer is formed at ZnO/GaN interface using a Zn pre-exposure strategy. - Abstract: C-plane ZnO epilayers were grown on LiAlO 2 (1 0 0) substrate with a GaN buffer layer by plasma assisted molecular beam epitaxy. Both the X-ray rocking curves and the transmission electron microscopy analyses indicate that the ZnO epilayers exhibit a lower threading dislocation density (∼1 × 10 10 cm −2 ) as compared to those grown on LiAlO 2 substrate without the buffer layer. A high Zn/O flux ratio is beneficial for reducing the density of screw-type dislocations. Reciprocal space maps demonstrate that the misfit strain has been relaxed. No interfacial layer is formed at the ZnO/GaN interface by using a Zn pre-exposure strategy. The ZnO epilayers exhibit a strong near band edge emission at 3.28 eV at room temperature with a negligible green band emission

  18. Epitaxial growth of ZnO layers on (111) GaAs substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ding Jian; Zhang Di; Konomi, Takaharu; Saito, Katsuhiko; Guo Qixin

    2012-01-01

    ZnO layers were grown on (111) GaAs substrates by laser molecular epitaxy at substrate temperatures between 200 and 550 °C. X-ray diffraction analysis revealed that c-axis of ZnO epilayer with a wurtzite structure is perpendicular to the substrate surface. X-ray rocking curves and Raman spectroscopy showed that the crystal quality of ZnO epilayers depends on the substrate temperature during the growth. Strong near-band-edge emission in the UV region without any deep-level emissions was observed from the ZnO epilayers at room temperature. The results indicate that laser molecular beam epitaxy is a promising growth method for obtaining high-quality ZnO layers on (111) GaAs substrates.

  19. Molecular beam epitaxy of LiMnAs

    Czech Academy of Sciences Publication Activity Database

    Novák, Vít; Cukr, Miroslav; Šobáň, Zbyněk; Jungwirth, Tomáš; Martí, X.; Holý, V.; Horodyská, P.; Němec, P.

    2011-01-01

    Roč. 323, č. 1 (2011), s. 348-350 ISSN 0022-0248 R&D Projects: GA MŠk LC510; GA AV ČR KAN400100652; GA MŠk(CZ) 7E08087 EU Projects: European Commission(XE) 215368 - SemiSpinNet; European Commission(XE) 214499 - NAMASTE Grant - others:AV ČR(CZ) AP0801 Program:Akademická prémie - Praemium Academiae Institutional research plan: CEZ:AV0Z10100520; CEZ:AV0Z10100521 Keywords : crystal structure * molecular beam epitaxy * lithium compounds * magnetic semiconductors Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.726, year: 2011

  20. Impact of N-plasma and Ga-irradiation on MoS2 layer in molecular beam epitaxy

    Science.gov (United States)

    Mishra, Pawan; Tangi, Malleswararao; Ng, Tien Khee; Hedhili, Mohamed Nejib; Anjum, Dalaver H.; Alias, Mohd Sharizal; Tseng, Chien-Chih; Li, Lain-Jong; Ooi, Boon S.

    2017-01-01

    Recent interest in two-dimensional materials has resulted in ultra-thin devices based on the transfer of transition metal dichalcogenides (TMDs) onto other TMDs or III-nitride materials. In this investigation, we realized p-type monolayer (ML) MoS2, and intrinsic GaN/p-type MoS2 heterojunction by the GaN overgrowth on ML-MoS2/c-sapphire using the plasma-assisted molecular beam epitaxy. A systematic nitrogen plasma ( N2 * ) and gallium (Ga) irradiation studies are employed to understand the individual effect on the doping levels of ML-MoS2, which is evaluated by micro-Raman and high-resolution X-Ray photoelectron spectroscopy (HRXPS) measurements. With both methods, p-type doping was attained and was verified by softening and strengthening of characteristics phonon modes E2 g 1 and A 1 g from Raman spectroscopy. With adequate N2 * -irradiation (3 min), respective shift of 1.79 cm-1 for A 1 g and 1.11 cm-1 for E2 g 1 are obtained while short term Ga-irradiated (30 s) exhibits the shift of 1.51 cm-1 for A 1 g and 0.93 cm-1 for E2 g 1 . Moreover, in HRXPS valence band spectra analysis, the position of valence band maximum measured with respect to the Fermi level is determined to evaluate the type of doping levels in ML-MoS2. The observed values of valance band maximum are reduced to 0.5, and 0.2 eV from the intrinsic value of ≈1.0 eV for N2 * - and Ga-irradiated MoS2 layers, which confirms the p-type doping of ML-MoS2. Further p-type doping is verified by Hall effect measurements. Thus, by GaN overgrowth, we attained the building block of intrinsic GaN/p-type MoS2 heterojunction. Through this work, we have provided the platform for the realization of dissimilar heterostructure via monolithic approach.

  1. Characterization of GaN quantum discs embedded in AlxGa1-xN nanocolumns grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ristic, J.; Calleja, E.; Sanchez-Garcia, M.A.; Ulloa, J.M.; Sanchez-Paramo, J.; Calleja, J.M.; Jahn, U.; Trampert, A.; Ploog, K.H.

    2003-01-01

    GaN quantum discs embedded in AlGaN nanocolumns with outstanding crystal quality and very high luminescence efficiency were grown on Si(111) substrates by plasma-assisted molecular beam epitaxy under highly N-rich conditions. Nanocolumns with diameters in the range of 30-150 nm, with no traces of any extended defects, as confirmed by transmission electron microscopy, were obtained. GaN quantum discs, 2 and 4 nm thick, were grown embedded in AlGaN nanocolumns by switching on and off the Al flux during variable time spans. Strong optical emissions from GaN quantum discs, observed by photoluminescence and cathodoluminescence measurements, reveal quantum confinement effects. While Raman data indicate that the nanocolumns are fully relaxed, the quantum discs appear to be fully strained. These nanostructures have a high potential for application in efficient vertical cavity emitters

  2. High power ultraviolet light emitting diodes based on GaN/AlGaN quantum wells produced by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Cabalu, J. S.; Bhattacharyya, A.; Thomidis, C.; Friel, I.; Moustakas, T. D.; Collins, C. J.; Komninou, Ph.

    2006-01-01

    In this paper, we report on the growth by molecular beam epitaxy and fabrication of high power nitride-based ultraviolet light emitting diodes emitting in the spectral range between 340 and 350 nm. The devices were grown on (0001) sapphire substrates via plasma-assisted molecular beam epitaxy. The growth of the light emitting diode (LED) structures was preceded by detailed materials studies of the bottom n-AlGaN contact layer, as well as the GaN/AlGaN multiple quantum well (MQW) active region. Specifically, kinetic conditions were identified for the growth of the thick n-AlGaN films to be both smooth and to have fewer defects at the surface. Transmission-electron microscopy studies on identical GaN/AlGaN MQWs showed good quality and well-defined interfaces between wells and barriers. Large area mesa devices (800x800 μm 2 ) were fabricated and were designed for backside light extraction. The LEDs were flip-chip bonded onto a Si submount for better heat sinking. For devices emitting at 340 nm, the measured differential on-series resistance is 3 Ω with electroluminescence spectrum full width at half maximum of 18 nm. The output power under dc bias saturates at 0.5 mW, while under pulsed operation it saturates at approximately 700 mA to a value of 3 mW, suggesting that thermal heating limits the efficiency of these devices. The output power of the investigated devices was found to be equivalent with those produced by the metal-organic chemical vapor deposition and hydride vapor-phase epitaxy methods. The devices emitting at 350 nm were investigated under dc operation and the output power saturates at 4.5 mW under 200 mA drive current

  3. Gas Source Techniques for Molecular Beam Epitaxy of Highly Mismatched Ge Alloys

    Directory of Open Access Journals (Sweden)

    Chad A. Stephenson

    2016-12-01

    Full Text Available Ge and its alloys are attractive candidates for a laser compatible with silicon integrated circuits. Dilute germanium carbide (Ge1−xCx offers a particularly interesting prospect. By using a precursor gas with a Ge4C core, C can be preferentially incorporated in substitutional sites, suppressing interstitial and C cluster defects. We present a method of reproducible and upscalable gas synthesis of tetrakis(germylmethane, or (H3Ge4C, followed by the design of a hybrid gas/solid-source molecular beam epitaxy system and subsequent growth of defect-free Ge1−xCx by molecular beam epitaxy (MBE. Secondary ion mass spectroscopy, transmission electron microscopy and contactless electroreflectance confirm the presence of carbon with very high crystal quality resulting in a decrease in the direct bandgap energy. This technique has broad applicability to growth of highly mismatched alloys by MBE.

  4. Backward diodes using heavily Mg-doped GaN growth by ammonia molecular-beam epitaxy

    Science.gov (United States)

    Okumura, Hironori; Martin, Denis; Malinverni, Marco; Grandjean, Nicolas

    2016-02-01

    We grew heavily Mg-doped GaN using ammonia molecular-beam epitaxy. The use of low growth temperature (740 °C) allows decreasing the incorporation of donor-like defects (p-type doping compensation. As a result, a net acceptor concentration of 7 × 1019 cm-3 was achieved, and the hole concentration measured by Hall effect was as high as 2 × 1019 cm-3 at room temperature. Using such a high Mg doping level, we fabricated GaN backward diodes without polarization-assisted tunneling. The backward diodes exhibited a tunneling-current density of 225 A/cm2 at a reverse bias of -1 V at room temperature.

  5. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    OpenAIRE

    Hongling Wei; Zhengwei Chen; Zhenping Wu; Wei Cui; Yuanqi Huang; Weihua Tang

    2017-01-01

    Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE) at different substrate temperatures. Th...

  6. Abstracts of 4. International Workshop on Molecular Beam Epitaxy and Vapour Phase Epitaxy Growth Physics and Technology

    International Nuclear Information System (INIS)

    2001-01-01

    4. International Workshop on Molecular Beam Epitaxy and Vapour Phase Epitaxy Growth Physics and Technology is the periodically held forum for discussion the problems connected with manufacturing of different nanostructures (thin films, quantum wells, quantum dots) needed in microelectronics. Preparation of such materials with desirable optical, electrical and magnetic properties being determined by their chemical composition and crystal structure has been discussed in detail during the workshop sessions. Optimization of crystal growth methods such as VPE and MBE from the view point of obtained material properties has also been extensively discussed

  7. Ion Beam Assisted Deposition of Thin Epitaxial GaN Films.

    Science.gov (United States)

    Rauschenbach, Bernd; Lotnyk, Andriy; Neumann, Lena; Poppitz, David; Gerlach, Jürgen W

    2017-06-23

    The assistance of thin film deposition with low-energy ion bombardment influences their final properties significantly. Especially, the application of so-called hyperthermal ions (energy GaN thin films on (0001)-oriented 6H-SiC substrates at 700 °C. The films are studied in situ by reflection high energy electron diffraction, ex situ by X-ray diffraction, scanning tunnelling microscopy, and high-resolution transmission electron microscopy. It is demonstrated that the film growth mode can be controlled by varying the ion to atom ratio, where 2D films are characterized by a smooth topography, a high crystalline quality, low biaxial stress, and low defect density. Typical structural defects in the GaN thin films were identified as basal plane stacking faults, low-angle grain boundaries forming between w-GaN and z-GaN and twin boundaries. The misfit strain between the GaN thin films and substrates is relieved by the generation of edge dislocations in the first and second monolayers of GaN thin films and of misfit interfacial dislocations. It can be demonstrated that the low-energy nitrogen ion assisted molecular beam epitaxy is a technique to produce thin GaN films of high crystalline quality.

  8. Molecular beam epitaxy growth of niobium oxides by solid/liquid state oxygen source and lithium assisted metal-halide chemistry

    Science.gov (United States)

    Tellekamp, M. Brooks; Greenlee, Jordan D.; Shank, Joshua C.; Doolittle, W. Alan

    2015-09-01

    In order to consistently grow high quality niobium oxides and lithium niobium oxides, a novel solid/liquid state oxygen source, LiClO4, has been implemented in a molecular beam epitaxy (MBE) system. LiClO4 is shown to decompose into both molecular and atomic oxygen upon heating. This allows oxidation rates similar to that of molecular oxygen but at a reduced overall beam flux, quantified by in situ Auger analysis. LiClO4 operation is decomposition limited to less than 400 °C, and other material limitations are identified. The design of a custom near-ambient NbCl5 effusion cell is presented, which improves both short and long term stability. Films of Nb oxidation state +2, +3, and +5 are grown using these new tools, including the multi-functional sub-oxide LiNbO2.

  9. Investigation of the silicon ion density during molecular beam epitaxy growth

    CERN Document Server

    Eifler, G; Ashurov, K; Morozov, S

    2002-01-01

    Ions impinging on a surface during molecular beam epitaxy influence the growth and the properties of the growing layer, for example, suppression of dopant segregation and the generation of crystal defects. The silicon electron gun in the molecular beam epitaxy (MBE) equipment is used as a source for silicon ions. To use the effect of ion bombardment the mechanism of generation and distribution of ions was investigated. A monitoring system was developed and attached at the substrate position in the MBE growth chamber to measure the ion and electron densities towards the substrate. A negative voltage was applied to the substrate to modify the ion energy and density. Furthermore the current caused by charge carriers impinging on the substrate was measured and compared with the results of the monitoring system. The electron and ion densities were measured by varying the emission current of the e-gun achieving silicon growth rates between 0.07 and 0.45 nm/s and by changing the voltage applied to the substrate betw...

  10. Growth of GaSb1-xBix by molecular beam epitaxy

    DEFF Research Database (Denmark)

    Song, Yuxin; Wang, Shumin; Roy, Ivy Saha

    2012-01-01

    Molecular beam epitaxy for GaSb1-xBix is investigated in this article. The growth window for incorporation of Bi in GaSb was found. Strategies of avoiding formation of Bi droplets and enhancing Bi incorporation were studied. The Bi incorporation was confirmed by SIMS and RBS measurements. The Bi ......As substrates were compared and no apparent difference for Bi incorporation was found.......Molecular beam epitaxy for GaSb1-xBix is investigated in this article. The growth window for incorporation of Bi in GaSb was found. Strategies of avoiding formation of Bi droplets and enhancing Bi incorporation were studied. The Bi incorporation was confirmed by SIMS and RBS measurements. The Bi...... concentration in the samples was found to increase with increasing growth temperature and Bi flux. The position of GaSb1-xBix layer peak in XRD rocking curves is found to be correlated to Bi composition. Surface and structural properties of the samples were also investigated. Samples grown on GaSb and Ga...

  11. Strong band edge luminescence from InN films grown on Si substrates by electron cyclotron resonance-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yodo, Tokuo; Yona, Hiroaki; Ando, Hironori; Nosei, Daiki; Harada, Yoshiyuki

    2002-01-01

    We observed strong band edge luminescence at 8.5-200 K from 200-880 nm thick InN films grown on 10 nm thick InN buffer layers on Si(001) and Si(111) substrates by electron cyclotron resonance-assisted molecular beam epitaxy. The InN film on the Si(001) substrate exhibited strong band edge photoluminescence (PL) emission at 1.814 eV at 8.5 K, tentatively assigned as donor to acceptor pair [DAP (α-InN)] emission from wurtzite-InN (α-InN) crystal grains, while those on Si(111) showed other stronger band edge PL emissions at 1.880, 2.081 and 2.156 eV, tentatively assigned as donor bound exciton [D 0 X(α-InN)] from α-InN grains, DAP (β-InN) and D 0 X (β-InN) emissions from zinc blende-InN (β-InN) grains, respectively

  12. The role of Si as surfactant and donor in molecular-beam epitaxy of AlN

    International Nuclear Information System (INIS)

    Lebedev, V.; Morales, F.M.; Romanus, H.; Krischok, S.; Ecke, G.; Cimalla, V.; Himmerlich, M.; Stauden, T.; Cengher, D.; Ambacher, O.

    2005-01-01

    The growth of Si-doped AlN(0001) thin films on Al 2 O 3 (0001) substrates by plasma-induced molecular-beam epitaxy is reported. We have found that Si positively affects the epitaxy being an effective surfactant for AlN growth with a remarkable impact on the crystal quality. It was proven that the characteristic surface reconstruction sequences frequently related to the Al adatoms are obviously Si induced on AlN(0001) surfaces. It was also observed that heavy doping conditions result in volume segregation of Si on the threading dislocation network and in the formation of an amorphous (AlO)(SiO)N cap layer caused by surface oxidation of the accumulated Al and segregated Si. The electron affinity was measured to be smaller than 0.5 eV on the clean AlN surface after removing of the cap layer using Ar + sputtering

  13. Laser molecular beam epitaxy of ZnO thin films and heterostructures

    International Nuclear Information System (INIS)

    Opel, Matthias; Geprägs, Stephan; Althammer, Matthias; Brenninger, Thomas; Gross, Rudolf

    2014-01-01

    We report on the growth of epitaxial ZnO thin films and ZnO-based heterostructures on sapphire substrates by laser molecular beam epitaxy (MBE). We first discuss some recent developments in laser-MBE such as flexible ultraviolet laser beam optics, infrared laser heating systems or the use of atomic oxygen and nitrogen sources, and describe the technical realization of our advanced laser-MBE system. Then we describe the optimization of the deposition parameters for ZnO films such as laser fluence and substrate temperature and the use of buffer layers. The detailed structural characterization by x-ray analysis and transmission electron microscopy shows that epitaxial ZnO thin films with high structural quality can be achieved, as demonstrated by a small out-of-plane and in-plane mosaic spread as well as the absence of rotational domains. We also demonstrate the heteroepitaxial growth of ZnO-based multilayers as a prerequisite for spin transport experiments and the realization of spintronic devices. As an example, we show that TiN/Co/ZnO/Ni/Au multilayer stacks can be grown on (0 0 0 1)-oriented sapphire with good structural quality of all layers and well defined in-plane epitaxial relations. (paper)

  14. Structural characterization of ZnO films grown by molecular beam epitaxy on sapphire with MgO buffer

    International Nuclear Information System (INIS)

    Pecz, B.; El-Shaer, A.; Bakin, A.; Mofor, A.-C.; Waag, A.; Stoemenos, J.

    2006-01-01

    The structural characteristics of the ZnO film grown on sapphire substrate using a thin MgO buffer layer were studied using transmission electron microscopy and high-resolution x-ray diffraction. The growth was carried out in a modified plasma-molecular beam epitaxy system. The observed misfit dislocations were well confined at the sapphire overgrown interface exhibiting domain matching epitaxy, where the integral multiples of lattice constants match across the interface. The main extended defects in the ZnO film were the threading dislocations having a mean density of 4x10 9 cm -2 . The formation of the MgO buffer layer as well as the ZnO growth were monitored in situ by reflection high-energy electron diffraction. The very thin ∼1 nm, MgO buffer layer can partially interdiffuse with the ZnO as well as react with the Al 2 O 3 substrate forming an intermediate epitaxial layer having the spinel (MgO/Al 2 O 3 ) structure

  15. Bandgap measurements and the peculiar splitting of E2H phonon modes of InxAl1-xN nanowires grown by plasma assisted molecular beam epitaxy

    KAUST Repository

    Tangi, Malleswararao

    2016-07-26

    The dislocation free Inx Al 1-xN nanowires (NWs) are grown on Si(111) by nitrogen plasma assisted molecular beam epitaxy in the temperature regime of 490 °C–610 °C yielding In composition ranges over 0.50 ≤ x ≤ 0.17. We study the optical properties of these NWs by spectroscopic ellipsometry (SE), photoluminescence, and Raman spectroscopies since they possesses minimal strain with reduced defects comparative to the planar films. The optical bandgap measurements of Inx Al 1-xN NWs are demonstrated by SE where the absorption edges of the NW samples are evaluated irrespective of substrate transparency. A systematic Stoke shift of 0.04–0.27 eV with increasing x was observed when comparing the micro-photoluminescence spectra with the Tauc plot derived from SE. The micro-Raman spectra in the NWs with x = 0.5 showed two-mode behavior for A1(LO) phonons and single mode behavior for E2 H phonons. As for x = 0.17, i.e., high Al content, we observed a peculiar E2 H phonon mode splitting. Further, we observe composition dependent frequency shifts. The 77 to 600 K micro-Raman spectroscopy measurements show that both AlN- and InN-like modes of A1(LO) and E2 H phonons in Inx Al 1-xN NWs are redshifted with increasing temperature, similar to that of the binary III group nitride semiconductors. These studies of the optical properties of the technologically important Inx Al 1-xN nanowires will path the way towards lasers and light-emitting diodes in the wavelength of the ultra-violet and visible range.

  16. Molecular Beam Epitaxy on Gas Cluster Ion Beam Prepared GaSb Substrates: Towards Improved Surfaces and Interfaces

    National Research Council Canada - National Science Library

    Krishnaswami, Kannan; Vangala, Shivashankar R; Dauplaise, Helen M; Allen, Lisa P; Dallas, Gordon; Bakken, Daniel; Bliss, David F; Goodhue, WIlliam D

    2007-01-01

    ... at temperatures ranging 530 degrees C to 560 degrees C. Cross-sectional transmission electron microscopy of molecular beam epitaxy grown GaSb/AlGaSb layers showed that the HBr-GCIB surface produced a smooth dislocation-free substrate-to-epi transition...

  17. Molecular beam epitaxy applications to key materials

    CERN Document Server

    Farrow, Robin F C

    1995-01-01

    In this volume, the editor and contributors describe the use of molecular beam epitaxy (MBE) for a range of key materials systems that are of interest for both technological and fundamental reasons. Prior books on MBE have provided an introduction to the basic concepts and techniques of MBE and emphasize growth and characterization of GaAs-based structures. The aim in this book is somewhat different; it is to demonstrate the versatility of the technique by showing how it can be utilized to prepare and explore a range of distinct and diverse materials. For each of these materials systems MBE has played a key role both in their development and application to devices.

  18. Mg doping of GaN by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lieten, R R; Buchowicz, G; Dubon, O; Motsnyi, V; Zhang, L; Cheng, K; Leys, M; Degroote, S; Borghs, G

    2011-01-01

    We present a systematic study on the influence of growth conditions on the incorporation and activation of Mg in GaN layers grown by plasma-assisted molecular beam epitaxy. We show that high quality p-type GaN layers can be obtained on GaN-on-silicon templates. The Mg incorporation and the electrical properties have been investigated as a function of growth temperature, Ga : N flux ratio and Mg : Ga flux ratio. It was found that the incorporation of Mg and the electrical properties are highly sensitive to the Ga : N flux ratio. The highest hole mobility and lowest resistivity were achieved for slightly Ga-rich conditions. In addition to an optimal Ga : N ratio, an optimum Mg : Ga flux ratio was also observed at around 1%. We observed a clear Mg flux window for p-type doping of GaN : 0.31% 17 cm -3 and a mobility of 15 cm 2 V -1 s -1 . Temperature-dependent Hall effect measurements indicate an acceptor depth in these samples of 100 meV for a hole concentration of 5.5 x 10 17 cm -3 . The corresponding Mg concentration is 5 x 10 19 cm -3 , indicating approximately 1% activation at room temperature. In addition to continuous growth of Mg-doped GaN layers we also investigated different modulated growth procedures. We show that a modulated growth procedure has only limited influence on Mg doping at a growth temperature of 800 deg. or higher. This result is thus in contrast to previously reported GaN : Mg doping at much lower growth temperatures of 500 deg. C.

  19. Heteroepitaxial growth of In-face InN on GaN (0001) by plasma-assisted molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Dimakis, E.; Iliopoulos, E.; Tsagaraki, K.; Kehagias, Th.; Komninou, Ph.; Georgakilas, A.

    2005-01-01

    The thermodynamic aspects of indium-face InN growth by radio frequency plasma-assisted molecular-beam epitaxy (rf-MBE) and the nucleation of InN on gallium-face GaN (0001) surface were investigated. The rates of InN decomposition and indium desorption from the surface were measured in situ using reflected high-energy electron diffraction and the rf-MBE 'growth window' of In-face InN (0001) was identified. It is shown that sustainable growth can be achieved only when the arrival rate of active nitrogen species on the surface is higher than the arrival rate of indium atoms. The maximum substrate temperature permitting InN growth as a function of the active nitrogen flux was determined. The growth mode of InN on Ga-face GaN (0001) surface was investigated by reflected high-energy electron diffraction and atomic force microscopy. It was found to be of the Volmer-Weber-type for substrate temperatures less than 350 deg. C and of the Stranski-Krastanov for substrate temperatures between 350 and 520 deg. C. The number of monolayers of initial two-dimensional growth, in the case of Stranski-Krastanov mode, varies monotonically with substrate temperature, from 2 ML at 400 deg. C to about 12 ML at 500 deg. C. The evolution and coalescence of nucleated islands were also investigated as a function of substrate temperature. It was found that at higher temperature their coalescence is inhibited leading to porous-columnar InN thin films, which exhibit growth rates higher than the nominal value. Therefore, in order to achieve continuous InN layers on GaN (0001) a two-step growth approach is introduced. In that approach, InN is nucleated at low temperatures on GaN and the growth continues until full coalescence of the nucleated islands. Subsequently, this nucleation layer is overgrown at higher substrate temperature in order to achieve high-quality continuous films. The InN films grown by the two-step method were investigated by x-ray diffraction, Hall-effect measurements, and

  20. Epitaxial growth of SrTiO3 thin film on Si by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Zhou, X. Y.; Miao, J.; Dai, J. Y.; Chan, H. L. W.; Choy, C. L.; Wang, Y.; Li, Q.

    2007-01-01

    SrTiO 3 thin films have been deposited on Si (001) wafers by laser molecular beam epitaxy using an ultrathin Sr layer as the template. X-ray diffraction measurements indicated that SrTiO 3 was well crystallized and epitaxially aligned with Si. Cross-sectional observations in a transmission electron microscope revealed that the SrTiO 3 /Si interface was sharp, smooth, and fully crystallized. The thickness of the Sr template was found to be a critical factor that influenced the quality of SrTiO 3 and the interfacial structure. Electrical measurements revealed that the SrTiO 3 film was highly resistive

  1. Temperature conditions for GaAs nanowire formation by Au-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Tchernycheva, M; Harmand, J C; Patriarche, G; Travers, L; Cirlin, G E

    2006-01-01

    Molecular beam epitaxial growth of GaAs nanowires using Au particles as a catalyst was investigated. Prior to the growth during annealing, Au alloyed with Ga coming from the GaAs substrate, and melted. Phase transitions of the resulting particles were observed in situ by reflection high-energy electron diffraction (RHEED). The temperature domain in which GaAs nanowire growth is possible was determined. The lower limit of this domain (320 deg. C) is close to the observed catalyst solidification temperature. Below this temperature, the catalyst is buried by GaAs growth. Above the higher limit (620 deg. C), the catalyst segregates on the surface with no significant nanowire formation. Inside this domain, the influence of growth temperature on the nanowire morphology and crystalline structure was investigated in detail by scanning electron microscopy and transmission electron microscopy. The correlation of the nanowire morphology with the RHEED patterns observed during the growth was established. Wurtzite GaAs was found to be the dominant crystal structure of the wires

  2. Molecular-beam epitaxy growth of high-performance midinfrared diode lasers

    International Nuclear Information System (INIS)

    Turner, G.W.; Choi, H.K.; Calawa, D.R.

    1994-01-01

    Recent advances in the performance of GaInAsSb/AlGaAsSb quantum-well diode lasers have been directly related to improvements in the quality of the molecular-beam epitaxy (MBE)-grown epitaxial layers. These improvements have been based on careful measurement and control of lattice matching and intentional strain, changes in shutter sequencing at interfaces, and a generally better understanding of the growth of Sb-based epitaxial materials. By using this improved MBE-grown material, significantly enhanced performance has been obtained for midinfrared lasers. These lasers, which are capable of ∼2-μm emission at room temperature, presently exhibit threshold current densities of 143 A/cm 2 , continuous wave powers of 1.3 W, and diffraction-limited powers of 120 mW. Such high-performance midinfrared diode lasers are of interest for a wide variety of applications, including eye-safe laser radar, remote sensing of atmospheric contaminants and wind turbulence, laser surgery, and pumping of solid-state laser media. 12 refs., 3 figs

  3. In silico carbon molecular beam epitaxial growth of graphene on the h-BN substrate: carbon source effect on van der Waals epitaxy

    Science.gov (United States)

    Lee, Jonghoon; Varshney, Vikas; Park, Jeongho; Farmer, Barry L.; Roy, Ajit K.

    2016-05-01

    Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon molecular beam epitaxy (CMBE) techniques using solid carbon sublimation have reported relatively poor quality of the graphene. In this article, the CMBE growth of graphene on the h-BN substrate is numerically studied in order to identify the effect of the carbon source on the quality of the graphene film. The carbon molecular beam generated by the sublimation of solid carbon source materials such as graphite and glassy carbon is mostly composed of atomic carbon, carbon dimers and carbon trimers. Therefore, the graphene film growth becomes a complex process involving various deposition characteristics of a multitude of carbon entities. Based on the study of surface adsorption and film growth characteristics of these three major carbon entities comprising graphite vapour, we report that carbon trimers convey strong traits of vdW epitaxy prone to high quality graphene growth, while atomic carbon deposition is a surface-reaction limited process accompanied by strong chemisorption. The vdW epitaxial behaviour of carbon trimers is found to be substantial enough to nucleate and develop into graphene like planar films within a nanosecond of high flux growth simulation, while reactive atomic carbons tend to impair the structural integrity of the crystalline h-BN substrate upon deposition to form an amorphous interface between the substrate and the growing carbon film. The content of reactive atomic carbons in the molecular beam is suspected to be the primary cause of low quality graphene reported in the literature. A possible optimization of the molecular beam composition towards the synthesis of better quality graphene films is suggested.Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon

  4. Impact of N-plasma and Ga-irradiation on MoS2 layer in molecular beam epitaxy

    KAUST Repository

    Mishra, Pawan

    2017-01-03

    Recent interest in two-dimensional materials has resulted in ultra-thin devices based on the transfer of transition metal dichalcogenides (TMDs) onto other TMDs or III-nitride materials. In this investigation, we realized p-type monolayer (ML) MoS2, and intrinsic GaN/p-type MoS2 heterojunction by the GaN overgrowth on ML-MoS2/c-sapphire using the plasma-assisted molecular beam epitaxy. A systematic nitrogen plasma (N∗2N2*) and gallium (Ga) irradiation studies are employed to understand the individual effect on the doping levels of ML-MoS2, which is evaluated by micro-Raman and high-resolution X-Ray photoelectron spectroscopy (HRXPS) measurements. With both methods, p-type doping was attained and was verified by softening and strengthening of characteristics phonon modes E12gE2g1 and A1gA1g from Raman spectroscopy. With adequate N∗2N2*-irradiation (3 min), respective shift of 1.79 cm−1 for A1gA1g and 1.11 cm−1 for E12gE2g1 are obtained while short term Ga-irradiated (30 s) exhibits the shift of 1.51 cm−1 for A1gA1g and 0.93 cm−1 for E12gE2g1. Moreover, in HRXPS valence band spectra analysis, the position of valence band maximum measured with respect to the Fermi level is determined to evaluate the type of doping levels in ML-MoS2. The observed values of valance band maximum are reduced to 0.5, and 0.2 eV from the intrinsic value of ≈1.0 eV for N∗2N2*- and Ga-irradiated MoS2 layers, which confirms the p-type doping of ML-MoS2. Further p-type doping is verified by Hall effect measurements. Thus, by GaN overgrowth, we attained the building block of intrinsic GaN/p-type MoS2 heterojunction. Through this work, we have provided the platform for the realization of dissimilar heterostructure via monolithic approach.

  5. Nickel enhanced graphene growth directly on dielectric substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Wofford, Joseph M., E-mail: joewofford@gmail.com, E-mail: lopes@pdi-berlin.de; Lopes, Joao Marcelo J., E-mail: joewofford@gmail.com, E-mail: lopes@pdi-berlin.de; Riechert, Henning [Paul-Drude-Institut für Festkörperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany); Speck, Florian; Seyller, Thomas [Technische Universität Chemnitz, Institut für Physik, Reichenhainer Str. 70, 09126 Chemnitz (Germany)

    2016-07-28

    The efficacy of Ni as a surfactant to improve the crystalline quality of graphene grown directly on dielectric Al{sub 2}O{sub 3}(0001) substrates by molecular beam epitaxy is examined. Simultaneously exposing the substrate to a Ni flux throughout C deposition at 950 °C led to improved charge carrier mobility and a Raman spectrum indicating less structural disorder in the resulting nanocrystalline graphene film. X-ray photoelectron spectroscopy confirmed that no residual Ni could be detected in the film and showed a decrease in the intensity of the defect-related component of the C1s level. Similar improvements were not observed when a lower substrate temperature (850 °C) was used. A close examination of the Raman spectra suggests that Ni reduces the concentration of lattice vacancies in the film, possibly by catalytically assisting adatom incorporation.

  6. Self-regulated growth of LaVO3 thin films by hybrid molecular beam epitaxy

    International Nuclear Information System (INIS)

    Zhang, Hai-Tian; Engel-Herbert, Roman; Dedon, Liv R.; Martin, Lane W.

    2015-01-01

    LaVO 3 thin films were grown on SrTiO 3 (001) by hybrid molecular beam epitaxy. A volatile metalorganic precursor, vanadium oxytriisopropoxide (VTIP), and elemental La were co-supplied in the presence of a molecular oxygen flux. By keeping the La flux fixed and varying the VTIP flux, stoichiometric LaVO 3 films were obtained for a range of cation flux ratios, indicating the presence of a self-regulated growth window. Films grown under stoichiometric conditions were found to have the largest lattice parameter, which decreased monotonically with increasing amounts of excess La or V. Energy dispersive X-ray spectroscopy and Rutherford backscattering measurements were carried out to confirm film compositions. Stoichiometric growth of complex vanadate thin films independent of cation flux ratios expands upon the previously reported self-regulated growth of perovskite titanates using hybrid molecular beam epitaxy, thus demonstrating the general applicability of this growth approach to other complex oxide materials, where a precise control over film stoichiometry is demanded by the application

  7. Spontaneous core-shell elemental distribution in In-rich InxGa1-xN nanowires grown by molecular beam epitaxy

    Science.gov (United States)

    Gómez-Gómez, M.; Garro, N.; Segura-Ruiz, J.; Martinez-Criado, G.; Cantarero, A.; Mengistu, H. T.; García-Cristóbal, A.; Murcia-Mascarós, S.; Denker, C.; Malindretos, J.; Rizzi, A.

    2014-02-01

    The elemental distribution of self-organized In-rich InxGa1-xN nanowires grown by plasma-assisted molecular beam epitaxy has been investigated using three different techniques with spatial resolution on the nanoscale. Two-dimensional images and elemental profiles of single nanowires obtained by x-ray fluorescence and energy-dispersive x-ray spectroscopy, respectively, have revealed a radial gradient in the alloy composition of each individual nanowire. The spectral selectivity of resonant Raman scattering has been used to enhance the signal from very small volumes with different elemental composition within single nanowires. The combination of the three techniques has provided sufficient sensitivity and spatial resolution to prove the spontaneous formation of a core-shell nanowire and to quantify the thicknesses and alloy compositions of the core and shell regions. A theoretical model based on continuum elastic theory has been used to estimate the strain fields present in such inhomogeneous nanowires. These results suggest new strategies for achieving high quality non-polar heterostructures.

  8. Growth of high-quality hexagonal InN on 3C-SiC (001) by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yaguchi, Hiroyuki; Hijikata, Yasuto; Yoshida, Sadafumi; Kitamura, Yoshihiro; Nishida, Kenji; Iwahashi, Yohei

    2005-01-01

    We have grown hexagonal InN (h-InN) films on 3C-SiC (001) substrates by RF-N 2 plasma molecular beam epitaxy taking account of small lattice mismatch between h-InN (10-10) and 3C-SiC (110). It was found from X-ray diffraction (XRD) measurements that h-InN grows with h-InN (0001) vertical stroke vertical stroke 3C-SiC (001) and h-InN (1-100) vertical stroke vertical stroke 3C-SiC (110). XRD measurements also revealed that the h-InN epitaxial layers grown on 3C-SiC (001) are composed of single domain. Strong and sharp photoluminescence from the h-InN was clearly observed at around 0.69 eV. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Adsorption-controlled growth of BiMnO3 films by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Lee, J. H.; Ke, X.; Misra, R.; Schiffer, P.; Ihlefeld, J. F.; Mei, Z. G.; Liu, Z. K.; Xu, X. S.; Musfeldt, J. L.; Heeg, T.; Schlom, D. G.; Roeckerath, M.; Schubert, J.

    2010-01-01

    We have developed the means to grow BiMnO 3 thin films with unparalleled structural perfection by reactive molecular-beam epitaxy and determined its band gap. Film growth occurs in an adsorption-controlled growth regime. Within this growth window bounded by oxygen pressure and substrate temperature at a fixed bismuth overpressure, single-phase films of the metastable perovskite BiMnO 3 may be grown by epitaxial stabilization. X-ray diffraction reveals phase-pure and epitaxial films with ω rocking curve full width at half maximum values as narrow as 11 arc sec (0.003 deg. ). Optical absorption measurements reveal that BiMnO 3 has a direct band gap of 1.1±0.1 eV.

  10. Comparison of the growth kinetics of In{sub 2}O{sub 3} and Ga{sub 2}O{sub 3} and their suboxide desorption during plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Vogt, Patrick, E-mail: vogt@pdi-berlin.de; Bierwagen, Oliver, E-mail: bierwagen@pdi-berlin.de [Paul-Drude-Institut für Festkörperelektronik, Hausvogteiplatz 5–7, D-10117 Berlin (Germany)

    2016-08-08

    We present a comprehensive study of the In{sub 2}O{sub 3} growth kinetics during plasma-assisted molecular beam epitaxy and compare it to that of the related oxide Ga{sub 2}O{sub 3} [P. Vogt and O. Bierwagen, Appl. Phys. Lett. 108, 072101 (2016)]. The growth rate and desorbing fluxes were measured during growth in-situ by a laser reflectometry set-up and line-of-sight quadrupole mass spectrometer, respectively. We extracted the In incorporation as a function of the provided In flux, different growth temperatures T{sub G}, and In-to-O flux ratios r. The data are discussed in terms of the competing formation of In{sub 2}O{sub 3} and desorption of the suboxide In{sub 2}O and O. The same three growth regimes as in the case of Ga{sub 2}O{sub 3} can be distinguished: (i) In-transport limited, O-rich (ii) In{sub 2}O-desorption limited, O-rich, and (iii) O-transport limited, In-rich. In regime (iii), In droplets are formed on the growth surface at low T{sub G}. The growth kinetics follows qualitatively that of Ga{sub 2}O{sub 3} in agreement with their common oxide and suboxide stoichiometry. The quantitative differences are mainly rationalized by the difference in In{sub 2}O and Ga{sub 2}O desorption rates and vapor pressures. For the In{sub 2}O, Ga{sub 2}O, and O desorption, we extracted the activation energies and frequency factors by means of Arrhenius-plots.

  11. Growth of CrTe thin films by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Sreenivasan, M.G.; Hou, X.J.; Teo, K.L.; Jalil, M.B.A.; Liew, T.; Chong, T.C.

    2006-01-01

    We report the growth of Cr 1-δ Te films on (100) GaAs substrates using ZnTe buffer layers by solid-source molecular-beam epitaxial technique. RHEED patterns indicate a clear structural change during the initial stages of deposition. Temperature-dependent magnetization results reveal that different NiAs-related phases of Cr 1-δ Te can be obtained at different substrate temperatures. By varying the film thickness, a metastable zinc blende structure of CrTe could be obtained at lower substrate temperature

  12. A dominant electron trap in molecular beam epitaxial InAlN lattice-matched to GaN

    Science.gov (United States)

    Pandey, Ayush; Bhattacharya, Aniruddha; Cheng, Shaobo; Botton, Gianluigi A.; Mi, Zetian; Bhattacharya, Pallab

    2018-04-01

    Deep levels in lattice-matched undoped and Si-doped InAlN/GaN grown by plasma-assisted molecular beam epitaxy have been identified and characterized by capacitance and photocapacitance measurements. From x-ray diffraction, reflectance measurements, electron energy loss spectroscopy and high-resolution transmission electron microscopy it is evident that the material has two distinct phases with different compositions. These correspond to In compositions of 18.1% and 25.8%, with corresponding bandgaps of 4.6 eV and 4.1 eV, respectively. The lower bandgap material is present as columnar microstructures in the form of quantum wires. A dominant electron trap with an activation energy of 0.293  ±  0.01 eV, a small capture cross-section of (1.54  ±  0.25)  ×  10-18 cm2, and density increasing linearly with Si doping density is identified in all the samples. The characteristics of the electron trap and variation of diode capacitance are discussed in the context of carrier dynamics involving the dominant trap level and the quantum wires.

  13. Spontaneous core–shell elemental distribution in In-rich InxGa1−xN nanowires grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gómez-Gómez, M; Garro, N; Cantarero, A; Mengistu, H T; García-Cristóbal, A; Murcia-Mascarós, S; Segura-Ruiz, J; Martinez-Criado, G; Denker, C; Malindretos, J; Rizzi, A

    2014-01-01

    The elemental distribution of self-organized In-rich In x Ga 1−x N nanowires grown by plasma-assisted molecular beam epitaxy has been investigated using three different techniques with spatial resolution on the nanoscale. Two-dimensional images and elemental profiles of single nanowires obtained by x-ray fluorescence and energy-dispersive x-ray spectroscopy, respectively, have revealed a radial gradient in the alloy composition of each individual nanowire. The spectral selectivity of resonant Raman scattering has been used to enhance the signal from very small volumes with different elemental composition within single nanowires. The combination of the three techniques has provided sufficient sensitivity and spatial resolution to prove the spontaneous formation of a core–shell nanowire and to quantify the thicknesses and alloy compositions of the core and shell regions. A theoretical model based on continuum elastic theory has been used to estimate the strain fields present in such inhomogeneous nanowires. These results suggest new strategies for achieving high quality non-polar heterostructures. (paper)

  14. Spontaneous core–shell elemental distribution in In-rich In(x)Ga1-xN nanowires grown by molecular beam epitaxy.

    Science.gov (United States)

    Gómez-Gómez, M; Garro, N; Segura-Ruiz, J; Martinez-Criado, G; Cantarero, A; Mengistu, H T; García-Cristóbal, A; Murcia-Mascarós, S; Denker, C; Malindretos, J; Rizzi, A

    2014-02-21

    The elemental distribution of self-organized In-rich In(x)Ga1-xN nanowires grown by plasma-assisted molecular beam epitaxy has been investigated using three different techniques with spatial resolution on the nanoscale. Two-dimensional images and elemental profiles of single nanowires obtained by x-ray fluorescence and energy-dispersive x-ray spectroscopy, respectively, have revealed a radial gradient in the alloy composition of each individual nanowire. The spectral selectivity of resonant Raman scattering has been used to enhance the signal from very small volumes with different elemental composition within single nanowires. The combination of the three techniques has provided sufficient sensitivity and spatial resolution to prove the spontaneous formation of a core–shell nanowire and to quantify the thicknesses and alloy compositions of the core and shell regions. A theoretical model based on continuum elastic theory has been used to estimate the strain fields present in such inhomogeneous nanowires. These results suggest new strategies for achieving high quality nonpolar heterostructures.

  15. Molecular beam epitaxy growth of InSb1−xBix thin films

    DEFF Research Database (Denmark)

    Song, Yuxin; Wang, Shumin; Saha Roy, Ivy

    2013-01-01

    Molecular beam epitaxy growth for InSb1−xBix thin films on (100) GaAs substrates is reported. Successful Bi incorporation for 2% is achieved, and up to 70% of the incorporated Bi atoms are at substitutional sites. The effects of growth parameters on Bi incorporation and surface morphology are stu...

  16. Molecular-beam epitaxy growth and characterization of 5-μm quantum cascade laser

    International Nuclear Information System (INIS)

    Mamutin, V V; Ustinov, V M; Ilyinskaya, N D; Baydakova, M V; Ber, B Ya; Kasantsev, D Yu

    2011-01-01

    Molecular-beam epitaxy growth of 5 μm emitting strain-compensated quantum semiconductor laser (QCL) is reported. The QCL structure is characterized by complementary techniques: high-resolution X-ray diffraction and dynamical secondary-ion mass-spectrometry, that reveal the high quality of QCL structure and in-depth distribution of chemical composition, respectively.

  17. Influences of residual oxygen impurities, cubic indium oxide grains and indium oxy-nitride alloy grains in hexagonal InN crystalline films grown on Si(111) substrates by electron cyclotron resonance plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yodo, T.; Nakamura, T.; Kouyama, T.; Harada, Y.

    2005-01-01

    We investigated the influences of residual oxygen (O) impurities, cubic indium oxide (β-In 2 O 3 ) grains and indium oxy-nitride (InON) alloy grains in 200 nm-thick hexagonal (α)-InN crystalline films grown on Si(111) substrates by electron cyclotron resonance plasma-assisted molecular beam epitaxy. Although β-In 2 O 3 grains with wide band-gap energy were formed in In film by N 2 annealing, they were not easily formed in N 2 -annealed InN films. Even if they were not detected in N 2 -annealed InN films, the as-grown films still contained residual O impurities with concentrations of less than 0.5% ([O]≤0.5%). Although [O]∝1% could be estimated by investigating In 2 O 3 grains formed in N 2 -annealed InN films, [O]≤0.5% could not be measured by it. However, we found that they can be qualitatively measured by investigating In 2 O 3 grains formed by H 2 annealing with higher reactivity with InN and O 2 , using X-ray diffraction and PL spectroscopy. In this paper, we discuss the formation mechanism of InON alloy grains in InN films. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Disorder and defect formation mechanisms in molecular-beam-epitaxy grown silicon epilayers

    International Nuclear Information System (INIS)

    Akbari-Sharbaf, Arash; Baribeau, Jean-Marc; Wu, Xiaohua; Lockwood, David J.; Fanchini, Giovanni

    2013-01-01

    We investigate the role of disorder, stress and crystallite size in determining the density of defects in disordered and partially ordered silicon thin films deposited at low or moderate temperatures by molecular beam epitaxy. We find that the paramagnetic defect density measured by electron spin resonance (ESR) is strongly dependent on the growth temperature of the films, decreasing from ∼ 2 · 10 19 cm −3 at 98 °C to ∼ 1 · 10 18 cm −3 at 572 °C. The physical nature of the defects is strongly dependent on the range of order in the films: ESR spectra consistent with dangling bonds in an amorphous phase are observed at the lowest temperatures, while the ESR signal gradually becomes more anisotropic as medium-range order improves and the stress level (measured both by X-ray diffraction and Raman spectroscopy) is released in more crystalline films. Anisotropic ESR spectra consistent with paramagnetic defects embedded in an epitaxial phase are observed at the highest growth temperature (572 °C). - Highlights: ► Disordered Si epilayers were grown by molecular beam epitaxy. ► Growth has been carried out at temperatures T = 98 °C–514 °C. ► A correlation between defect density and disorder in the films has been found. ► Lack of medium range order and stress cause the formation of defects at low T. ► At high T, defects are associated to grain boundaries and oriented stacking faults

  19. In situ surface/interface x-ray diffractometer for oxide molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Lee, J. H.; Freeland, J. W.; Hong, Hawoong, E-mail: hhong@aps.anl.gov [Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois 60439 (United States); Tung, I. C. [Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois 60439 (United States); Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208 (United States); Chang, S.-H.; Bhattacharya, A.; Fong, D. D. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439 (United States)

    2016-01-15

    In situ studies of oxide molecular beam epitaxy by synchrotron x-ray scattering has been made possible by upgrading an existing UHV/molecular beam epitaxy (MBE) six-circle diffractometer system. For oxide MBE growth, pure ozone delivery to the chamber has been made available, and several new deposition sources have been made available on a new 12 in. CF (ConFlat, a registered trademark of Varian, Inc.) flange. X-ray diffraction has been used as a major probe for film growth and structures for the system. In the original design, electron diffraction was intended for the secondary diagnostics available without the necessity of the x-ray and located at separate positions. Deposition of films was made possible at the two diagnostic positions. And, the aiming of the evaporation sources is fixed to the point between two locations. Ozone can be supplied through two separate nozzles for each location. Also two separate thickness monitors are installed. Additional features of the equipment are also presented together with the data taken during typical oxide film growth to illustrate the depth of information available via in situ x-ray techniques.

  20. In situ surface/interface x-ray diffractometer for oxide molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lee, J. H.; Freeland, J. W.; Hong, Hawoong; Tung, I. C.; Chang, S.-H.; Bhattacharya, A.; Fong, D. D.

    2016-01-01

    In situ studies of oxide molecular beam epitaxy by synchrotron x-ray scattering has been made possible by upgrading an existing UHV/molecular beam epitaxy (MBE) six-circle diffractometer system. For oxide MBE growth, pure ozone delivery to the chamber has been made available, and several new deposition sources have been made available on a new 12 in. CF (ConFlat, a registered trademark of Varian, Inc.) flange. X-ray diffraction has been used as a major probe for film growth and structures for the system. In the original design, electron diffraction was intended for the secondary diagnostics available without the necessity of the x-ray and located at separate positions. Deposition of films was made possible at the two diagnostic positions. And, the aiming of the evaporation sources is fixed to the point between two locations. Ozone can be supplied through two separate nozzles for each location. Also two separate thickness monitors are installed. Additional features of the equipment are also presented together with the data taken during typical oxide film growth to illustrate the depth of information available via in situ x-ray techniques

  1. Evidence for graphite-like hexagonal AlN nanosheets epitaxially grown on single crystal Ag(111)

    Energy Technology Data Exchange (ETDEWEB)

    Tsipas, P.; Kassavetis, S.; Tsoutsou, D.; Xenogiannopoulou, E.; Golias, E.; Giamini, S. A.; Dimoulas, A. [National Center for Scientific Research “Demokritos,” 15310 Athens (Greece); Grazianetti, C.; Fanciulli, M. [Laboratorio MDM, IMM-CNR, I-20864, Agrate Brianza (MB) (Italy); Dipartimento di Scienza dei Materiali, Università degli Studi di Milano Bicocca, I-20126, Milano (Italy); Chiappe, D.; Molle, A. [Laboratorio MDM, IMM-CNR, I-20864, Agrate Brianza (MB) (Italy)

    2013-12-16

    Ultrathin (sub-monolayer to 12 monolayers) AlN nanosheets are grown epitaxially by plasma assisted molecular beam epitaxy on Ag(111) single crystals. Electron diffraction and scanning tunneling microscopy provide evidence that AlN on Ag adopts a graphite-like hexagonal structure with a larger lattice constant compared to bulk-like wurtzite AlN. This claim is further supported by ultraviolet photoelectron spectroscopy indicating a reduced energy bandgap as expected for hexagonal AlN.

  2. Hybrid molecular beam epitaxy for the growth of stoichiometric BaSnO{sub 3}

    Energy Technology Data Exchange (ETDEWEB)

    Prakash, Abhinav, E-mail: praka019@umn.edu; Dewey, John; Yun, Hwanhui; Jeong, Jong Seok; Mkhoyan, K. Andre; Jalan, Bharat, E-mail: bjalan@umn.edu [Department of Chemical Engineering and Materials Science, University of Minnesota, Minneapolis, Minnesota 55455 (United States)

    2015-11-15

    Owing to its high room-temperature electron mobility and wide bandgap, BaSnO{sub 3} has recently become of significant interest for potential room-temperature oxide electronics. A hybrid molecular beam epitaxy (MBE) approach for the growth of high-quality BaSnO{sub 3} films is developed in this work. This approach employs hexamethylditin as a chemical precursor for tin, an effusion cell for barium, and a radio frequency plasma source for oxygen. BaSnO{sub 3} films were thus grown on SrTiO{sub 3} (001) and LaAlO{sub 3} (001) substrates. Growth conditions for stoichiometric BaSnO{sub 3} were identified. Reflection high-energy electron diffraction (RHEED) intensity oscillations, characteristic of a layer-by-layer growth mode were observed. A critical thickness of ∼1 nm for strain relaxation was determined for films grown on SrTiO{sub 3} using in situ RHEED. Scanning transmission electron microscopy combined with electron energy-loss spectroscopy and energy dispersive x-ray spectroscopy confirmed the cube-on-cube epitaxy and composition. The importance of precursor chemistry is discussed in the context of the MBE growth of BaSnO{sub 3}.

  3. Molecular Beam Epitaxial Growth of GaAs on (631) Oriented Substrates

    International Nuclear Information System (INIS)

    Cruz Hernandez, Esteban; Rojas Ramirez, Juan-Salvador; Contreras Hernandez, Rocio; Lopez Lopez, Maximo; Pulzara Mora, Alvaro; Mendez Garcia, Victor H.

    2007-01-01

    In this work, we report the study of the homoepitaxial growth of GaAs on (631) oriented substrates by molecular beam epitaxy (MBE). We observed the spontaneous formation of a high density of large scale features on the surface. The hilly like features are elongated towards the [-5, 9, 3] direction. We show the dependence of these structures with the growth conditions and we present the possibility of to create quantum wires structures on this surface

  4. Defect distribution in low-temperature molecular beam epitaxy grown Si/Si(100), improved depth profiling with monoenergetic positrons

    International Nuclear Information System (INIS)

    Szeles, C.; Asoka-Kumar, P.; Lynn, K.G.; Gossmann, H.; Unterwald, F.C.; Boone, T.

    1995-01-01

    The depth distribution of open-volume defects has been studied in Si(100) crystals grown by molecular beam epitaxy at 300 degree C by the variable-energy monoenergetic positron beam technique combined with well-controlled chemical etching. This procedure gave a 10 nm depth resolution which is a significant improvement over the inherent depth resolving power of the positron beam technique. The epitaxial layer was found to grow defect-free up to 80 nm, from the interface, where small vacancy clusters, larger than divacancies, appear. The defect density then sharply increases toward the film surface. The result clearly shows that the nucleation of small open-volume defects is a precursor state to the breakdown of epitaxy and to the evolution of an amorphous film

  5. Investigation of the silicon ion density during molecular beam epitaxy growth

    Science.gov (United States)

    Eifler, G.; Kasper, E.; Ashurov, Kh.; Morozov, S.

    2002-05-01

    Ions impinging on a surface during molecular beam epitaxy influence the growth and the properties of the growing layer, for example, suppression of dopant segregation and the generation of crystal defects. The silicon electron gun in the molecular beam epitaxy (MBE) equipment is used as a source for silicon ions. To use the effect of ion bombardment the mechanism of generation and distribution of ions was investigated. A monitoring system was developed and attached at the substrate position in the MBE growth chamber to measure the ion and electron densities towards the substrate. A negative voltage was applied to the substrate to modify the ion energy and density. Furthermore the current caused by charge carriers impinging on the substrate was measured and compared with the results of the monitoring system. The electron and ion densities were measured by varying the emission current of the e-gun achieving silicon growth rates between 0.07 and 0.45 nm/s and by changing the voltage applied to the substrate between 0 to -1000 V. The dependencies of ion and electron densities were shown and discussed within the framework of a simple model. The charged carrier densities measured with the monitoring system enable to separate the ion part of the substrate current and show its correlation to the generation rate. Comparing the ion density on the whole substrate and in the center gives a hint to the ion beam focusing effect. The maximum ion and electron current densities obtained were 0.40 and 0.61 μA/cm2, respectively.

  6. Effect of Al/N ratio during nucleation layer growth on Hall mobility and buffer leakage of molecular-beam epitaxy grown AlGaN/GaN heterostructures

    International Nuclear Information System (INIS)

    Storm, D.F.; Katzer, D.S.; Binari, S.C.; Shanabrook, B.V.; Zhou Lin; Smith, David J.

    2004-01-01

    AlGaN/GaN high electron mobility transistor structures have been grown by plasma-assisted molecular beam epitaxy on semi-insulating 4H-SiC utilizing an AlN nucleation layer. The electron Hall mobility of these structures increases from 1050 cm 2 /V s to greater than 1450 cm 2 /V s when the Al/N flux ratio during the growth of the nucleation layer is increased from 0.90 to 1.07. Buffer leakage currents increase abruptly by nearly three orders of magnitude when the Al/N ratio increases from below to above unity. Transmission electron microscopy indicates that high buffer leakage is correlated with the presence of stacking faults in the nucleation layer and cubic phase GaN in the buffer, while low mobilities are correlated with high dislocation densities

  7. Real-time reflectance-difference spectroscopy of GaAs molecular beam epitaxy homoepitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Lastras-Martínez, A., E-mail: alm@cactus.iico.uaslp.mx, E-mail: alastras@gmail.com; Ortega-Gallegos, J.; Guevara-Macías, L. E.; Nuñez-Olvera, O.; Balderas-Navarro, R. E.; Lastras-Martínez, L. F. [Instituto de Investigación en Comunicación Optica, Universidad Autónoma de San Luis Potosí, Alvaro Obregón 64, San Luis Potosí, SLP 78000 (Mexico); Lastras-Montaño, L. A. [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Lastras-Montaño, M. A. [Department of Electrical and Computer Engineering, University of California, Santa Barbara, Santa Barbara, California 93106 (United States)

    2014-03-01

    We report on real time-resolved Reflectance-difference (RD) spectroscopy of GaAs(001) grown by molecular beam epitaxy, with a time-resolution of 500 ms per spectrum within the 2.3–4.0 eV photon energy range. Through the analysis of transient RD spectra we demonstrated that RD line shapes are comprised of two components with different physical origins and determined their evolution during growth. Such components were ascribed to the subsurface strain induced by surface reconstruction and to surface stoichiometry. Results reported in this paper render RD spectroscopy as a powerful tool for the study of fundamental processes during the epitaxial growth of zincblende semiconductors.

  8. The Growth of GaN on Si by the Beam Flux Modulation

    International Nuclear Information System (INIS)

    Roh, C. H.; Ha, M. W.; Song, H. J.; Choi, H. G.; Lee, J. H.; Ra, Y. W.; Hahn, C. K.

    2011-01-01

    AlGaN/GaN HEMT structure was grown on Si (111) substrate by plasma-assisted molecular beam epitaxy (PA-MBE) using a beam flux modulation methods. In this result, it was verified that the propagation of treading dislocation (TD) due to N-rich GaN layer was effectively suppressed.

  9. High-mobility BaSnO{sub 3} grown by oxide molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Raghavan, Santosh; Schumann, Timo; Kim, Honggyu; Zhang, Jack Y.; Cain, Tyler A.; Stemmer, Susanne, E-mail: stemmer@mrl.ucsb.edu [Materials Department, University of California, Santa Barbara, California 93106-5050 (United States)

    2016-01-01

    High-mobility perovskite BaSnO{sub 3} films are of significant interest as new wide bandgap semiconductors for power electronics, transparent conductors, and as high mobility channels for epitaxial integration with functional perovskites. Despite promising results for single crystals, high-mobility BaSnO{sub 3} films have been challenging to grow. Here, we demonstrate a modified oxide molecular beam epitaxy (MBE) approach, which supplies pre-oxidized SnO{sub x}. This technique addresses issues in the MBE of ternary stannates related to volatile SnO formation and enables growth of epitaxial, stoichiometric BaSnO{sub 3}. We demonstrate room temperature electron mobilities of 150 cm{sup 2} V{sup −1} s{sup −1} in films grown on PrScO{sub 3}. The results open up a wide range of opportunities for future electronic devices.

  10. Investigation of aluminium ohmic contacts to n-type GaN grown by molecular beam epitaxy

    Science.gov (United States)

    Kribes, Y.; Harrison, I.; Tuck, B.; Kim, K. S.; Cheng, T. S.; Foxon, C. T.

    1997-11-01

    Using epi-layers of different doping concentrations, we have investigated aluminium contacts on n-type gallium nitride grown by plasma source molecular beam epitaxy. To achieve repeatable and reliable results it was found that the semiconductor needed to be etched in aqua-regia before the deposition of the contact metallization. Scanning electron micrographs of the semiconductor surface show a deterioration of the semiconductor surface on etching. The specific contact resistivity of the etched samples were, however, superior. Annealing the contacts at 0268-1242/12/11/030/img9 produced contacts with the lowest specific contact resistance of 0268-1242/12/11/030/img10. The long-term aging of these contacts was also investigated. The contacts and the sheet resistance were both found to deteriorate over a three-month period.

  11. Molecular beam epitaxy of single crystal colossal magnetoresistive material

    International Nuclear Information System (INIS)

    Eckstein, J.N.; Bozovic, I.; Rzchowski, M.; O'Donnell, J.; Hinaus, B.; Onellion, M.

    1996-01-01

    The authors have grown films of (LaSr)MnO 3 (LSMO) and (LaCa)MnO 3 (LCMO) using atomic layer-by-layer molecular beam epitaxy (ALL-MBE). Depending on growth conditions, substrate lattice constant and the exact cation stoichiometry, the films are either pseudomorphic or strain relaxed. The pseudomorphic films show atomically flat surfaces, with a unit cell terrace structure that is a replica of that observed on the slightly vicinal substrates, while the strain relaxed films show bumpy surfaces correlated with a dislocation network. All films show tetragonal structure and exhibit anisotropic magnetoresistance, with a low field response, (1/R)(dR/dH) as large as 5 T -1

  12. Combinatorial screening of halide perovskite thin films and solar cells by mask-defined IR laser molecular beam epitaxy

    OpenAIRE

    Kawashima, Kazuhiro; Okamoto, Yuji; Annayev, Orazmuhammet; Toyokura, Nobuo; Takahashi, Ryota; Lippmaa, Mikk; Itaka, Kenji; Suzuki, Yoshikazu; Matsuki, Nobuyuki; Koinuma, Hideomi

    2017-01-01

    Abstract As an extension of combinatorial molecular layer epitaxy via ablation of perovskite oxides by a pulsed excimer laser, we have developed a laser molecular beam epitaxy (MBE) system for parallel integration of nano-scaled thin films of organic?inorganic hybrid materials. A pulsed infrared (IR) semiconductor laser was adopted for thermal evaporation of organic halide (A-site: CH3NH3I) and inorganic halide (B-site: PbI2) powder targets to deposit repeated A/B bilayer films where the thic...

  13. Molecular beam epitaxy of Cd3As2 on a III-V substrate

    Directory of Open Access Journals (Sweden)

    Timo Schumann

    2016-12-01

    Full Text Available Epitaxial, strain-engineered Dirac semimetal heterostructures promise tuning of the unique properties of these materials. In this study, we investigate the growth of thin films of the recently discovered Dirac semimetal Cd3As2 by molecular beam epitaxy. We show that epitaxial Cd3As2 layers can be grown at low temperatures (110 °C–220 °C, in situ, on (111 GaSb buffer layers deposited on (111 GaAs substrates. The orientation relationship is described by ( 112 Cd 3 As 2 || (111 GaSb and [ 1 1 ¯ 0 ] Cd 3 As 2 || [ 1 ¯ 01 ] GaSb . The films are shown to grow in the low-temperature, vacancy ordered, tetragonal Dirac semimetal phase. They exhibit high room temperature mobilities of up to 19300 cm2/Vs, despite a three-dimensional surface morphology indicative of island growth and the presence of twin variants. The results indicate that epitaxial growth on more closely lattice matched buffer layers, such as InGaSb or InAlSb, which allow for imposing different degrees of epitaxial coherency strains, should be possible.

  14. Real-time reflectance-difference spectroscopy of GaAs molecular beam epitaxy homoepitaxial growth

    Directory of Open Access Journals (Sweden)

    A. Lastras-Martínez

    2014-03-01

    Full Text Available We report on real time-resolved Reflectance-difference (RD spectroscopy of GaAs(001 grown by molecular beam epitaxy, with a time-resolution of 500 ms per spectrum within the 2.3–4.0 eV photon energy range. Through the analysis of transient RD spectra we demonstrated that RD line shapes are comprised of two components with different physical origins and determined their evolution during growth. Such components were ascribed to the subsurface strain induced by surface reconstruction and to surface stoichiometry. Results reported in this paper render RD spectroscopy as a powerful tool for the study of fundamental processes during the epitaxial growth of zincblende semiconductors.

  15. Molecular beam epitaxy of three-dimensional Dirac material Sr3PbO

    Science.gov (United States)

    Samal, D.; Nakamura, H.; Takagi, H.

    2016-07-01

    A series of anti-perovskites including Sr3PbO are recently predicted to be a three-dimensional Dirac material with a small mass gap, which may be a topological crystalline insulator. Here, we report the epitaxial growth of Sr3PbO thin films on LaAlO3 using molecular beam epitaxy. X-ray diffraction indicates (001) growth of Sr3PbO, where [110] of Sr3PbO matches [100] of LaAlO3. Measurements of the Sr3PbO films with parylene/Al capping layers reveal a metallic conduction with p-type carrier density of ˜1020 cm-3. The successful growth of high quality Sr3PbO film is an important step for the exploration of its unique topological properties.

  16. Radical-source molecular beam epitaxy of ZnO-based heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Sadofiev, Sergey

    2009-10-27

    This work focuses on the development of the novel growth approaches for the fabrication of Group II-oxide materials in the form of epitaxial films and heterostructures. It is shown that molecular-beam epitaxial growth far from thermal equilibrium allows one to overcome the standard solubility limit and to alloy ZnO with MgO or CdO in strict wurtzite phase up to mole fractions of several 10 %. In this way, a band-gap range from 2.2 to 4.4 eV can be covered. A clear layer-by-layer growth mode controlled by oscillations in reflection high-energy electron diffraction makes it possible to fabricate atomically smooth heterointerfaces and well-defined quantum well structures exhibiting prominent band-gap related light emission in the whole composition range. On appropriately designed structures, laser action from the ultraviolet down to green wavelengths and up to room temperature is achieved. The properties and potential of the ''state-of-the-art'' materials are discussed in relation to the advantages for their applications in various optoelectronic devices. (orig.)

  17. The formation of hexagonal-shaped InGaN-nanodisk on GaN-nanowire observed in plasma source molecular beam epitaxy

    KAUST Repository

    Ng, Tien Khee

    2014-03-08

    We report on the properties and growth kinetics of defect-free, photoluminescence (PL) efficient mushroom-like nanowires (MNWs) in the form of ~30nm thick hexagonal-shaped InGaN-nanodisk on GaN nanowires, coexisting with the conventional rod-like InGaN-on-GaN nanowires (RNWs) on (111)-silicon-substrate. When characterized using confocal microscopy (CFM) with 458nm laser excitation, while measuring spontaneous-emission at fixed detection wavelengths, the spatial intensity map evolved from having uniform pixelated emission, to having only an emission ring, and then a round emission spot. This corresponds to the PL emission with increasing indium composition; starting from emission mainly from the RNW, and then the 540 nm emission from one MNWs ensemble, followed by the 590 nm emission from a different MNW ensemble, respectively. These hexagonal-shaped InGaN-nano-disks ensembles were obtained during molecular-beam-epitaxy (MBE) growth. On the other hand, the regular rod-like InGaN-on-GaN nanowires (RNWs) were emitting at a shorter peak wavelength of 490 nm. While the formation of InGaN rod-like nanowire is well-understood, the formation of the hexagonal-shaped InGaN-nanodisk-on-GaN-nanowire requires further investigation. It was postulated to arise from the highly sensitive growth kinetics during plasma-assisted MBE of InGaN at low temperature, i.e. when the substrate temperature was reduced from 800 °C (GaN growth) to <600 °C (InGaN growth), during which sparsely populated metal-droplet formation prevails and further accumulated more indium adatoms due to a higher cohesive bond between metallic molecules. © (2014) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  18. Ge films grown on Si substrates by molecular-beam epitaxy below 450 deg. C

    International Nuclear Information System (INIS)

    Liu, J.; Kim, H.J.; Hul'ko, O.; Xie, Y.H.; Sahni, S.; Bandaru, P.; Yablonovitch, E.

    2004-01-01

    Ge thin films are grown on Si(001) substrates by molecular-beam epitaxy at 370 deg. C. The low-temperature epitaxial growth is compatible with the back-end thermal budget of current generation complementary metal-oxide-semiconductor technology, which is restricted to less than 450 deg. C. Reflection high-energy electron diffraction shows that single-crystal Ge thin films with smooth surfaces could be achieved below 450 deg. C. Double-axis x-ray θ/2θ scans also show that the epitaxial Ge films are almost fully strain-relaxed. As expected, cross-sectional transmission electron microscopy shows a network of dislocations at the interface. Hydrogen and oxide desorption techniques are proved to be necessary for improving the quality of the Ge films, which is reflected in improved minority carrier diffusion lengths and exceptionally low leakage currents

  19. Molecular-beam epitaxial growth of insulating AlN on surface-controlled 6H-SiC substrate by HCl gas etching

    International Nuclear Information System (INIS)

    Onojima, Norio; Suda, Jun; Matsunami, Hiroyuki

    2002-01-01

    Insulating AlN layers were grown on surface-controlled 6H-SiC subtrates by molecular-beam epitaxy (MBE) using elemental Al and rf plasma-excited nitrogen (N*). HCl gas etching was introduced as an effective pretreatment method of substrate for MBE growth of AlN. 6H-SiC substrates pretreated by HCl gas etching had no surface polishing scratches and an atomically flat surface. In addition, evident ( 3 √x 3 √)R30 deg. surface reconstruction was observed even before thermal cleaning. AlN layers grown on this substrate had no defects related to surface polishing scratches and excellent insulating characteristics

  20. Vapor-solid-solid grown Ge nanowires at integrated circuit compatible temperature by molecular beam epitaxy

    Science.gov (United States)

    Zhu, Zhongyunshen; Song, Yuxin; Zhang, Zhenpu; Sun, Hao; Han, Yi; Li, Yaoyao; Zhang, Liyao; Xue, Zhongying; Di, Zengfeng; Wang, Shumin

    2017-09-01

    We demonstrate Au-assisted vapor-solid-solid (VSS) growth of Ge nanowires (NWs) by molecular beam epitaxy at the substrate temperature of ˜180 °C, which is compatible with the temperature window for Si-based integrated circuit. Low temperature grown Ge NWs hold a smaller size, similar uniformity, and better fit with Au tips in diameter, in contrast to Ge NWs grown at around or above the eutectic temperature of Au-Ge alloy in the vapor-liquid-solid (VLS) growth. Six ⟨110⟩ growth orientations were observed on Ge (110) by the VSS growth at ˜180 °C, differing from only one vertical growth direction of Ge NWs by the VLS growth at a high temperature. The evolution of NWs dimension and morphology from the VLS growth to the VSS growth is qualitatively explained by analyzing the mechanism of the two growth modes.

  1. High quality atomically thin PtSe2 films grown by molecular beam epitaxy

    Science.gov (United States)

    Yan, Mingzhe; Wang, Eryin; Zhou, Xue; Zhang, Guangqi; Zhang, Hongyun; Zhang, Kenan; Yao, Wei; Lu, Nianpeng; Yang, Shuzhen; Wu, Shilong; Yoshikawa, Tomoki; Miyamoto, Koji; Okuda, Taichi; Wu, Yang; Yu, Pu; Duan, Wenhui; Zhou, Shuyun

    2017-12-01

    Atomically thin PtSe2 films have attracted extensive research interests for potential applications in high-speed electronics, spintronics and photodetectors. Obtaining high quality thin films with large size and controlled thickness is critical. Here we report the first successful epitaxial growth of high quality PtSe2 films by molecular beam epitaxy. Atomically thin films from 1 ML to 22 ML have been grown and characterized by low-energy electron diffraction, Raman spectroscopy and x-ray photoemission spectroscopy. Moreover, a systematic thickness dependent study of the electronic structure is revealed by angle-resolved photoemission spectroscopy (ARPES), and helical spin texture is revealed by spin-ARPES. Our work provides new opportunities for growing large size single crystalline films to investigate the physical properties and potential applications of PtSe2.

  2. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    Science.gov (United States)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  3. Effects of substrate material on carbon films grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, M.; Xu, X.Y.; Man, B.Y.; Kong, D.M.; Xu, S.C.

    2012-01-01

    Highlights: ► We prepared tri-layers by laser molecular beam epitaxy (LMBE) on sapphire substrate. ► We found that the formation of the graphene film has a strong relation to the structure and properties of the substrate. ► The different carbon film formation mechanism of the buffer layers can affect the morphology of the film. - Abstract: The carbon thin films were grown on different substrates with different buffer layers by laser molecular beam epitaxy (LMBE) with a high purity graphite carbon target. A UV pulsed KrF excimer laser with a wavelength of 248 nm was used as laser source. The structure, surface morphology and other properties of the carbon thin films were characterized by Raman spectroscopy, transmission electron microscopy (TEM), selected area electron diffraction (SAED) and atomic force microscopy (AFM). The results show that the properties of the carbon thin films and the formation of the graphene film have a strong relation to the structure and properties of the substrate. The substrate with a hexagonal wurtzite structure which is similar to the hexagonal honeycomb structure of the carbon atoms arranged in the graphene is more beneficial for the formation of the graphene thin film. In our experiment conditions, the carbon films grown on sapphire substrates with different buffer layers have an ordered structure and a smooth surface, and form high quality tri-layer graphene films.

  4. Self-assembled InAs quantum dots formed by molecular beam epitaxy at low temperature and postgrowth annealing

    NARCIS (Netherlands)

    Zhan, H.H.; Nötzel, R.; Hamhuis, G.J.; Eijkemans, T.J.; Wolter, J.H.

    2003-01-01

    Self-assembled InAs quantum dots are grown at low temperature (LT) by molecular beam epitaxy (MBE) on GaAs substrates. The growth is in situ monitored by reflection high-energy electron diffraction, and ex situ evaluated by atomic force microscopy for the morphological properties, and by

  5. Molecular-beam epitaxy on shallow mesa gratings patterned on GaAs(311)A and (100) substrates

    NARCIS (Netherlands)

    Gong, Q.; Nötzel, R.; Schönherr, H.-P.; Ploog, K.H.

    2002-01-01

    We report on the morphology and properties of the surface formed by molecular-beam epitaxy on shallow mesa gratings on patterned GaAs(311)A and GaAs(100). On GaAs(311)A substrates, the corrugated surface formed after GaAs growth on shallow mesa gratings along [011] is composed of monolayer high

  6. Bandgap measurements and the peculiar splitting of E{sub 2}{sup H} phonon modes of In{sub x}Al{sub 1-x}N nanowires grown by plasma assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Tangi, Malleswararao; Mishra, Pawan; Janjua, Bilal; Ng, Tien Khee; Prabaswara, Aditya; Ooi, Boon S., E-mail: boon.ooi@kaust.edu.sa [Photonics Laboratory, King Abdullah University of Science and Technology (KAUST), Thuwal 23955-6900 (Saudi Arabia); Anjum, Dalaver H.; Yang, Yang [Adavanced nanofabrication Imaging and characterization, King Abdullah University of Science and Technology (KAUST), Thuwal 23955-6900 (Saudi Arabia); Albadri, Abdulrahman M.; Alyamani, Ahmed Y.; El-Desouki, Munir M. [National Center for Nanotechnology, King Abdulaziz City for Science and Technology (KACST), Riyadh 11442-6086 (Saudi Arabia)

    2016-07-28

    The dislocation free In{sub x}Al{sub 1-x}N nanowires (NWs) are grown on Si(111) by nitrogen plasma assisted molecular beam epitaxy in the temperature regime of 490 °C–610 °C yielding In composition ranges over 0.50 ≤ x ≤ 0.17. We study the optical properties of these NWs by spectroscopic ellipsometry (SE), photoluminescence, and Raman spectroscopies since they possesses minimal strain with reduced defects comparative to the planar films. The optical bandgap measurements of In{sub x}Al{sub 1-x}N NWs are demonstrated by SE where the absorption edges of the NW samples are evaluated irrespective of substrate transparency. A systematic Stoke shift of 0.04–0.27 eV with increasing x was observed when comparing the micro-photoluminescence spectra with the Tauc plot derived from SE. The micro-Raman spectra in the NWs with x = 0.5 showed two-mode behavior for A{sub 1}(LO) phonons and single mode behavior for E{sub 2}{sup H} phonons. As for x = 0.17, i.e., high Al content, we observed a peculiar E{sub 2}{sup H} phonon mode splitting. Further, we observe composition dependent frequency shifts. The 77 to 600 K micro-Raman spectroscopy measurements show that both AlN- and InN-like modes of A{sub 1}(LO) and E{sub 2}{sup H} phonons in In{sub x}Al{sub 1-x}N NWs are redshifted with increasing temperature, similar to that of the binary III group nitride semiconductors. These studies of the optical properties of the technologically important In{sub x}Al{sub 1-x}N nanowires will path the way towards lasers and light-emitting diodes in the wavelength of the ultra-violet and visible range.

  7. Epitaxial properties of ZnO thin films on SrTiO3 substrates grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wei, X. H.; Li, Y. R.; Zhu, J.; Huang, W.; Zhang, Y.; Luo, W. B.; Ji, H.

    2007-01-01

    Epitaxial ZnO thin films with different orientations have been grown by laser molecular beam epitaxy on (001)- (011)-, and (111)-orientated SrTiO 3 single-crystal substrates. The growth behavior was in situ monitored by reflection high-energy electron diffraction, and the epitaxial orientation relations were reconfirmed by ex situ x-ray diffraction measurements. In the case of ZnO on SrTiO 3 (001), four orthogonal domains coexisted in the ZnO epilayer, i.e., ZnO(110) parallel SrTiO 3 (001) and ZnO[-111] parallel SrTiO 3 . For (011)- and (111)-orientated substrates, single-domain epitaxy with c axial orientation was observed, in which the in-plane relationship was ZnO[110] parallel SrTiO 3 [110] irrespective of the substrate orientations. Additionally, the crystalline quality of ZnO on SrTiO 3 (111) was better than that of ZnO on SrTiO 3 (011) because of the same symmetry between the (111) substrates and (001) films. The obtained results can be attributed to the difference of the in-plane crystallographic symmetry. Furthermore, those alignments can be explained by the interface stress between the substrates and the films

  8. Reactive molecular beam epitaxial growth and in situ photoemission spectroscopy study of iridate superlattices

    Directory of Open Access Journals (Sweden)

    C. C. Fan

    2017-08-01

    Full Text Available High-quality (001-oriented perovskite [(SrIrO3m/(SrTiO3] superlattices (m=1/2, 1, 2, 3 and ∞ films have been grown on SrTiO3(001 epitaxially using reactive molecular beam epitaxy. Compared to previously reported superlattices synthesized by pulsed laser deposition, our superlattices exhibit superior crystalline, interface and surface structure, which have been confirmed by high-resolution X-ray diffraction, scanning transmission electron microscopy and atomic force microscopy, respectively. The transport measurements confirm a novel insulator-metal transition with the change of dimensionality in these superlattices, and our first systematic in situ photoemission spectroscopy study indicates that the increasing strength of effective correlations induced by reducing dimensionality would be the dominating origin of this transition.

  9. Dynamical x-ray diffraction studies of interfacial strain in superlattices grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Vandenberg, J.M.; Chu, S.N.G.; Hamm, R.A.; Panish, M.B.; Ritter, D.; Mancrander, A.T.

    1992-01-01

    This paper reports on dynamical X-ray diffraction studies that have been carried out for lattice-matched InGaAs/InP superlattices grown by modified molecular beam epitaxy (MBE) techniques. The (400) X-ray satellite pattern, which is predominantly affected by the strain modulation, was analyzed. The strain and thickness of the actual layers including the presence of strained interfacial regions were determined

  10. Initial stages of the ion-beam assisted epitaxial GaN film growth on 6H-SiC(0001)

    International Nuclear Information System (INIS)

    Neumann, L.; Gerlach, J.W.; Rauschenbach, B.

    2012-01-01

    Ultra-thin gallium nitride (GaN) films were deposited using the ion-beam assisted molecular-beam epitaxy technique. The influence of the nitrogen ion to gallium atom flux ratio (I/A ratio) during the early stages of GaN nucleation and thin film growth directly, without a buffer layer on super-polished 6H-SiC(0001) substrates was studied. The deposition process was performed at a constant substrate temperature of 700 °C by evaporation of Ga and irradiation with hyperthermal nitrogen ions from a constricted glow-discharge ion source. The hyperthermal nitrogen ion flux was kept constant and the kinetic energy of the ions did not exceed 25 eV. The selection of different I/A ratios in the range from 0.8 to 3.2 was done by varying the Ga deposition rate between 5 × 10 13 and 2 × 10 14 at. cm −2 s −1 . The crystalline surface structure during the GaN growth was monitored in situ by reflection high-energy electron diffraction. The surface topography of the films as well as the morphology of separated GaN islands on the substrate surface was examined after film growth using a scanning tunneling microscope without interruption of ultra-high vacuum. The results show, that the I/A ratio has a major impact on the properties of the resulting ultra-thin GaN films. The growth mode, the surface roughness, the degree of GaN coverage of the substrate and the polytype mixture depend notably on the I/A ratio. - Highlights: ► Ultra-thin epitaxial GaN films prepared by hyperthermal ion-beam assisted deposition. ► Surface structure and topography studied during and after initial growth stages. ► Growth mode dependent on nitrogen ion to gallium atom flux ratio. ► Change from three-dimensional to two-dimensional growth for Ga-rich growth conditions.

  11. Morphological and microstructural stability of N-polar InAlN thin films grown on free-standing GaN substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hardy, Matthew T., E-mail: matthew.hardy.ctr@nrl.navy.mil; Storm, David F.; Downey, Brian P.; Katzer, D. Scott; Meyer, David J. [Electronics Science and Technology Division, Naval Research Laboratory, 4555 Overlook Avenue SW, Washington DC 20375 (United States); McConkie, Thomas O.; Smith, David J. [Department of Physics, Arizona State University, Tempe, Arizona 85287-1504 (United States); Nepal, Neeraj [Sotera Defense Solutions, 2200 Defense Hwy Suite 405, Crofton, Maryland 21114 (United States)

    2016-03-15

    The sensitivity of the surface morphology and microstructure of N-polar-oriented InAlN to variations in composition, temperature, and layer thickness for thin films grown by plasma-assisted molecular beam epitaxy (PAMBE) has been investigated. Lateral compositional inhomogeneity is present in N-rich InAlN films grown at low temperature, and phase segregation is exacerbated with increasing InN fraction. A smooth, step-flow surface morphology and elimination of compositional inhomogeneity can be achieved at a growth temperature 50 °C above the onset of In evaporation (650 °C). A GaN/AlN/GaN/200-nm InAlN heterostructure had a sheet charge density of 1.7 × 10{sup 13 }cm{sup −2} and no degradation in mobility (1760 cm{sup 2}/V s) relative to 15-nm-thick InAlN layers. Demonstration of thick-barrier high-electron-mobility transistors with good direct-current characteristics shows that device quality, thick InAlN layers can be successfully grown by PAMBE.

  12. Morphological and microstructural stability of N-polar InAlN thin films grown on free-standing GaN substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hardy, Matthew T.; Storm, David F.; Downey, Brian P.; Katzer, D. Scott; Meyer, David J.; McConkie, Thomas O.; Smith, David J.; Nepal, Neeraj

    2016-01-01

    The sensitivity of the surface morphology and microstructure of N-polar-oriented InAlN to variations in composition, temperature, and layer thickness for thin films grown by plasma-assisted molecular beam epitaxy (PAMBE) has been investigated. Lateral compositional inhomogeneity is present in N-rich InAlN films grown at low temperature, and phase segregation is exacerbated with increasing InN fraction. A smooth, step-flow surface morphology and elimination of compositional inhomogeneity can be achieved at a growth temperature 50 °C above the onset of In evaporation (650 °C). A GaN/AlN/GaN/200-nm InAlN heterostructure had a sheet charge density of 1.7 × 10 13  cm −2 and no degradation in mobility (1760 cm 2 /V s) relative to 15-nm-thick InAlN layers. Demonstration of thick-barrier high-electron-mobility transistors with good direct-current characteristics shows that device quality, thick InAlN layers can be successfully grown by PAMBE

  13. Molecular beam epitaxy growth of InSb1-xBix thin films

    DEFF Research Database (Denmark)

    Yuxin Song; Shumin Wang; Saha Roy, Ivy

    2013-01-01

    Molecular beam epitaxy growth for InSb1-xBix thin films on (100) GaAs substrates is reported. Successful Bi incorporation for 2% is achieved, and up to 70% of the incorporated Bi atoms are at substitutional sites. The effects of growth parameters on Bi incorporation and surface morphology are stu...

  14. Molecular beam epitaxy of alternating-strain ZnSe-based multilayer heterostructures for blue-green lasers

    International Nuclear Information System (INIS)

    Ivanov, S.V.; Toropov, A.A.; Sorokin, S.V.; Shubina, T.V.; Il'inskaya, N.D.; Lebedev, A.V.; Sedova, I.V.; Kop'ev, P.S.; Alferov, Zh.I.; Lugauer, H.-J.; Reuscher, G.; Keim, M.; Fischer, F.; Waag, A.; Landwehr, G.

    1998-01-01

    High-quality ZnSe-based heterostructures are grown by uninterrupted molecular beam epitaxy using the concept of strain compensation and alternating-strain multilayers. To verify the advantages of this technique, optically pumped ZnSSe/ZnCdSe laser structures containing short-period superlattices or multiple quantum wells have been grown and studied. A room-temperature injection laser diode with a BeZnSe/ZnSe superlattice waveguide is described

  15. Self-consistent expansion for the molecular beam epitaxy equation.

    Science.gov (United States)

    Katzav, Eytan

    2002-03-01

    Motivated by a controversy over the correct results derived from the dynamic renormalization group (DRG) analysis of the nonlinear molecular beam epitaxy (MBE) equation, a self-consistent expansion for the nonlinear MBE theory is considered. The scaling exponents are obtained for spatially correlated noise of the general form D(r-r('),t-t('))=2D(0)[r-->-r(')](2rho-d)delta(t-t(')). I find a lower critical dimension d(c)(rho)=4+2rho, above which the linear MBE solution appears. Below the lower critical dimension a rho-dependent strong-coupling solution is found. These results help to resolve the controversy over the correct exponents that describe nonlinear MBE, using a reliable method that proved itself in the past by giving reasonable results for the strong-coupling regime of the Kardar-Parisi-Zhang system (for d>1), where DRG failed to do so.

  16. The structural evolution of InN nanorods to microstructures on Si (111) by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Anyebe, E A; Zhuang, Q; Kesaria, M; Krier, A

    2014-01-01

    We report the catalyst free growth of wurtzite InN nanorods (NRs) and microislands on bare Si (111) by plasma-assisted molecular beam epitaxy at various temperatures. The morphological evolution from NRs to three dimensional (3D) islands as a function of growth temperature is investigated. A combination of tapered, non-tapered, and pyramidal InN NRs are observed at 490 °C, whereas the InN evolves to faceted microislands with an increase in growth temperature to 540 °C and further developed to indented and smooth hemispherical structures at extremely high temperatures (630 °C). The evolution from NRs to microislands with increase in growth temperature is attributed to the lowering of the surface free energy of the growing crystals with disproportionate growth velocities along different growth fronts. The preferential adsorption of In atoms on the (0001) c-plane and (10-10) m-plane promotes the growth of NRs at relatively low growth temperature and 3D microislands at higher temperatures. The growth rate imbalance along different planes facilitates the development of facets on 3D microislands. A strong correlation between the morphological and structural properties of the 3D films is established. XRD studies reveal that the NRs and the faceted microislands are crystalline, whereas the hemispherical microislands grown at extremely high growth temperature contain In adlayers. Finally, photoluminescent emissions were observed at ∼0.75 eV from the InN NRs. (paper)

  17. Adsorption-controlled growth of La-doped BaSnO3 by molecular-beam epitaxy

    Directory of Open Access Journals (Sweden)

    Hanjong Paik

    2017-11-01

    Full Text Available Epitaxial La-doped BaSnO3 films were grown in an adsorption-controlled regime by molecular-beam epitaxy, where the excess volatile SnOx desorbs from the film surface. A film grown on a (001 DyScO3 substrate exhibited a mobility of 183 cm2 V−1 s−1 at room temperature and 400 cm2 V−1 s−1 at 10 K despite the high concentration (1.2 × 1011 cm−2 of threading dislocations present. In comparison to other reports, we observe a much lower concentration of (BaO2 Ruddlesden-Popper crystallographic shear faults. This suggests that in addition to threading dislocations, other defects—possibly (BaO2 crystallographic shear defects or point defects—significantly reduce the electron mobility.

  18. Bromine doping of CdTe and CdMnTe epitaxial layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Waag, A. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Scholl, S. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Schierstedt, K. von (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Hommel, D. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Landwehr, G. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Bilger, G. (Zentrum fuer Sonnenenergie und Wasserstoff-Forschung, Stuttgart (Germany))

    1993-03-01

    We report on the n-type doping of CdTe and CdMnTe with bormine as a novel dopant material. /the thin films were grown by molecular beam epitaxy. ZnBr[sub 2] was used as a source material for the n-type doping. Free carrier concentrations at room temperature of up to 2.8x10[sup 18] cm[sup -3] could be readily obtained for both CdTe as well as CdMnTe thin films with Mn concentrations below 10%. This is to our knowledge the highest value ever obtained for the dilute magnetic semiconductor CdMnTe. For ZnBr[sub 2] source temperatures up to 60 C - corresponding to a free carrier concentration of (2-3)x10[sup 18] cm[sup -3] - the free carrier concentration of the epitaxial film increases with ZnBr[sub 2] source temperature. For higher ZnBr[sub 2] source temperatures compensation becomes dominant, which is indicated by a steep decrease of the free carrier concentration with increasing ZnBr[sub 2] source temperature. In addition the carrier mobility decreases drastically for such high dopant fluxes. A model of bromine incorporation is proposed. (orig.)

  19. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Hongling Wei

    2017-11-01

    Full Text Available Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE at different substrate temperatures. The influences of substrate temperature on structural and optical properties have been systematically investigated by means of X-ray diffraction, Transmission electron microscope and UV-vis absorption spectra. High quality cubic structure and [111] oriented CuGa2O4 film can be obtained at substrate temperature of 750 °C. It’s also demonstrated that the CuGa2O4 film has a bandgap of ∼ 4.4 eV and a best crystal quality at 750 °C, suggesting that CuGa2O4 film is a promising candidate for applications in ultraviolet optoelectronic devices.

  20. Perspective: Extremely fine tuning of doping enabled by combinatorial molecular-beam epitaxy

    Directory of Open Access Journals (Sweden)

    J. Wu

    2015-06-01

    Full Text Available Chemical doping provides an effective method to control the electric properties of complex oxides. However, the state-of-art accuracy in controlling doping is limited to about 1%. This hampers elucidation of the precise doping dependences of physical properties and phenomena of interest, such as quantum phase transitions. Using the combinatorial molecular beam epitaxy, we improve the accuracy in tuning the doping level by two orders of magnitude. We illustrate this novel method by two examples: a systematic investigation of the doping dependence of interface superconductivity, and a study of the competing ground states in the vicinity of the insulator-to-superconductor transition.

  1. Band offsets and growth mode of molecular beam epitaxy grown MgO (111) on GaN (0002) by x-ray photoelectron spectroscopy

    Science.gov (United States)

    Craft, H. S.; Collazo, R.; Losego, M. D.; Mita, S.; Sitar, Z.; Maria, J.-P.

    2007-10-01

    MgO is a proposed dielectric for use as a tunneling barrier in devices integrating GaN and ferroelectric oxides. In this study, we present data regarding the growth mode and band offsets of MgO grown epitaxially on GaN (0002) surfaces using molecular beam epitaxy. Using in situ x-ray photoelectron spectroscopy (XPS) and molecular beam epitaxy, we determine, from sequential growth experiments, that the growth of MgO proceeds via the Volmer-Weber (three-dimensional) mode, and full coalescence of the film does not occur until approximately 12nm of MgO has been deposited. The observation of a three-dimensional growth mode is in agreement with previously published data. For the valence band offset, we find a value of 1.2±0.2eV, which corresponds to a 3.2eV conduction band offset. XPS measurements suggest a chemically abrupt interface and no effect on band lineup due to the slow coalescence behavior.

  2. Band offsets and growth mode of molecular beam epitaxy grown MgO (111) on GaN (0002) by x-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Craft, H. S.; Collazo, R.; Losego, M. D.; Mita, S.; Sitar, Z.; Maria, J.-P.

    2007-01-01

    MgO is a proposed dielectric for use as a tunneling barrier in devices integrating GaN and ferroelectric oxides. In this study, we present data regarding the growth mode and band offsets of MgO grown epitaxially on GaN (0002) surfaces using molecular beam epitaxy. Using in situ x-ray photoelectron spectroscopy (XPS) and molecular beam epitaxy, we determine, from sequential growth experiments, that the growth of MgO proceeds via the Volmer-Weber (three-dimensional) mode, and full coalescence of the film does not occur until approximately 12 nm of MgO has been deposited. The observation of a three-dimensional growth mode is in agreement with previously published data. For the valence band offset, we find a value of 1.2±0.2 eV, which corresponds to a 3.2 eV conduction band offset. XPS measurements suggest a chemically abrupt interface and no effect on band lineup due to the slow coalescence behavior

  3. Chirped-pulse manipulated carrier dynamics in low-temperature molecular-beam-epitaxy grown GaAs

    International Nuclear Information System (INIS)

    Lee, Chao-Kuei; Lin, Yuan-Yao; Lin, Sung-Hui; Lin, Gong-Ru; Pan, Ci-Ling

    2014-01-01

    Chirped pulse controlled carrier dynamics in low-temperature molecular-beam-epitaxy grown GaAs are investigated by degenerate pump-probe technique. Varying the chirped condition of excited pulse from negative to positive increases the carrier relaxation time so as to modify the dispersion and reshape current pulse in time domain. The spectral dependence of carrier dynamics is analytically derived and explained by Shockley-Read Hall model. This observation enables the new feasibility of controlling carrier dynamics in ultrafast optical devices via the chirped pulse excitations

  4. Molecular beam epitaxial growth and characterization of zinc-blende ZnMgSe on InP (001)

    International Nuclear Information System (INIS)

    Sohel, Mohammad; Munoz, Martin; Tamargo, Maria C.

    2004-01-01

    High crystalline quality zinc-blende structure Zn (1-x) Mg x Se epitaxial layers were grown on InP (001) substrates by molecular beam epitaxy. Their band gap energies were determined as a function of Mg concentration and a linear dependence was observed. The band gap of the Zn (1-x) Mg x Se closely lattice matched to InP was found to be 3.59 eV at 77 K and the extrapolated value for zinc-blende MgSe was determined to be 3.74 eV. Quantum wells of Zn (1-x) Cd x Se with Zn (1-x) Mg x Se as the barrier layer were grown which exhibit near ultraviolet emission

  5. Study of molecular-beam epitaxy growth on patterned GaAs (311)A substrates with different mesa height

    NARCIS (Netherlands)

    Gong, Q.; Nötzel, R.; Schönherr, H.-P.; Ploog, K.

    2000-01-01

    We report on the evolution of the growth front during molecular-beam epitaxy on GaAs (3 1 1)A substrates stripe patterned along the [ ] direction as a function of the mesa height. During growth (1 0 0) and (2 1 1)A facets are formed and expand at the corners near the two opposite lying ( )A and (1 1

  6. Origin of green luminescence in ZnO thin film grown by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Heo, Y.W.; Norton, D.P.; Pearton, S.J.

    2005-01-01

    The properties of ZnO films grown by molecular-beam epitaxy are reported. The primary focus was on understanding the origin of deep-level luminescence. A shift in deep-level emission from green to yellow is observed with reduced Zn pressure during the growth. Photoluminescence and Hall measurements were employed to study correlations between deep-level/near-band-edge emission and carrier density. With these results, we suggest that the green emission is related to donor-deep acceptor (Zn vacancy V Zn - ) and the yellow to donor-deep acceptor (oxygen vacancy, O i - )

  7. Mathematical model for predicting molecular-beam epitaxy growth rates for wafer production

    International Nuclear Information System (INIS)

    Shi, B.Q.

    2003-01-01

    An analytical mathematical model for predicting molecular-beam epitaxy (MBE) growth rates is reported. The mathematical model solves the mass-conservation equation for liquid sources in conical crucibles and predicts the growth rate by taking into account the effect of growth source depletion on the growth rate. Assumptions made for deducing the analytical model are discussed. The model derived contains only one unknown parameter, the value of which can be determined by using data readily available to MBE growers. Procedures are outlined for implementing the model in MBE production of III-V compound semiconductor device wafers. Results from use of the model to obtain targeted layer compositions and thickness of InP-based heterojunction bipolar transistor wafers are presented

  8. Ion beam induced epitaxy in Ge- and B- coimplanted silicon

    International Nuclear Information System (INIS)

    Hayashi, N.; Hasegawa, M.; Tanoue, H.; Takahashi, H.; Shimoyama, K.; Kuriyama, K.

    1992-01-01

    The epitaxial regrowth of amorphous surface layers in and Si substrate has been studied under irradiation with 400 keV Ar + ions at the temperature range from 300 to 435degC. The amorphous layers were obtained by Ge + implantation, followed by B + implantation. The ion beam assisted epitaxy was found to be sensitive to both the substrate orientation and the implanted Ge concentration, and the layer-by-layer epitaxial regrowth seemed to be precluded in Si layers with high doses of Ge implants, e.g., 2.5 x 10 15 ions/cm 2 . Electrical activation of implanted dopant B was also measured in the recrystallized Si layer. (author)

  9. Self-catalyzed growth of dilute nitride GaAs/GaAsSbN/GaAs core-shell nanowires by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kasanaboina, Pavan Kumar [Department of Electrical and Computer Engineering, North Carolina A& T State University, Greensboro, North Carolina 27411 (United States); Ahmad, Estiak [Nanoengineering, Joint School of Nanoscience and Nanoengineering, NCA& T State University, Greensboro, North Carolina 27401 (United States); Li, Jia; Iyer, Shanthi [Department of Electrical and Computer Engineering, North Carolina A& T State University, Greensboro, North Carolina 27411 (United States); Nanoengineering, Joint School of Nanoscience and Nanoengineering, NCA& T State University, Greensboro, North Carolina 27401 (United States); Reynolds, C. Lewis; Liu, Yang [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States)

    2015-09-07

    Bandgap tuning up to 1.3 μm in GaAsSb based nanowires by incorporation of dilute amount of N is reported. Highly vertical GaAs/GaAsSbN/GaAs core-shell configured nanowires were grown for different N contents on Si (111) substrates using plasma assisted molecular beam epitaxy. X-ray diffraction analysis revealed close lattice matching of GaAsSbN with GaAs. Micro-photoluminescence (μ-PL) revealed red shift as well as broadening of the spectra attesting to N incorporation in the nanowires. Replication of the 4K PL spectra for several different single nanowires compared to the corresponding nanowire array suggests good compositional homogeneity amongst the nanowires. A large red shift of the Raman spectrum and associated symmetric line shape in these nanowires have been attributed to phonon localization at point defects. Transmission electron microscopy reveals the dominance of stacking faults and twins in these nanowires. The lower strain present in these dilute nitride nanowires, as opposed to GaAsSb nanowires having the same PL emission wavelength, and the observation of room temperature PL demonstrate the advantage of the dilute nitride system offers in the nanowire configuration, providing a pathway for realizing nanoscale optoelectronic devices in the telecommunication wavelength region.

  10. Molecular beam epitaxy of graphene on mica

    International Nuclear Information System (INIS)

    Lippert, G.; Dabrowski, J.; Yamamoto, Y.; Mehr, W.; Lupina, G.; Herziger, F.; Maultzsch, J.; Baringhaus, J.; Tegenkamp, C.; Lemme, M.C.

    2012-01-01

    Realization of graphene devices is often hindered by the fact that the known layer growth methods do not meet the requirements of the device fabrication in silicon mainstream technology. For example, the relatively straightforward method of decomposition of hexagonal SiC is not CMOS-compatible due to the high-thermal budget it requires [Moon et al., IEEE Electron Device Lett. 31, 260 (2010)]. Techniques based on layer transfer are restricted because of the uncertainty of residual metal contaminants, particles, and structural defects. Of interest is thus a method that would allow one to grow a graphene film directly in the device area where graphene is needed. Production of large area graphene is not necessarily required in this case, but high quality of the film and metal-free growth on an insulating substrate at temperatures below 1000 C are important requirements. We demonstrate direct growth of defect-free graphene on insulators at moderate temperatures by molecular beam epitaxy. The quality of the graphene was probed by high-resolution Raman spectroscopy, indicating a negligible density of defects. The spectra are compared with those from graphene flakes mechanically exfoliated from native graphite onto mica. These results are combined with insights from density functional theory calculations. A model of graphene growth on mica and similar substrates is proposed. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. High electron mobility in Ga(In)NAs films grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Miyashita, Naoya; Ahsan, Nazmul; Monirul Islam, Muhammad; Okada, Yoshitaka; Inagaki, Makoto; Yamaguchi, Masafumi

    2012-01-01

    We report the highest mobility values above 2000 cm 2 /Vs in Si doped GaNAs film grown by molecular beam epitaxy. To understand the feature of the origin which limits the electron mobility in GaNAs, temperature dependences of mobility were measured for high mobility GaNAs and referential low mobility GaInNAs. Temperature dependent mobility for high mobility GaNAs is similar to the GaAs case, while that for low mobility GaInNAs shows large decrease in lower temperature region. The electron mobility of high quality GaNAs can be explained by intrinsic limiting factor of random alloy scattering and extrinsic factor of ionized impurity scattering.

  12. Growth, structural, and electrical properties of germanium-on-silicon heterostructure by molecular beam epitaxy

    Science.gov (United States)

    Ghosh, Aheli; Clavel, Michael B.; Nguyen, Peter D.; Meeker, Michael A.; Khodaparast, Giti A.; Bodnar, Robert J.; Hudait, Mantu K.

    2017-09-01

    The growth, morphological, and electrical properties of thin-film Ge grown by molecular beam epitaxy on Si using a two-step growth process were investigated. High-resolution x-ray diffraction analysis demonstrated ˜0.10% tensile-strained Ge epilayer, owing to the thermal expansion coefficient mismatch between Ge and Si, and negligible epilayer lattice tilt. Micro-Raman spectroscopic analysis corroborated the strain-state of the Ge thin-film. Cross-sectional transmission electron microscopy revealed the formation of 90° Lomer dislocation network at Ge/Si heterointerface, suggesting the rapid and complete relaxation of Ge epilayer during growth. Atomic force micrographs exhibited smooth surface morphology with surface roughness published Dit data for Ge MOS devices, as a function of threading dislocation density within the Ge layer. The results obtained were comparable with Ge MOS devices integrated on Si via alternative buffer schemes. This comprehensive study of directly-grown epitaxial Ge-on-Si provides a pathway for the development of Ge-based electronic devices on Si.

  13. YCo5±x thin films with perpendicular anisotropy grown by molecular beam epitaxy

    Science.gov (United States)

    Sharma, S.; Hildebrandt, E.; Sharath, S. U.; Radulov, I.; Alff, L.

    2017-06-01

    The synthesis conditions of buffer-free (00l) oriented YCo5 and Y2Co17 thin films onto Al2O3 (0001) substrates have been explored by molecular beam epitaxy (MBE). The manipulation of the ratio of individual atomic beams of Yttrium, Y and Cobalt, Co, as well as growth rate variations allows establishing a thin film phase diagram. Highly textured YCo5±x thin films were stabilized with saturation magnetization of 517 emu/cm3 (0.517 MA/m), coercivity of 4 kOe (0.4 T), and anisotropy constant, K1, equal to 5.34 ×106 erg/cm3 (0.53 MJ/m3). These magnetic parameters and the perpendicular anisotropy obtained without additional underlayers make the material system interesting for application in magnetic recording devices.

  14. Diffusion-driven growth of nanowires by low-temperature molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Rueda-Fonseca, P.; Orrù, M. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CNRS, Institut NEEL, F-38000 Grenoble (France); CEA, INAC, F-38000 Grenoble (France); Bellet-Amalric, E.; Robin, E. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, INAC, F-38000 Grenoble (France); Den Hertog, M.; Genuist, Y.; André, R.; Tatarenko, S.; Cibert, J., E-mail: joel.cibert@neel.cnrs.fr [Univ. Grenoble Alpes, F-38000 Grenoble (France); CNRS, Institut NEEL, F-38000 Grenoble (France)

    2016-04-28

    With ZnTe as an example, we use two different methods to unravel the characteristics of the growth of nanowires (NWs) by gold-catalyzed molecular beam epitaxy at low temperature. In the first approach, CdTe insertions have been used as markers, and the nanowires have been characterized by scanning transmission electron microscopy, including geometrical phase analysis and energy dispersive electron spectrometry; the second approach uses scanning electron microscopy and the statistics of the relationship between the length of the tapered nanowires and their base diameter. Axial and radial growth are quantified using a diffusion-limited model adapted to the growth conditions; analytical expressions describe well the relationship between the NW length and the total molecular flux (taking into account the orientation of the effusion cells), and the catalyst-nanowire contact area. A long incubation time is observed. This analysis allows us to assess the evolution of the diffusion lengths on the substrate and along the nanowire sidewalls, as a function of temperature and deviation from stoichiometric flux.

  15. High efficiency thin film solar cells grown by molecular beam epitaxy (HEFTY)

    Energy Technology Data Exchange (ETDEWEB)

    Mason, N.B.; Barnham, K.W.J.; Ballard, I.M.; Zhang, J. [Imperial College, London (United Kingdom)

    2006-05-04

    The project sought to show the UK as a world leader in the field of thin film crystalline solar cells. A premise was that the cell design be suitable for large-scale manufacturing and provide a basis for industrial exploitation. The study demonstrated (1) that silicon films grown at temperatures suitable for deposition on glass by Gas Phase Molecular Beam Epitaxy gives better PV cells than does Ultra Low Pressure Chemical Vapor Deposition; (2) a conversion energy of 15 per cent was achieved - the project target was 18 per cent and (3) one of the highest reported conversion efficiencies for a 15 micrometre silicon film was achieved. The study was carried out by BP Solar Limited under contract to the DTI.

  16. Real-time growth study of plasma assisted atomic layer epitaxy of InN films by synchrotron x-ray methods

    Energy Technology Data Exchange (ETDEWEB)

    Nepal, Neeraj [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; Anderson, Virginia R. [American Society for Engineering Education, 1818 N Street NW, Washington, DC 20036; Johnson, Scooter D. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; Downey, Brian P. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; Meyer, David J. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; DeMasi, Alexander [Physics Department, Boston University, 590 Commonwealth Avenue, Boston, Massachusetts 02215; Robinson, Zachary R. [Department of Physics, SUNY College at Brockport, 350 New Campus Dr, Brockport, New York 14420; Ludwig, Karl F. [Physics Department, Boston University, 590 Commonwealth Avenue, Boston, Massachusetts 02215; Eddy, Charles R. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375

    2017-03-13

    The temporal evolution of high quality indium nitride (InN) growth by plasma-assisted atomic layer epitaxy (ALEp) on a-plane sapphire at 200 and 248 °C was probed by synchrotron x-ray methods. The growth was carried out in a thin film growth facility installed at beamline X21 of the National Synchrotron Light Source at Brookhaven National Laboratory and at beamline G3 of the Cornell High Energy Synchrotron Source, Cornell University. Measurements of grazing incidence small angle x-ray scattering (GISAXS) during the initial cycles of growth revealed a broadening and scattering near the diffuse specular rod and the development of scattering intensities due to half unit cell thick nucleation islands in the Yoneda wing with correlation length scale of 7.1 and 8.2 nm, at growth temperatures (Tg) of 200 and 248 °C, respectively. At about 1.1 nm (two unit cells) of growth thickness nucleation islands coarsen, grow, and the intensity of correlated scattering peak increased at the correlation length scale of 8.0 and 8.7 nm for Tg = 200 and 248 °C, respectively. The correlated peaks at both growth temperatures can be fitted with a single peak Lorentzian function, which support single mode growth. Post-growth in situ x-ray reflectivity measurements indicate a growth rate of ~0.36 Å/cycle consistent with the growth rate previously reported for self-limited InN growth in a commercial ALEp reactor. Consistent with the in situ GISAXS study, ex situ atomic force microscopy power spectral density measurements also indicate single mode growth. Electrical characterization of the resulting film revealed an electron mobility of 50 cm2/V s for a 5.6 nm thick InN film on a-plane sapphire, which is higher than the previously reported mobility of much thicker InN films grown at higher temperature by molecular beam epitaxy directly on sapphire. These early results indicated that in situ synchrotron x-ray study of the epitaxial growth kinetics of InN films is a very powerful method to

  17. Inhomogeneous Si-doping of gold-seeded InAs nanowires grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Rolland, Chloe; Coinon, Christophe; Wallart, Xavier; Leturcq, Renaud [Institute of Electronics Microelectronics and Nanotechnology, UMR CNRS 8520, ISEN Department, Avenue Poincare, CS60069, 59652 Villeneuve d' Ascq Cedex (France); Caroff, Philippe [Institute of Electronics Microelectronics and Nanotechnology, UMR CNRS 8520, ISEN Department, Avenue Poincare, CS60069, 59652 Villeneuve d' Ascq Cedex (France); Department of Electronic Materials Engineering, Research School of Physics and Engineering, The Australian National University, Canberra, ACT 0200 (Australia)

    2013-06-03

    We have investigated in situ Si doping of InAs nanowires grown by molecular beam epitaxy from gold seeds. The effectiveness of n-type doping is confirmed by electrical measurements showing an increase of the electron density with the Si flux. We also observe an increase of the electron density along the nanowires from the tip to the base, attributed to the dopant incorporation on the nanowire facets whereas no detectable incorporation occurs through the seed. Furthermore, the Si incorporation strongly influences the lateral growth of the nanowires without giving rise to significant tapering, revealing the complex interplay between axial and lateral growth.

  18. Near-bandgap optical properties of pseudomorphic GeSn alloys grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    D' Costa, Vijay Richard, E-mail: vdcosta@asu.edu; Wang, Wei; Yeo, Yee-Chia, E-mail: eleyeoyc@nus.edu.sg [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117583 (Singapore)

    2016-08-14

    We investigated the compositional dependence of the near-bandgap dielectric function and the E{sub 0} critical point in pseudomorphic Ge{sub 1-x}Sn{sub x} alloys grown on Ge (100) substrate by molecular beam epitaxy. The complex dielectric functions were obtained using spectroscopic ellipsometry from 0.5 to 4.5 eV at room temperature. Analogous to the E{sub 1} and E{sub 1}+Δ{sub 1} transitions, a model consisting of the compositional dependence of relaxed alloys along with the strain contribution predicted by the deformation potential theory fully accounts for the observed compositional dependence in pseudomorphic alloys.

  19. Characteristics of an Electron Cyclotron Resonance Plasma Source for the Production of Active Nitrogen Species in III-V Nitride Epitaxy

    Science.gov (United States)

    Meyyappan, Meyya; Arnold, James O. (Technical Monitor)

    1997-01-01

    A simple analysis is provided to determine the characteristics of an electron cyclotron resonance (ECR) plasma source for the generation of active nitrogen species in the molecular beam epitaxy of III-V nitrides. The effects of reactor geometry, pressure, power, and flow rate on the dissociation efficiency and ion flux are presented. Pulsing the input power is proposed to reduce the ion flux.

  20. P-N junction solar cell grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hazrati Fard, M.

    2001-01-01

    Growth of GaAs epilayers by Molecular Beam Epitaxy was accomplished for the first time in Iran. The layers were grown on GaAs (001) substrates (p+ wafer) with Si impurity for p n junction solar cell fabrication at a rate of nearly one micron per hour and 0.25 micron per quarter. Crystalline quality of grown layers had been monitored during growth by Reflection High Energy Electron Diffraction system. Doping profile and layer thickness was assessed by electrochemical C-V profiling method. Then Hall measurements were conducted on small samples both in room temperature and liquid nitrogen temperature so giving average carrier concentration and compensation ratio. The results as like: V oc , I sc , F F, η were comparable with other laboratory reports. information for obtaining good and repeatable growths was collected. Therefore, the conditions of repeatable quality growth p n junction solar cells onto GaAs (001) substrates were determined

  1. Role of dislocations and carrier concentration in limiting the electron mobility of InN films grown by plasma assisted molecular beam epitaxy

    Science.gov (United States)

    Tangi, Malleswararao; De, Arpan; Shivaprasad, S. M.

    2018-01-01

    We report the molecular beam epitaxy growth of device quality InN films on GaN epilayer and nano-wall network (NWN) templates deposited on c-sapphire by varying the film thickness up to 1 μm. The careful experiments are directed towards obtaining high mobility InN layers having a low band gap with improved crystal quality. The dislocation density is quantified by using high resolution X-ray diffraction rocking curve broadening values of symmetric and asymmetric reflections, respectively. We observe that the dislocation density of the InN films grown on GaN NWN is less than that of the films grown on the GaN epilayer. This is attributed to the nanoepitaxial lateral overlayer growth (ELOG) process, where the presence of voids at the interface of InN/GaN NWN prevents the propagation of dislocation lines into the InN epilayers, thereby causing less defects in the overgrown InN films. Thus, this new adaptation of the nano-ELOG growth process enables us to prepare InN layers with high electron mobility. The obtained electron mobility of 2121 cm2/Vs for 1 μm thick InN/GaN NWN is comparable with the literature values of similar thickness InN films. Furthermore, in order to understand the reasons that limit electron mobility, the charge neutrality condition is employed to study the variation of electron mobility as a function of dislocation density and carrier concentration. Overall, this study provides a route to attaining improved crystal quality and electronic properties of InN films.

  2. Demonstration of molecular beam epitaxy and a semiconducting band structure for I-Mn-V compounds

    International Nuclear Information System (INIS)

    Jungwirth, T.; Novak, V.; Cukr, M.; Zemek, J.; Marti, X.; Horodyska, P.; Nemec, P.; Holy, V.; Maca, F.; Shick, A. B.; Masek, J.; Kuzel, P.; Nemec, I.; Gallagher, B. L.; Campion, R. P.; Foxon, C. T.; Wunderlich, J.

    2011-01-01

    Our ab initio theory calculations predict a semiconducting band structure of I-Mn-V compounds. We demonstrate on LiMnAs that high-quality materials with group-I alkali metals in the crystal structure can be grown by molecular beam epitaxy. Optical measurements on the LiMnAs epilayers are consistent with the theoretical electronic structure. Our calculations also reproduce earlier reports of high antiferromagnetic ordering temperature and predict large, spin-orbit-coupling-induced magnetic anisotropy effects. We propose a strategy for employing antiferromagnetic semiconductors in high-temperature semiconductor spintronics.

  3. Critical thickness and strain relaxation in molecular beam epitaxy-grown SrTiO3 films

    International Nuclear Information System (INIS)

    Wang, Tianqi; Ganguly, Koustav; Marshall, Patrick; Xu, Peng; Jalan, Bharat

    2013-01-01

    We report on the study of the critical thickness and the strain relaxation in epitaxial SrTiO 3 film grown on (La 0.3 Sr 0.7 )(Al 0.65 Ta 0.35 )O 3 (001) (LSAT) substrate using the hybrid molecular beam epitaxy approach. No change in the film's lattice parameter (both the in-plane and the out-of-plane) was observed up to a film thickness of 180 nm, which is in sharp contrast to the theoretical critical thickness of ∼12 nm calculated using the equilibrium theory of strain relaxation. For film thicknesses greater than 180 nm, the out-of-plane lattice parameter was found to decrease hyperbolically in an excellent agreement with the relaxation via forming misfit dislocations. Possible mechanisms are discussed by which the elastic strain energy can be accommodated prior to forming misfit dislocations leading to such anomalously large critical thickness

  4. Self-corrected sensors based on atomic absorption spectroscopy for atom flux measurements in molecular beam epitaxy

    International Nuclear Information System (INIS)

    Du, Y.; Liyu, A. V.; Droubay, T. C.; Chambers, S. A.; Li, G.

    2014-01-01

    A high sensitivity atom flux sensor based on atomic absorption spectroscopy has been designed and implemented to control electron beam evaporators and effusion cells in a molecular beam epitaxy system. Using a high-resolution spectrometer and a two-dimensional charge coupled device detector in a double-beam configuration, we employ either a non-resonant line or a resonant line with low cross section from the same hollow cathode lamp as the reference for nearly perfect background correction and baseline drift removal. This setup also significantly shortens the warm-up time needed compared to other sensor technologies and drastically reduces the noise coming from the surrounding environment. In addition, the high-resolution spectrometer allows the most sensitive resonant line to be isolated and used to provide excellent signal-to-noise ratio

  5. Unusual strain in homoepitaxial CdTe(001) layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Heinke, H.; Waag, A.; Moeller, M.O.; Regnet, M.M.; Landwehr, G. [Physikalisches Institut, Univ. Wuerzburg (Germany)

    1994-01-01

    For homoepitaxial CdTe(001) films grown by molecular beam epitaxy onto CdTe(001) substrates, a difference between the lattice constants of the substrate and the layer was systematically observed using high resolution X-ray diffraction. Reciprocal space maps point out an unusual strain state of such layers which is indicated by the position of their reciprocal lattice points. They lie in a section of reciprocal space which is usually forbidden by elasticity theory. The strain is laterally anisotropic leading to a monoclinic symmetry of the thin films. The lateral strain is depth dependent. Possible reasons for the formation of the unusual strain are discussed, and a correlation of the unusual strain with the growth conditions is attempted

  6. Photoluminescence characteristics of Pb-doped, molecular-beam-epitaxy grown ZnSe crystal layers

    International Nuclear Information System (INIS)

    Mita, Yoh; Kuronuma, Ryoichi; Inoue, Masanori; Sasaki, Shoichiro; Miyamoto, Yoshinobu

    2004-01-01

    The characteristic green photoluminescence emission and related phenomena in Pb-doped, molecular-beam-epitaxy (MBE)-grown ZnSe crystal layers were investigated to explore the nature of the center responsible for the green emission. The intensity of the green emission showed a distinct nonlinear dependence on excitation intensity. Pb-diffused polycrystalline ZnSe was similarly examined for comparison. The characteristic green emission has been observed only in MBE-grown ZnSe crystal layers with moderate Pb doping. The results of the investigations on the growth conditions, luminescence, and related properties of the ZnSe crystal layers suggest that the green emission is due to isolated Pb replacing Zn and surrounded with regular ZnSe lattice with a high perfection

  7. Ion Flux Measurements in Electron Beam Produced Plasmas in Atomic and Molecular Gases

    Science.gov (United States)

    Walton, S. G.; Leonhardt, D.; Blackwell, D. D.; Murphy, D. P.; Fernsler, R. F.; Meger, R. A.

    2001-10-01

    In this presentation, mass- and time-resolved measurements of ion fluxes sampled from pulsed, electron beam-generated plasmas will be discussed. Previous works have shown that energetic electron beams are efficient at producing high-density plasmas (10^10-10^12 cm-3) with low electron temperatures (Te < 1.0 eV) over the volume of the beam. Outside the beam, the plasma density and electron temperature vary due, in part, to ion-neutral and electron-ion interactions. In molecular gases, electron-ion recombination plays a significant role while in atomic gases, ion-neutral interactions are important. These interactions also determine the temporal variations in the electron temperature and plasma density when the electron beam is pulsed. Temporally resolved ion flux and energy distributions at a grounded electrode surface located adjacent to pulsed plasmas in pure Ar, N_2, O_2, and their mixtures are discussed. Measurements are presented as a function of operating pressure, mixture ratio, and electron beam-electrode separation. The differences in the results for atomic and molecular gases will also be discussed and related to their respective gas-phase kinetics.

  8. Investigation into the use of molecular hydrogen on the growth of gallium nitride via metal-organic molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Billingsley, Daniel; Pritchett, David; Henderson, Walter; Carver, Alexander G.; Burnham, Shawn D.; Doolittle, W.A. [Georgia Institute of Technology, School of Electrical and Computer Engineering, 777 Atlantic Dr., Atlanta, GA 30332 (United States)

    2008-07-01

    Molecular hydrogen (H{sub 2}) has been investigated as a means to improve ammonia nitridation efficiency and attempts to reduce carbon contamination in ammonia-based metal-organic molecular beam epitaxy (MOMBE). A 30% improvement in crystalline quality, inferred from XRD, as well as an increase in subsequent GaN bulk growth rate was observed when bare sapphire was subject to H{sub 2} annealing before nitridation. However, the use of H{sub 2} during GaN homoepitaxy on GaN templates resulted in increased carbon contamination and decreased growth rate of GaN. The results demonstrate promise and proper uses of H{sub 2} during GaN growth under certain conditions. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Recent Advances on p-Type III-Nitride Nanowires by Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Songrui Zhao

    2017-09-01

    Full Text Available p-Type doping represents a key step towards III-nitride (InN, GaN, AlN optoelectronic devices. In the past, tremendous efforts have been devoted to obtaining high quality p-type III-nitrides, and extraordinary progress has been made in both materials and device aspects. In this article, we intend to discuss a small portion of these processes, focusing on the molecular beam epitaxy (MBE-grown p-type InN and AlN—two bottleneck material systems that limit the development of III-nitride near-infrared and deep ultraviolet (UV optoelectronic devices. We will show that by using MBE-grown nanowire structures, the long-lasting p-type doping challenges of InN and AlN can be largely addressed. New aspects of MBE growth of III-nitride nanostructures are also discussed.

  10. Thin film phase diagram of iron nitrides grown by molecular beam epitaxy

    Science.gov (United States)

    Gölden, D.; Hildebrandt, E.; Alff, L.

    2017-01-01

    A low-temperature thin film phase diagram of the iron nitride system is established for the case of thin films grown by molecular beam epitaxy and nitrided by a nitrogen radical source. A fine-tuning of the nitridation conditions allows for growth of α ‧ -Fe8Nx with increasing c / a -ratio and magnetic anisotropy with increasing x until almost phase pure α ‧ -Fe8N1 thin films are obtained. A further increase of nitrogen content below the phase decomposition temperature of α ‧ -Fe8N (180 °C) leads to a mixture of several phases that is also affected by the choice of substrate material and symmetry. At higher temperatures (350 °C), phase pure γ ‧ -Fe4N is the most stable phase.

  11. Growth of semiconductor alloy InGaPBi on InP by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, K; Wang, P; Pan, W W; Wu, X Y; Yue, L; Gong, Q; Wang, S M

    2015-01-01

    We report the first successful growth of InGaPBi single crystals on InP substrate with Bi concentration far beyond the doping level by gas source molecular beam epitaxy. The InGaPBi thin films reveal excellent surface and structural qualities, making it a promising new III–V compound family member for heterostructures. The strain can be tuned between tensile and compressive by adjusting Ga and Bi compositions. The maximum achieved Bi concentration is 2.2 ± 0.4% confirmed by Rutherford backscattering spectroscopy. Room temperature photoluminescence shows strong and broad light emission at energy levels much smaller than the InP bandgap. (paper)

  12. Low defect densities in molecular beam epitaxial GaAs achieved by isoelectronic In doping

    Science.gov (United States)

    Bhattacharya, P. K.; Dhar, S.; Berger, P.; Juang, F.-Y.

    1986-01-01

    A study has been made of the effects of adding small amounts of In (0.2-1.2 pct) to GaAs grown by molecular beam epitaxy. The density of four electron traps decreases in concentration by an order of magnitude, and the peak intensities of prominent emissions in the excitonic spectra are reduced with increase in In content. Based on the higher surface migration rate of In, compared to Ga, at the growth temperatures it is apparent that the traps and the excitonic transitions are related to point defects. This agrees with earlier observations by Briones and Collins (1982) and Skromme et al. (1985).

  13. GaAs structures with InAs and As quantum dots produced in a single molecular beam epitaxy process

    International Nuclear Information System (INIS)

    Nevedomskii, V. N.; Bert, N. A.; Chaldyshev, V. V.; Preobrazhenskii, V. V.; Putyato, M. A.; Semyagin, B. R.

    2009-01-01

    Epitaxial GaAs layers containing InAs semiconductor quantum dots and As metal quantum dots are grown by molecular beam epitaxy. The InAs quantum dots are formed by the Stranskii-Krastanow mechanism, whereas the As quantum dots are self-assembled in the GaAs layer grown at low temperature with a large As excess. The microstructure of the samples is studied by transmission electron microscopy. It is established that the As metal quantum dots formed in the immediate vicinity of the InAs semiconductor quantum dots are larger in size than the As quantum dots formed far from the InAs quantum dots. This is apparently due to the effect of strain fields of the InAs quantum dots upon the self-assembling of As quantum dots. Another phenomenon apparently associated with local strains around the InAs quantum dots is the formation of V-like defects (stacking faults) during the overgrowth of the InAs quantum dots with the GaAs layer by low-temperature molecular beam epitaxy. Such defects have a profound effect on the self-assembling of As quantum dots. Specifically, on high-temperature annealing needed for the formation of large-sized As quantum dots by Ostwald ripening, the V-like defects bring about the dissolution of the As quantum dots in the vicinity of the defects. In this case, excess arsenic most probably diffuses towards the open surface of the sample via the channels of accelerated diffusion in the planes of stacking faults.

  14. As-free pnictide LaNi{sub 1-x}Sb{sub 2} thin films grown by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Retzlaff, Reiner; Buckow, Alexander; Kurian, Jose; Alff, Lambert [Institute of Materials Science, Technische Universitaet Darmstadt, Petersenstr. 23, 64287 Darmstadt (Germany)

    2012-07-01

    We use reactive molecular beam epitaxy (RMBE) as synthesis technique for the search of arsenic free pnictide superconductors. Epitaxial thin films of LaNi{sub 1-x}Sb{sub 2} were grown on (100)MgO substrates from elemental sources by simultaneous evaporation of high purity La, Ni and Sb metals by e-gun. The LaNi{sub 1-x}Sb{sub 2} thin films grow epitaxially and are (00l) oriented with high crystalline quality, as evident from RHEED and X-Ray diffraction studies. The Ni deficient LaNi{sub 1-x}Sb{sub 2} thin films show metallic behavior with a room temperature resistivity of 110 {mu}{Omega} cm, while the stoichiometric compound is a semiconductor/insulator. The isostructural compound with Bi as pnictide shows a superconducting transition with a T{sub C}(0) of 3.1 K.

  15. Strain in epitaxial high-index Bi{sub 2}Se{sub 3}(221) films grown by molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Li, Bin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Chen, Weiguang [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Guo, Xin; Ho, Wingkin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Dai, Xianqi [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Jia, Jinfeng [Key Laboratory of Artificial Structures and Quantum Control (Ministry of Education), Collaborative Innovation Center of Advanced Microstructures, Department of Physics and Astronomy, Shanghai Jiaotong University, 800 Dongchuan Road, Shanghai 200240 (China); Xie, Maohai, E-mail: mhxie@hku.hk [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong)

    2017-02-28

    Highlights: • High-index, off c-axis, Bi{sub 2}Se{sub 3} has been grown by molecular beam epitaxy on In{sub 2}Se{sub 3}. • A retarded strain relaxation process in such high-index Bi{sub 2}Se{sub 3} is observed, enabling experimentally probe strain effect on topological insulators. • It has been shown by calculation that the Dirac electrons participate in chemical bonding at the heterointerface. - Abstract: High-index Bi{sub 2}Se{sub 3}(221) film has been grown on In{sub 2}Se{sub 3}-buffered GaAs(001), in which a much retarded strain relaxation dynamics is recorded. The slow strain-relaxation process of in epitaxial Bi{sub 2}Se{sub 3}(221) can be attributed to the layered structure of Bi{sub 2}Se{sub 3} crystal, where the epifilm grown along [221] is like a pile of weakly-coupled quintuple layer slabs stacked side-by-side on substrate. Finally, we reveal strong chemical bonding at the interface of Bi{sub 2}Se{sub 3} and In{sub 2}Se{sub 3} by plotting differential charge contour calculated by first-principle method. This study points to the feasibility of achieving strained TIs for manipulating the properties of topological systems.

  16. Demonstration of molecular beam epitaxy and a semiconducting band structure for I-Mn-V compounds

    Czech Academy of Sciences Publication Activity Database

    Jungwirth, Tomáš; Novák, Vít; Martí, X.; Cukr, Miroslav; Máca, František; Shick, Alexander; Mašek, Jan; Horodyská, P.; Němec, P.; Holý, V.; Zemek, Josef; Kužel, Petr; Němec, I.; Gallagher, B. L.; Campion, R. P.; Foxon, C. T.; Wunderlich, Joerg

    2011-01-01

    Roč. 83, č. 3 (2011), , , "035321-1"-"035321-6" ISSN 1098-0121 R&D Projects: GA MŠk LC510; GA AV ČR KAN400100652; GA MŠk(CZ) 7E08087 EU Projects: European Commission(XE) 215368 - SemiSpinNet; European Commission(XE) 214499 - NAMASTE; European Commission(XE) 268066 - 0MSPIN Grant - others:AV ČR(CZ) AP0801 Program:Akademická prémie - Praemium Academiae Institutional research plan: CEZ:AV0Z10100520; CEZ:AV0Z10100521 Keywords : antiferromagnetic semiconductors * spintronics * molecular beam epitaxy Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.691, year: 2011

  17. InAs nanocrystals on SiO2/Si by molecular beam epitaxy for memory applications

    International Nuclear Information System (INIS)

    Hocevar, Moiera; Regreny, Philippe; Descamps, Armel; Albertini, David; Saint-Girons, Guillaume; Souifi, Abdelkader; Gendry, Michel; Patriarche, Gilles

    2007-01-01

    We studied a memory structure based on InAs nanocrystals grown by molecular beam epitaxy directly on thermal SiO 2 on silicon. Both nanocrystal diameter and density can be controlled by growth parameters. Transmission electron microscopy analysis shows high crystallinity and low size dispersion. In an electrical test structure with a 3.5 nm tunnel oxide, we observed that 80% of the initial injected electrons remain stored in the InAs nanocrystals after 3 months and that the retention time for electrons in InAs nanocrystals is four orders of magnitude higher than in silicon nanocrystals

  18. Ion-induced crystal damage during plasma-assisted MBE growth of GaN layers

    Science.gov (United States)

    Kirchner, V.; Heinke, H.; Birkle, U.; Einfeldt, S.; Hommel, D.; Selke, H.; Ryder, P. L.

    1998-12-01

    Gallium nitride layers were grown by plasma-assisted molecular-beam epitaxy on (0001)-oriented sapphire substrates using an electron cyclotron resonance (ECR) and a radio frequency (rf) plasma source. An applied substrate bias was varied from -200 to +250 V, resulting in a change of the density and energy of nitrogen ions impinging the growth surface. The layers were investigated by high-resolution x-ray diffractometry and high-resolution transmission electron microscopy (HRTEM). Applying a negative bias during growth has a marked detrimental effect on the crystal perfection of the layers grown with an ECR plasma source. This is indicated by a change in shape and width of (0002) and (202¯5) reciprocal lattice points as monitored by triple axis x-ray measurements. In HRTEM images, isolated basal plane stacking faults were found, which probably result from precipitation of interstitial atoms. The crystal damage in layers grown with a highly negative substrate bias is comparable to that observed for ion implantation processes at orders of magnitude larger ion energies. This is attributed to the impact of ions on the growing surface. None of the described phenomena was observed for the samples grown with the rf plasma source.

  19. Enhanced growth of highly lattice-mismatched CdSe on GaAs substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Jyh-Shyang; Tsai, Yu-Hsuan; Wang, Hsiao-Hua; Ke, Han-Xiang; Tong, Shih-Chang; Yang, Chu-Shou; Wu, Chih-Hung; Shen, Ji-Lin

    2013-01-01

    This work demonstrates the improvement of the molecular beam epitaxial growth of zinc-blende CdSe on (0 0 1) GaAs substrate with a large lattice mismatch by introducing a small amount of Te atoms. Exposing the growing surface to Te atoms changes the reflection high-energy electron diffraction pattern from spotty to streaky together with (2 × 1) surface reconstruction, and greatly reduces the full width at half maximum of the X-ray rocking curve and increases the integral intensity of room-temperature photoluminescence by a factor of about nine.

  20. Effect of the growth temperature and the AlN mole fraction on In incorporation and properties of quaternary III-nitride layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Fernandez-Garrido, S.; Pereiro, J.; Munoz, E.; Calleja, E.; Redondo-Cubero, A.; Gago, R.; Bertram, F.; Christen, J.; Luna, E.; Trampert, A.

    2008-01-01

    Indium incorporation into wurtzite (0001)-oriented In x Al y Ga 1-x-y N layers grown by plasma-assisted molecular beam epitaxy was studied as a function of the growth temperature (565-635 deg. C) and the AlN mole fraction (0.01< y<0.27). The layer stoichiometry was determined by Rutherford backscattering spectrometry (RBS). RBS shows that indium incorporation decreased continuously with increasing growth temperature due to thermally enhanced dissociation of In-N bonds and for increasing AlN mole fractions. High resolution x-ray diffraction and transmission electron microscopy (TEM) measurements did not show evidence of phase separation. The mosaicity of the quaternary layers was found to be mainly determined by the growth temperature and independent on alloy composition within the range studied. However, depending on the AlN mole fraction, nanometer-sized composition fluctuations were detected by TEM. Photoluminescence spectra showed a single broad emission at room temperature, with energy and bandwidth S- and W-shaped temperature dependences typical of exciton localization by alloy inhomogeneities. Cathodoluminescence measurements demonstrated that the alloy inhomogeneities, responsible of exciton localization, occur on a lateral length scale below 150 nm, which is corroborated by TEM

  1. Defect mediated van der Waals epitaxy of hexagonal boron nitride on graphene

    Science.gov (United States)

    Heilmann, M.; Bashouti, M.; Riechert, H.; Lopes, J. M. J.

    2018-04-01

    Van der Waals heterostructures comprising of hexagonal boron nitride and graphene are promising building blocks for novel two-dimensional devices such as atomically thin transistors or capacitors. However, demonstrators of those devices have been so far mostly fabricated by mechanical assembly, a non-scalable and time-consuming method, where transfer processes can contaminate the surfaces. Here, we investigate a direct growth process for the fabrication of insulating hexagonal boron nitride on high quality epitaxial graphene using plasma assisted molecular beam epitaxy. Samples were grown at varying temperatures and times and studied using atomic force microscopy, revealing a growth process limited by desorption at high temperatures. Nucleation was mostly commencing from morphological defects in epitaxial graphene, such as step edges or wrinkles. Raman spectroscopy combined with x-ray photoelectron measurements confirm the formation of hexagonal boron nitride and prove the resilience of graphene against the nitrogen plasma used during the growth process. The electrical properties and defects in the heterostructures were studied with high lateral resolution by tunneling current and Kelvin probe force measurements. This correlated approach revealed a nucleation apart from morphological defects in epitaxial graphene, which is mediated by point defects. The presented results help understanding the nucleation and growth behavior during van der Waals epitaxy of 2D materials, and point out a route for a scalable production of van der Waals heterostructures.

  2. Raman Scattering analysis of InGaAs and AlGaAs superlattices grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Oeztuerk, N.; Bahceli, S.

    2010-01-01

    InGaAs/GaAs and AlGaAs/GaAs multiple quantum well structures were grown by molecular beam epitaxy and investigated by X-ray diffraction and micro Raman spectroscopy. Phonon modes are investigated in backscattering from (001) surface. In the measured micro Raman spectrum for both structure, phonon peaks can be resolved for GaAs. These are longitudinal optical (LO) mode at 293 cm - 1 and 294 cm - 1 for InGaAs and AlGaAs, respectively.

  3. Molecular beam epitaxy grown Ge/Si pin layer sequence for photonic devices

    International Nuclear Information System (INIS)

    Schulze, J.; Oehme, M.; Werner, J.

    2012-01-01

    A key challenge to obtain a convergence of classical Si-based microelectronics and optoelectronics is the manufacturing of photonic integrated circuits integrable into classical Si-based integrated circuits. This integration would be greatly enhanced if similar facilities and technologies could be used. Therefore one approach is the development of optoelectronic components and devices made from group-IV-based materials such as SiGe, Ge or Ge:Sn. In this paper the optoelectronic performances of a pin diode made from a Ge/Si heterostructure pin layer sequence grown by molecular beam epitaxy are discussed. After a detailed description of the layer sequence growth and the device manufacturing process it will be shown that – depending on the chosen operating point and device design – the diode serves as a broadband high speed photo detector, Franz–Keldysh effect modulator or light emitting diode.

  4. Molecular beam epitaxy grown Ge/Si pin layer sequence for photonic devices

    Energy Technology Data Exchange (ETDEWEB)

    Schulze, J., E-mail: schulze@iht.uni-stuttgart.de; Oehme, M.; Werner, J.

    2012-02-01

    A key challenge to obtain a convergence of classical Si-based microelectronics and optoelectronics is the manufacturing of photonic integrated circuits integrable into classical Si-based integrated circuits. This integration would be greatly enhanced if similar facilities and technologies could be used. Therefore one approach is the development of optoelectronic components and devices made from group-IV-based materials such as SiGe, Ge or Ge:Sn. In this paper the optoelectronic performances of a pin diode made from a Ge/Si heterostructure pin layer sequence grown by molecular beam epitaxy are discussed. After a detailed description of the layer sequence growth and the device manufacturing process it will be shown that - depending on the chosen operating point and device design - the diode serves as a broadband high speed photo detector, Franz-Keldysh effect modulator or light emitting diode.

  5. Structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy

    Science.gov (United States)

    Kanzyuba, Vasily; Dong, Sining; Liu, Xinyu; Li, Xiang; Rouvimov, Sergei; Okuno, Hanako; Mariette, Henri; Zhang, Xueqiang; Ptasinska, Sylwia; Tracy, Brian D.; Smith, David J.; Dobrowolska, Margaret; Furdyna, Jacek K.

    2017-02-01

    We describe the structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy on GaAs (111) substrates, as revealed by transmission electron microscopy, X-ray diffraction, and X-ray photoelectron spectroscopy. When the Mn concentration is increased, the lattice of the ternary (Sn,Mn)Se films evolves quasi-coherently from a SnSe2 two-dimensional (2D) crystal structure into a more complex quasi-2D lattice rearrangement, ultimately transforming into the magnetically concentrated antiferromagnetic MnSe 3D rock-salt structure as Mn approaches 50 at. % of this material. These structural transformations are expected to underlie the evolution of magnetic properties of this ternary system reported earlier in the literature.

  6. Molecular beam epitaxy of InxGa1-xAs on InP (100) substrates

    International Nuclear Information System (INIS)

    Dvoryankina, G.G.; Dvoryankin, V.F.; Petrov, A.G.; Kudryashov, A.A.; Khusid, L.B.

    1991-01-01

    Heteroepitaxy layers of In x Ga 1-x As in the wide field of compositions (x=0.2-0.8) of 0.2-2.0 μm thick on (100) InP substrates were grown using the methods of epitaxy from molecular beams. Structure, surface morphology and electric properties of layers in relation to their thick and composition were investigated. It was shown that the quality of In x Ga 1-x As layers on (100) InP was more sensitive to tensile strain than compressive strain. Different mechanisms of scattering of free electrons in layers of In x Ga 1-x As(x∼=0.53) on (101) InP were considered

  7. Multisample matrix-assisted laser desorption source for molecular beams of neutral peptides

    International Nuclear Information System (INIS)

    Lupulescu, C.; Abd El Rahim, M.; Antoine, R.; Barbaire, M.; Broyer, M.; Dagany, X.; Maurelli, J.; Rayane, D.; Dugourd, Ph.

    2006-01-01

    We developed and tested a multisample laser desorption source for producing stable molecular beams of neutral peptides. Our apparatus is based on matrix-assisted laser desorption technique. The source consists of 96 different targets which may be scanned by a software control procedure. Examples of molecular beams of neutral peptides are presented, as well as the influence of the different source parameters on the jet

  8. Creating Ruddlesden-Popper phases by hybrid molecular beam epitaxy

    International Nuclear Information System (INIS)

    Haislmaier, Ryan C.; Stone, Greg; Alem, Nasim; Engel-Herbert, Roman

    2016-01-01

    The synthesis of a 50 unit cell thick n = 4 Sr_n_+_1Ti_nO_3_n_+_1 (Sr_5Ti_4O_1_3) Ruddlesden-Popper (RP) phase film is demonstrated by sequentially depositing SrO and TiO_2 layers in an alternating fashion using hybrid molecular beam epitaxy (MBE), where Ti was supplied using titanium tetraisopropoxide (TTIP). A detailed calibration procedure is outlined for determining the shuttering times to deposit SrO and TiO_2 layers with precise monolayer doses using in-situ reflection high energy electron diffraction (RHEED) as feedback. Using optimized Sr and TTIP shuttering times, a fully automated growth of the n = 4 RP phase was carried out over a period of >4.5 h. Very stable RHEED intensity oscillations were observed over the entire growth period. The structural characterization by X-ray diffraction and high resolution transmission electron microscopy revealed that a constant periodicity of four SrTiO_3 perovskite unit cell blocks separating the double SrO rocksalt layer was maintained throughout the entire film thickness with a very little amount of planar faults oriented perpendicular to the growth front direction. These results illustrate that hybrid MBE is capable of layer-by-layer growth with atomic level precision and excellent flux stability.

  9. Atomic size effect on the formation of ionized cluster beam epitaxy in Lennard-Jones systems

    International Nuclear Information System (INIS)

    Hsieh Horngming; Averback, R.S.

    1991-01-01

    Ionized cluster beam deposition is studied by molecular dynamics simulations in which the atomic size of incident cluster atoms is different from the size of substrate atoms. Lennard-Jones interatomic potentials are used for the two-component system. The results provide the morphologies of the overlayers for various atomic sizes and are compared to simulation results of molecular beam epitaxy. (orig.)

  10. Electrical transport in n-type ZnMgSSe grown by molecular beam epitaxy on GaAs

    International Nuclear Information System (INIS)

    Marshall, T.; Petruzzello, J.A.; Herko, S.P.

    1994-01-01

    Significant progress in improving the Performance of blue-green II-VI semiconductor injection lasers has come about from advances in the epitaxial growth and doping of ZnMgSSe on GaAs substrates. This paper investigates electrical transport and its relation to structural quality in n-type Zn 1-y Mg y S x Se 1-x epilayers doped with Cl, grown by molecular beam epitaxy. The composition parameters x and y vary from about 0.12-0.18 and 0.08-0.15, respectively. The quaternary epilayers studied are lattice-matched (or nearly so) to the GaAs substrate. Temperature-dependent Hall-effect measurements are performed on seven n-type ZnMgSSe:Cl epilayers, and a technique is presented whereby the resulting mobility-vs-temperature data is compared with data for ZnSe to obtain a structural figure of merit that is useful in characterizing the quaternary epilayer. 29 refs., 4 figs

  11. Transport and optical properties of c-axis oriented wedge shaped GaN nanowall network grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Bhasker, H. P.; Dhar, S. [Department of Physics, Indian Institute of Technology Bombay, Powai, Mumbai, Maharashtra-400076 (India); Thakur, Varun; Kesaria, Manoj; Shivaprasad, S. M. [Jawaharlal Nehru Centre for Advanced Scientific Research (JNCASR) Bangalore- 560064 (India)

    2014-02-21

    The transport and optical properties of wedge-shaped nanowall network of GaN grown spontaneously on cplane sapphire substrate by Plasma-Assisted Molecular Beam Epitaxy (PAMBE) show interesting behavior. The electron mobility at room temperature in these samples is found to be orders of magnitude higher than that of a continuous film. Our study reveals a strong correlation between the mobility and the band gap in these nanowall network samples. However, it is seen that when the thickness of the tips of the walls increases to an extent such that more than 70% of the film area is covered, it behaves close to a flat sample. In the sample with lower surface coverage (≈40% and ≈60%), it was observed that the conductivity, mobility as well as the band gap increase with the decrease in the average tip width of the walls. Photoluminescence (PL) experiments show a strong and broad band edge emission with a large (as high as ≈ 90 meV) blue shift, compared to that of a continuous film, suggesting a confinement of carriers on the top edges of the nanowalls. The PL peak width remains wide at all temperatures suggesting the existence of a high density of tail states at the band edge, which is further supported by the photoconductivity result. The high conductivity and mobility observed in these samples is believed to be due to a “dissipation less” transport of carriers, which are localized at the top edges (edge states) of the nanowalls.

  12. Transport and optical properties of c-axis oriented wedge shaped GaN nanowall network grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Bhasker, H. P.; Dhar, S.; Thakur, Varun; Kesaria, Manoj; Shivaprasad, S. M.

    2014-01-01

    The transport and optical properties of wedge-shaped nanowall network of GaN grown spontaneously on cplane sapphire substrate by Plasma-Assisted Molecular Beam Epitaxy (PAMBE) show interesting behavior. The electron mobility at room temperature in these samples is found to be orders of magnitude higher than that of a continuous film. Our study reveals a strong correlation between the mobility and the band gap in these nanowall network samples. However, it is seen that when the thickness of the tips of the walls increases to an extent such that more than 70% of the film area is covered, it behaves close to a flat sample. In the sample with lower surface coverage (≈40% and ≈60%), it was observed that the conductivity, mobility as well as the band gap increase with the decrease in the average tip width of the walls. Photoluminescence (PL) experiments show a strong and broad band edge emission with a large (as high as ≈ 90 meV) blue shift, compared to that of a continuous film, suggesting a confinement of carriers on the top edges of the nanowalls. The PL peak width remains wide at all temperatures suggesting the existence of a high density of tail states at the band edge, which is further supported by the photoconductivity result. The high conductivity and mobility observed in these samples is believed to be due to a “dissipation less” transport of carriers, which are localized at the top edges (edge states) of the nanowalls

  13. Photon-assisted Beam Probes for Low Temperature Plasmas and Installation of Neutral Beam Probe in Helimak

    Science.gov (United States)

    Garcia de Gorordo, Alvaro; Hallock, Gary A.; Kandadai, Nirmala

    2008-11-01

    The Heavy Ion Beam Probe (HIBP) diagnostic has successfully measured the electric potential in a number of major plasma devices in the fusion community. In contrast to a Langmuir probe, the HIBP measures the exact electric potential rather than the floating potential. It is also has the advantage of being a very nonperturbing diagnostic. We propose a new photon-assisted beam probe technique that would extend the HIBP type of diagnostics into the low temperature plasma regime. We expect this method to probe plasmas colder than 10 eV. The novelty of the proposed diagnostic is a VUV laser that ionizes the probing particle. Excimer lasers produce the pulsed VUV radiation needed. The lasers on the market don't have a short enough wavelength too ionize any ion directly and so we calculate the population density of excited states in a NLTE plasma. These new photo-ionization techniques can take an instantaneous one-dimensional potential measurement of a plasma and are ideal for nonmagnitized plasmas where continuous time resolution is not required. Also the status of the Neutral Beam Probe installation on the Helimak experiment will be presented.

  14. p-type ZnO films with solid-source phosphorus doping by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Xiu, F.X.; Yang, Z.; Mandalapu, L.J.; Liu, J.L.; Beyermann, W. P.

    2006-01-01

    Phosphorus-doped p-type ZnO films were grown on r-plane sapphire substrates using molecular-beam epitaxy with a solid-source GaP effusion cell. X-ray diffraction spectra and reflection high-energy electron diffraction patterns indicate that high-quality single crystalline (1120) ZnO films were obtained. Hall and resistivity measurements show that the phosphorus-doped ZnO films have high hole concentrations and low resistivities at room temperature. Photoluminescence (PL) measurements at 8 K reveal a dominant acceptor-bound exciton emission with an energy of 3.317 eV. The acceptor energy level of the phosphorus dopant is estimated to be 0.18 eV above the valence band from PL spectra, which is also consistent with the temperature dependence of PL measurements

  15. Group III nitride-arsenide long wavelength lasers grown by elemental source molecular beam epitaxy

    International Nuclear Information System (INIS)

    Coldren, C. W.; Spruytte, S. G.; Harris, J. S.; Larson, M. C.

    2000-01-01

    Elemental source molecular beam epitaxy was used to grow InGaNAs quantum well samples, edge-emitting laser diodes, and vertical-cavity laser diodes on GaAs substrates. The quantum well samples exhibited an as-grown room temperature photoluminescence peak beyond 1310 nm which both increased dramatically in intensity and blueshifted with thermal annealing. Edge emitting laser diodes had threshold current densities as low as 450 and 750 A/cm 2 for single and triple quantum well active regions, respectively, and emitted light at 1220-1250 nm. The vertical cavity laser diodes emitted light at 1200 nm and had threshold current densities of 3 kA/cm 2 and efficiencies of 0.066 W/A. (c) 2000 American Vacuum Society

  16. Redundant Sb condensation on GaSb epilayers grown by molecular beam epitaxy during cooling procedure

    International Nuclear Information System (INIS)

    Arpapay, B.; Şahin, S.; Arıkan, B.; Serincan, U.

    2014-01-01

    The effect of four different cooling receipts on the surface morphologies of unintentionally-doped GaSb epilayers on GaSb (100) substrates grown by molecular beam epitaxy is reported. Those receipts include three different Sb beam equivalent pressure (BEP) levels and two different termination temperatures. Surface morphologies of epilayers were examined by wet etching, surface profiler, atomic force microscopy, scanning electron microscopy and Raman spectroscopy. The results demonstrate that during the cooling period, a Sb BEP of 4.00 × 10 −4 Pa at a termination temperature of 400 °C induces a smooth surface without Sb condensation whereas same Sb BEP at a termination temperature of 350 °C forms a 300 nm thick Sb layer on the surface. In addition, it is revealed that by applying a wet etching procedure and using a surface profiler it is possible to identify this condensed layer from the two-sloped feature of mesa profile. - Highlights: • Sb beam flux termination temperature is crucial for redundant Sb condensation. • Sb beam flux level has a role on the thickness of redundant condensed Sb layer. • Redundant Sb layer thickness can be measured by two-sloped mesa structure

  17. SIMS studies of CI- doped Zn Se epilayers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gard, F.S.; Riley, J.D.; Lekey, R.; Usher, B.F.; Prine, K.

    2004-01-01

    Chlorine is one of the most used species to produce n-type zinc selenium epilayers. In this paper, we present secondary ion mass spectrometry profiles of a series of chlorine-doped zinc selenium samples, which were grown in a molecular beam epitaxy chamber. These profiles have been used to examine the limitation of secondary ion mass spectrometry analysis of narrow chlorine-delta layers. In order to covert secondary ion mass spectrometry raw data to quantified data, the depth profile from a chlorine-implanted standard sample has been used to estimate the u seful ion yield o f chlorine and thus the instrument sensitivity for chlorine in a zinc selenium matrix. The u seful ion yield a nd detection limit of chlorine in the zinc selenium host matrix were calculated to be 4.7 X 10 -17 atoms/ cm 3 , respectively

  18. Structure and optical band gaps of (Ba,Sr)SnO{sub 3} films grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schumann, Timo; Raghavan, Santosh; Ahadi, Kaveh; Kim, Honggyu; Stemmer, Susanne, E-mail: stemmer@mrl.ucsb.edu [Materials Department, University of California, Santa Barbara, California 93106-5050 (United States)

    2016-09-15

    Epitaxial growth of (Ba{sub x}Sr{sub 1−x})SnO{sub 3} films with 0 ≤ x ≤ 1 using molecular beam epitaxy is reported. It is shown that SrSnO{sub 3} films can be grown coherently strained on closely lattice and symmetry matched PrScO{sub 3} substrates. The evolution of the optical band gap as a function of composition is determined by spectroscopic ellipsometry. The direct band gap monotonously decreases with x from to 4.46 eV (x = 0) to 3.36 eV (x = 1). A large Burnstein-Moss shift is observed with La-doping of BaSnO{sub 3} films. The shift corresponds approximately to the increase in Fermi level and is consistent with the low conduction band mass.

  19. Critical parameters for the molecular beam epitaxial growth of 1.55 μm (Ga,In)(N,As) multiple quantum wells

    International Nuclear Information System (INIS)

    Ishikawa, Fumitaro; Luna, Esperanza; Trampert, Achim; Ploog, Klaus H.

    2006-01-01

    The authors discuss the effect of substrate temperature and As beam equivalent pressure (BEP) on the molecular beam epitaxial growth of (Ga,In)(N,As) multiple quantum wells (MQWs). Transmission electron microscopy studies reveal that a low substrate temperature essentially prevents composition modulations. Secondary ion mass spectrometry results indicate that a low As BEP reduces the incorporation competition of group V elements. The low substrate temperature and low As BEP growth condition leads to (Ga,In)(N,As) MQWs containing more than 4% N preserving good structural and optical properties, and hence demonstrating 1.55 μm photoluminescence emission at room temperature

  20. Growth of conductive HfO{sub 2-x} thin films by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institut fuer Materialwissenschaft, TU Darmstadt (Germany); Kleebe, Hans-Joachim [Institut fuer Angewandte Geowissenschaften, TU Darmstadt (Germany)

    2009-07-01

    Thin films of oxygen deficient hafnium oxide were grown on single crystal c-cut and r-cut sapphire substrates by reactive molecular beam epitaxy. The oxidation conditions during growth were varied within a wide range using RF-activated oxygen. Hafnium oxide thin films were characterized using X-ray diffraction, resistivity measurements ({rho}-T) and transmission electron microscopy (TEM). The results show a dramatic increase in conductivity of the deposited oxygen deficient hafnium oxide thin films with decreasing oxidation conditions during growth. The electrical properties of deficient hafnium oxide thin films varied from insulating over semiconducting to conducting. X-ray diffraction data as well as TEM data rule out the possibility of conductivity due to metallic hafnium.

  1. Double-heterostructure PbSnTe lasers grown by molecular-beam epitaxy with cw operation up to 114 K

    International Nuclear Information System (INIS)

    Walpole, J.N.; Calawa, A.R.; Harman, T.C.; Groves, S.H.

    1976-01-01

    Double-heterostructure Pb/sub 1-x/Sn/sub x/Te lasers with active regions of Pb 0 . 782 Sn 0 . 218 Te have been grown by molecular-beam epitaxy which operate cw up to heat-sink temperatures of 114 0 K. Temperature tuning of the emission from 15.9 to 8.54 μm wavelength is obtained, with emission at 77 0 K near 11.5 μm. The current-voltage characteristics show an abrupt change in slope at threshold, indicating high incremental internal quantum efficiency

  2. Epitaxial Sb-doped SnO_2 and Sn-doped In_2O_3 transparent conducting oxide contacts on GaN-based light emitting diodes

    International Nuclear Information System (INIS)

    Tsai, Min-Ying; Bierwagen, Oliver; Speck, James S.

    2016-01-01

    We demonstrate the growth of epitaxial (100)-oriented, rutile Sb-doped SnO_2 (ATO) and (111)-oriented, cubic Sn-doped In_2O_3 (ITO) transparent conducting oxide (TCO) contacts on top of an InGaN/GaN(0001) light emitting diode (LED) by plasma-assisted molecular beam epitaxy (PAMBE). Both oxides form rotational domains. The in-plane epitaxial alignment of the two ITO(111) rotational domains to the GaN(0001) was: GaN [21-10]|| ITO_D_o_m_a_i_n_1[‐ 211]|| ITO_D_o_m_a_i_n_2[‐ 1‐12]. A growth temperature as low as 600 °C was necessary to realize a low contact resistance between ATO and the top p-GaN layer of the LED but resulted in non-optimal resistivity (3.4 × 10"− "3 Ω cm) of the ATO. The current–voltage characteristics of a processed LED, however, were comparable to that of a reference LED with a standard electron-beam evaporated ITO top contact. At short wavelengths, the optical absorption of ATO was lower than that of ITO, which is beneficial even for blue LEDs. Higher PAMBE growth temperatures resulted in lower resistive ATO but higher contact resistance to the GaN, likely by the formation of an insulating Ga_2O_3 interface layer. The ITO contact grown by PAMBE at 600 °C showed extremely low resistivity (10"−"4 Ω cm) and high crystalline and morphological quality. These proof-of-principle results may lead to the development of epitaxial TCO contacts with low resistivity, well-defined interfaces to the p-GaN to help minimize contact losses, and enable further epitaxy on top of the TCO. - Highlights: • Plasma-assisted molecular beam epitaxy of SnO_2:Sb (ATO) and In_2O_3:Sn (ITO) contacts • Working light emitting diodes processed with the ATO contact on the top p-GaN layer • Low growth temperature ensures low contact resistance (limiting interface reaction). • ITO showed significantly better structural and transport properties than ATO. • ATO showed higher optical transmission at short wavelengths than ITO.

  3. Growth of defect-free GaAsSbN axial nanowires via self-catalyzed molecular beam epitaxy

    Science.gov (United States)

    Sharma, Manish; Deshmukh, Prithviraj; Kasanaboina, Pavan; Reynolds, C. Lewis, Jr.; Liu, Yang; Iyer, Shanthi

    2017-12-01

    Bandgap reduction of 10% by incorporation of a dilute amount of N is reported for the first time, in axial GaAsSb nanowires (NWs) grown on Si (111) via Ga-assisted molecular beam epitaxy. Impact of N incorporation on the surface morphology, NW growth kinetics, and their structural and optical properties were examined. Dilute nitride NWs with Sb composition of 7 at% did not exhibit any noticeable planar defects, as revealed by the absence of satellite twin peaks in the selected-area diffraction pattern and high-resolution transmission electron microscopy imaging. Point defects were also minimal in as-grown dilute nitride NWs, as ascertained from the comparison of low-temperature photoluminescence spectra as well as the shape and shift of Raman modes, with in situ annealed NWs in different ambients. Evidence of enhanced incorporation of N was found in the NWs in situ annealed in N ambient, but with deteriorated optical quality due to simultaneous creation of N-induced defects. The lack of any noticeable defects in the as-grown GaAsSbN NWs demonstrates the advantage of the vapor-liquid-solid mechanism responsible for growth of axial configuration over the vapor-solid growth mechanism for core-shell NWs as well as their thin film counterpart, which commonly exhibit N-induced point defects.

  4. Optical and electrical properties of semiconducting BaSi2 thin films on Si substrates grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Morita, K.; Inomata, Y.; Suemasu, T.

    2006-01-01

    The electrical properties and optical absorption (OA) spectra of undoped BaSi 2 films grown by molecular beam epitaxy were investigated The electron density and mobility of BaSi 2 grown epitaxially on Si(111) were 5 x 10 15 cm -3 and 820 cm 2 /V.s at room temperature, respectively. The conduction-band discontinuity at the BaSi 2 /Si heterojunction was estimated to be 0.7 eV from the current-voltage characteristics of n-BaSi 2 /n-Si isotype diodes. OA spectra were measured on polycrystalline BaSi 2 films grown on transparent fused silica substrates with predeposited polycrystalline Si layer. The indirect absorption edge was derived to be 1.3 eV, and the optical absorption coefficient reached 10 5 cm -1 at 1.5 eV

  5. Creating Ruddlesden-Popper phases by hybrid molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Haislmaier, Ryan C.; Stone, Greg; Alem, Nasim; Engel-Herbert, Roman, E-mail: rue2@psu.edu [Department of Materials Science and Engineering, The Pennsylvania State University, University Park, Pennsylvania 16802 (United States)

    2016-07-25

    The synthesis of a 50 unit cell thick n = 4 Sr{sub n+1}Ti{sub n}O{sub 3n+1} (Sr{sub 5}Ti{sub 4}O{sub 13}) Ruddlesden-Popper (RP) phase film is demonstrated by sequentially depositing SrO and TiO{sub 2} layers in an alternating fashion using hybrid molecular beam epitaxy (MBE), where Ti was supplied using titanium tetraisopropoxide (TTIP). A detailed calibration procedure is outlined for determining the shuttering times to deposit SrO and TiO{sub 2} layers with precise monolayer doses using in-situ reflection high energy electron diffraction (RHEED) as feedback. Using optimized Sr and TTIP shuttering times, a fully automated growth of the n = 4 RP phase was carried out over a period of >4.5 h. Very stable RHEED intensity oscillations were observed over the entire growth period. The structural characterization by X-ray diffraction and high resolution transmission electron microscopy revealed that a constant periodicity of four SrTiO{sub 3} perovskite unit cell blocks separating the double SrO rocksalt layer was maintained throughout the entire film thickness with a very little amount of planar faults oriented perpendicular to the growth front direction. These results illustrate that hybrid MBE is capable of layer-by-layer growth with atomic level precision and excellent flux stability.

  6. The impact of SiC substrate treatment on the heteroepitaxial growth of GaN by plasma assisted MBE

    Energy Technology Data Exchange (ETDEWEB)

    Brown, A.S.; Kim, T.H.; Choi, S.; Morse, M.; Wu, P. [Department of Electrical and Computer Engineering, Duke University, Durham, NC 27709 (United States); Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, and INSTM via Orabona 4 -70126, Bari (Italy)

    2005-11-01

    We report on the impact of the preparation of the Si-face 4H-SiC(0001){sub Si} substrate using a Ga flash-off process on the epitaxial growth of GaN by plasma-assisted molecular beam epitaxy. The nucleation, as well as the resultant structural and morphological properties of GaN grown directly on 4H-SiC(0001){sub Si} are strongly influenced by the chemical and morphological modifications of the SiC surface induced by the Ga flash-off process. Herein we describe the impact of the specific concentration of Ga incident on the surface (quantified in terms of monolayer (ML) coverage): of 0.5 ML, 1ML and 2ML. The residual oxygen at the SiC surface, unintentional SiC nitridation and the formation of cubic GaN grains during the initial nucleation stage, are all reduced when a 2 ML Ga flash is used. All of the above factors result in structural improvement of the GaN epitaxial layers. The correlation between the SiC surface modification, the initial nucleation stage, and the GaN epitaxial layer structural quality has been articulated using x-ray photoelectron spectroscopy, X-ray diffraction, atomic force microscopy and spectroscopic ellipsometry data. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Nucleation of single GaN nanorods with diameters smaller than 35 nm by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chen, Yen-Ting; Araki, Tsutomu; Palisaitis, Justinas; Persson, Per O. Å.; Olof Holtz, Per; Birch, Jens; Chen, Li-Chyong; Chen, Kuei-Hsien; Nanishi, Yasushi

    2013-01-01

    Nucleation mechanism of catalyst-free GaN nanorod grown on Si(111) is investigated by the fabrication of uniform and narrow (<35 nm) nanorods without a pre-defined mask by molecular beam epitaxy. Direct evidences show that the nucleation of GaN nanorods stems from the sidewall of the underlying islands down to the Si(111) substrate, different from commonly reported ones on top of the island directly. Accordingly, the growth and density control of the nanorods is exploited by a “narrow-pass” approach that only narrow nanorod can be grown. The optimal size of surrounding non-nucleation area around single nanorod is estimated as 88 nm

  8. Nucleation of single GaN nanorods with diameters smaller than 35 nm by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yen-Ting [Institute of Atomic and Molecular Sciences, Academia Sinica, 10617 Taipei, Taiwan (China); Department of Physics, Chemistry and Biology (IFM), Linköping University, S-58183 Linköping (Sweden); Araki, Tsutomu [Department of Electrical and Electronic Engineering, Ritsumeikan University, 525-8577 Shiga (Japan); Palisaitis, Justinas; Persson, Per O. Å.; Olof Holtz, Per; Birch, Jens [Department of Physics, Chemistry and Biology (IFM), Linköping University, S-58183 Linköping (Sweden); Chen, Li-Chyong [Center for Condensed Matter Sciences, National Taiwan University, 10617 Taipei, Taiwan (China); Chen, Kuei-Hsien [Institute of Atomic and Molecular Sciences, Academia Sinica, 10617 Taipei, Taiwan (China); Center for Condensed Matter Sciences, National Taiwan University, 10617 Taipei, Taiwan (China); Nanishi, Yasushi [Global Innovation Research Organization, Ritsumeikan University, 525-8577 Shiga (Japan)

    2013-11-11

    Nucleation mechanism of catalyst-free GaN nanorod grown on Si(111) is investigated by the fabrication of uniform and narrow (<35 nm) nanorods without a pre-defined mask by molecular beam epitaxy. Direct evidences show that the nucleation of GaN nanorods stems from the sidewall of the underlying islands down to the Si(111) substrate, different from commonly reported ones on top of the island directly. Accordingly, the growth and density control of the nanorods is exploited by a “narrow-pass” approach that only narrow nanorod can be grown. The optimal size of surrounding non-nucleation area around single nanorod is estimated as 88 nm.

  9. Single orientation graphene synthesized on iridium thin films grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Dangwal Pandey, A., E-mail: arti.pandey@desy.de; Grånäs, E.; Shayduk, R.; Noei, H.; Vonk, V. [Deutsches Elektronen-Synchrotron (DESY), D-22607 Hamburg (Germany); Krausert, K.; Franz, D.; Müller, P.; Keller, T. F.; Stierle, A., E-mail: andreas.stierle@desy.de [Deutsches Elektronen-Synchrotron (DESY), D-22607 Hamburg (Germany); Fachbereich Physik, Universität Hamburg, D-22607 Hamburg (Germany)

    2016-08-21

    Heteroepitaxial iridium thin films were deposited on (0001) sapphire substrates by means of molecular beam epitaxy, and subsequently, one monolayer of graphene was synthesized by chemical vapor deposition. The influence of the growth parameters on the quality of the Ir films, as well as of graphene, was investigated systematically by means of low energy electron diffraction, x-ray reflectivity, x-ray diffraction, Auger electron spectroscopy, scanning electron microscopy, and atomic force microscopy. Our study reveals (111) oriented iridium films with high crystalline quality and extremely low surface roughness, on which the formation of large-area epitaxial graphene is achieved. The presence of defects, like dislocations, twins, and 30° rotated domains in the iridium films is also discussed. The coverage of graphene was found to be influenced by the presence of 30° rotated domains in the Ir films. Low iridium deposition rates suppress these rotated domains and an almost complete coverage of graphene was obtained. This synthesis route yields inexpensive, air-stable, and large-area graphene with a well-defined orientation, making it accessible to a wider community of researchers for numerous experiments or applications, including those which use destructive analysis techniques or irreversible processes. Moreover, this approach can be used to tune the structural quality of graphene, allowing a systematic study of the influence of defects in various processes like intercalation below graphene.

  10. Unusual photoluminescence properties of vertically aligned InN nanorods grown by plasma-assisted molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Shen, C.H.; Chen, H.Y.; Lin, H.W.; Wu, C.Y.; Gwo, S.; Klochikhin, A.A.; Davydov, V.Yu.

    2007-01-01

    We report the unusual photoluminescence (PL) properties of vertically aligned InN nanorod arrays grown on Si(111) with a Si 3 N 4 buffer layer. The optimum growth conditions of InN nanorods are obtained by controlling the III/V ratio and the growth temperature. Structural characterization by X-ray diffraction and scanning electron microscopy indicates that individual nanorods are wurtzite InN single crystals with the growth direction along the c-axis. Near-infrared PL from InN nanorods is clearly observed at room temperature. However, in comparison to the PL from InN epitaxial films, the PL from InN nanorods is significantly lower in efficiency and exhibit anomalous temperature dependence. We propose that these unusual PL properties are results of considerable structural disorder (especially for the low-temperature grown InN nanorods) and strong surface electron accumulation effect. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Influence of anisotropic strain relaxation on the magnetoresistance properties of epitaxial Fe3O4 (110) films

    Science.gov (United States)

    Sofin, R. G. S.; Wu, Han-Chun; Ramos, R.; Arora, S. K.; Shvets, I. V.

    2015-11-01

    We studied Fe3O4 (110) films grown epitaxially on MgO (110) substrates using oxygen plasma assisted molecular beam epitaxy. The films with thickness of 30-200 nm showed anisotropic in-plane partial strain relaxation. Magneto resistance (MR) measurements with current and magnetic field along ⟨001⟩ direction showed higher MR compared to ⟨1 ¯ 10 ⟩ direction. Maximum value of MR was measured at Verwey transition temperature for both directions. We explain the observed anisotropy in the MR on the basis of the effects of anisotropic misfit strain, and the difference between the density of antiferromagnetically coupled antiphase boundaries formed along ⟨001⟩ and ⟨1 ¯ 10 ⟩ crystallographic directions, suggesting the dependence of spin polarisation on the anisotropic strain relaxation along the said crystallographic directions.

  12. Growth kinetics and properties of ZnO/ZnMgO heterostructures grown by radical-source molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Ivanov, S.V. [Institute of Semiconductor Technology, Technical University Braunschweig, Hans-Sommer-Str. 66, 38106 Braunschweig (Germany); Ioffe Physico-Technical Institute, Polytekhnicheskaya Street 26, 194021 St. Petersburg (Russian Federation); El-Shaer, A.; Bakin, A.; Waag, A. [Institute of Semiconductor Technology, Technical University Braunschweig, Hans-Sommer-Str. 66, 38106 Braunschweig (Germany); Shubina, T.V.; Listoshin, S.B. [Ioffe Physico-Technical Institute, Polytekhnicheskaya Street 26, 194021 St. Petersburg (Russian Federation)

    2007-07-01

    A phenomenological approach to quantitative description of Zn(Mg)O growth by radical-source molecular beam epitaxy, based on the experimental studies of RHEED intensity oscillations, has been developed. It allows a precise control of growth rate, composition and stoichiometry at any growth temperature, Along with optimization of a growth initiation procedure on a c-sapphire, it is necessary condition for fabrication of high quality ZnO epilayers and ZnO/ZnMgO heterostructures in a wide Mg composition range. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Superconducting thin films of As-free pnictide LaPd{sub 1-x}Sb{sub 2} grown by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Retzlaff, Reiner; Buckow, Alexander; Kurian, Jose; Alff, Lambert [Institute of Materials Science, Technische Universitaet Darmstadt, Petersenstr. 23, 64287 Darmstadt (Germany)

    2013-07-01

    We use reactive molecular beam epitaxy as synthesis technique for the search of arsenic free pnictide superconductors. Epitaxial thin films of LaPd{sub 1-x}Sb{sub 2} were grown on (100) MgO substrates from elemental sources by simultaneous evaporation of high purity La, Pd and Sb metals by e-gun. LaPd{sub 1-x}Sb{sub 2} belongs to a novel class of pnictide superconductors with a peculiar pnictide square net layer. Previously, we have reported epitaxial growth of isostructural Bi based compounds. The substitution of Bi by Sb leads to thin films with metallic behavior and room temperature resistivity of about 85 μΩ cm. The highest observed transition temperature T{sub c} inLaPd{sub 1-x}Sb{sub 2} is 3.1 K and does not depend on x. We discuss strategies to increase T{sub c} in this pnictide subfamily.

  14. CeCo5 thin films with perpendicular anisotropy grown by molecular beam epitaxy

    Science.gov (United States)

    Sharma, S.; Hildebrandt, E.; Major, M.; Komissinskiy, P.; Radulov, I.; Alff, L.

    2018-04-01

    Buffer-free, highly textured (0 0 1) oriented CeCo5 thin films showing perpendicular magnetic anisotropy were synthesized on (0 0 1) Al2O3 substrates by molecular beam epitaxy. Ce exists in a mixture of Ce3+ and Ce4+ valence states as shown by X-ray photoelectron spectroscopy. The first anisotropy constant, K1, as measured by torque magnetometry was 0.82 MJ/m3 (8.2 ×106erg /cm3) . A maximum coercivity of 5.16 kOe with a negative temperature coefficient of -0.304%K-1 and a magnetization of 527.30 emu/cm3 was measured perpendicular to the film plane at 5 K. In addition, a large anisotropy of the magnetic moment of 15.5% was observed. These magnetic parameters make CeCo5 a potential candidate material for spintronic and magnetic recording applications.

  15. Three-dimensional lattice rotation in GaAs nanowire growth on hydrogen-silsesquioxane covered GaAs (001) using molecular beam epitaxy

    Science.gov (United States)

    Tran, Dat Q.; Pham, Huyen T.; Higashimine, Koichi; Oshima, Yoshifumi; Akabori, Masashi

    2018-05-01

    We report on crystallographic behaviors of inclined GaAs nanowires (NWs) self-crystallized on GaAs (001) substrate. The NWs were grown on hydrogen-silsesquioxane (HSQ) covered substrates using molecular beam epitaxy (MBE). Commonly, the epitaxial growth of GaAs B (B-polar) NWs is prominently observed on GaAs (001); however, we yielded a remarkable number of epitaxially grown GaAs A (A-polar) NWs in addition to the majorly obtained B-polar NWs. Such NW orientations are always accompanied by a typical inclined angle of 35° from (001) plane. NWs with another inclined angle of 74° were additionally observed and attributed to be -oriented, not in direct epitaxial relation with the substrate. Such 74° NWs' existence is related to first-order three-dimensional (3D) lattice rotation taking place at the very beginning of the growth. It turns out that spatially 60° lattice rotation around directions at GaAs seeds is essentially in charge of A- and B-polar 74° NWs. Transmission electron microscope observations reveal a high density of twinning in the B-polar NWs and twin-free characteristic in the A-polar NWs.

  16. Low-relaxation spin waves in laser-molecular-beam epitaxy grown nanosized yttrium iron garnet films

    Energy Technology Data Exchange (ETDEWEB)

    Lutsev, L. V., E-mail: l-lutsev@mail.ru; Korovin, A. M.; Bursian, V. E.; Gastev, S. V.; Fedorov, V. V.; Suturin, S. M.; Sokolov, N. S. [Ioffe Physical-Technical Institute, Russian Academy of Sciences, 194021 St. Petersburg (Russian Federation)

    2016-05-02

    Synthesis of nanosized yttrium iron garnet (Y{sub 3}Fe{sub 5}O{sub 12}, YIG) films followed by the study of ferromagnetic resonance (FMR) and spin wave propagation in these films is reported. The YIG films were grown on gadolinium gallium garnet substrates by laser molecular beam epitaxy. It has been shown that spin waves propagating in YIG deposited at 700 °C have low damping. At the frequency of 3.29 GHz, the spin-wave damping parameter is less than 3.6 × 10{sup −5}. Magnetic inhomogeneities of the YIG films give the main contribution to the FMR linewidth. The contribution of the relaxation processes to the FMR linewidth is as low as 1.2%.

  17. Molecular Beam Epitaxy Growth of High Crystalline Quality LiNbO3

    Science.gov (United States)

    Tellekamp, M. Brooks; Shank, Joshua C.; Goorsky, Mark S.; Doolittle, W. Alan

    2016-12-01

    Lithium niobate is a multi-functional material with wide reaching applications in acoustics, optics, and electronics. Commercial applications for lithium niobate require high crystalline quality currently limited to bulk and ion sliced material. Thin film lithium niobate is an attractive option for a variety of integrated devices, but the research effort has been stagnant due to poor material quality. Both lattice matched and mismatched lithium niobate are grown by molecular beam epitaxy and studied to understand the role of substrate and temperature on nucleation conditions and material quality. Growth on sapphire produces partially coalesced columnar grains with atomically flat plateaus and no twin planes. A symmetric rocking curve shows a narrow linewidth with a full width at half-maximum (FWHM) of 8.6 arcsec (0.0024°), which is comparable to the 5.8 arcsec rocking curve FWHM of the substrate, while the film asymmetric rocking curve is 510 arcsec FWHM. These values indicate that the individual grains are relatively free of long-range disorder detectable by x-ray diffraction with minimal measurable tilt and twist and represents the highest structural quality epitaxial material grown on lattice mismatched sapphire without twin planes. Lithium niobate is also grown on lithium tantalate producing high quality coalesced material without twin planes and with a symmetric rocking curve of 193 arcsec, which is nearly equal to the substrate rocking curve of 194 arcsec. The surface morphology of lithium niobate on lithium tantalate is shown to be atomically flat by atomic force microscopy.

  18. Stimulated emission at 2.8 μm from Hg-based quantum well structures grown by photoassisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Giles, N.C.; Yang, Z.; Han, J.W.; Cook, J.W. Jr.; Schetzina, J.F.

    1990-01-01

    We report the first observation of stimulated emission from Hg-based quantum well structures in which the active region is a HgCdTe superlattice. The laser structures were grown on (100) CdZnTe substrates by photoassisted molecular beam epitaxy. Cleaved laser cavities were optically pumped using the 1.06 μm output from a continuous wave Nd:YAG laser. Stimulated emission cavity modes were seen at cw laser power densities as low as 3.4 kW/cm 2 and at temperatures ≥60 K

  19. Epitaxial Sb-doped SnO{sub 2} and Sn-doped In{sub 2}O{sub 3} transparent conducting oxide contacts on GaN-based light emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Tsai, Min-Ying [Department of Electrical and Computer Engineering, University of California, Santa Barbara, CA 93106 (United States); Bierwagen, Oliver, E-mail: bierwagen@pdi-berlin.de [Materials Department, University of California, Santa Barbara, CA 93106 (United States); Paul-Drude-Insitut für Festkörperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany); Speck, James S. [Materials Department, University of California, Santa Barbara, CA 93106 (United States)

    2016-04-30

    We demonstrate the growth of epitaxial (100)-oriented, rutile Sb-doped SnO{sub 2} (ATO) and (111)-oriented, cubic Sn-doped In{sub 2}O{sub 3} (ITO) transparent conducting oxide (TCO) contacts on top of an InGaN/GaN(0001) light emitting diode (LED) by plasma-assisted molecular beam epitaxy (PAMBE). Both oxides form rotational domains. The in-plane epitaxial alignment of the two ITO(111) rotational domains to the GaN(0001) was: GaN [21-10]|| ITO{sub Domain1}[‐ 211]|| ITO{sub Domain2}[‐ 1‐12]. A growth temperature as low as 600 °C was necessary to realize a low contact resistance between ATO and the top p-GaN layer of the LED but resulted in non-optimal resistivity (3.4 × 10{sup −} {sup 3} Ω cm) of the ATO. The current–voltage characteristics of a processed LED, however, were comparable to that of a reference LED with a standard electron-beam evaporated ITO top contact. At short wavelengths, the optical absorption of ATO was lower than that of ITO, which is beneficial even for blue LEDs. Higher PAMBE growth temperatures resulted in lower resistive ATO but higher contact resistance to the GaN, likely by the formation of an insulating Ga{sub 2}O{sub 3} interface layer. The ITO contact grown by PAMBE at 600 °C showed extremely low resistivity (10{sup −4} Ω cm) and high crystalline and morphological quality. These proof-of-principle results may lead to the development of epitaxial TCO contacts with low resistivity, well-defined interfaces to the p-GaN to help minimize contact losses, and enable further epitaxy on top of the TCO. - Highlights: • Plasma-assisted molecular beam epitaxy of SnO{sub 2}:Sb (ATO) and In{sub 2}O{sub 3}:Sn (ITO) contacts • Working light emitting diodes processed with the ATO contact on the top p-GaN layer • Low growth temperature ensures low contact resistance (limiting interface reaction). • ITO showed significantly better structural and transport properties than ATO. • ATO showed higher optical transmission at short

  20. Characterization of structural defects in SnSe2 thin films grown by molecular beam epitaxy on GaAs (111)B substrates

    Science.gov (United States)

    Tracy, Brian D.; Li, Xiang; Liu, Xinyu; Furdyna, Jacek; Dobrowolska, Margaret; Smith, David J.

    2016-11-01

    Tin selenide thin films have been grown by molecular beam epitaxy on GaAs (111)B substrates at a growth temperature of 150 °C, and a microstructural study has been carried out, primarily using the technique of transmission electron microscopy. The Se:Sn flux ratio during growth was systematically varied and found to have a strong impact on the resultant crystal structure and quality. Low flux ratios (Se:Sn=3:1) led to defective films consisting primarily of SnSe, whereas high flux ratios (Se:Sn>10:1) gave higher quality, single-phase SnSe2. The structure of the monoselenide films was found to be consistent with the Space Group Pnma with the epitaxial growth relationship of [011]SnSe// [ 1 1 bar 0 ] GaAs, while the diselenide films were consistent with the Space Group P 3 bar m1 , and had the epitaxial growth relationship [ 2 1 bar 1 bar 0 ]SnSe2// [ 1 1 bar 0 ] GaAs.

  1. InAs/GaAs(001) molecular beam epitaxial growth in a scanning tunnelling microscope

    International Nuclear Information System (INIS)

    Bastiman, F; Cullis, A G; Hopkinson, M

    2010-01-01

    The growth on InAs on GaAs(001) has attracted great interest and investigation over the past few decades primarily due to the opto-electronic properties of the self-assembled quantum dot (QD) arrays formed. Scanning tunnelling microscopy (STM) has been extensively employed to investigate the complicated and spontaneous mechanism of QD growth via molecular beam epitaxy (MBE). Classically, combined MBE-STM requires quenching the sample after growth and transferring it to an arsenic-free high vacuum chamber which houses the STM system. However, without access to the phenomenon as a dynamic process a basic understanding remains elusive. In order to access surface dynamics, MBE and STM must be combined into a single element. The system herein discussed allows the operation of MBE sources in an STM system relating to InAs/GaAs(001) surfaces.

  2. Molecular Beam Epitaxy Growth of Transition Metal Dichalcogenides

    Science.gov (United States)

    Yue, Ruoyu

    The exponential growth of Si-based technology has finally reached its limit, and a new generation of devices must be developed to continue scaling. A unique class of materials, transition metal dichalcogenides (TMD), have attracted great attention due to their remarkable optical and electronic properties at the atomic thickness scale. Over the past decade, enormous efforts have been put into TMD research for application in low-power devices. Among these studies, a high-quality TMD synthesis method is essential. Molecular beam epitaxy (MBE) can enable high-quality TMD growth by combining high purity elemental sources and an ultra-high vacuum growth environment, together with the back-end-of-line compatible growth temperatures. Although many TMD candidates have been grown by MBE with promising microstructure, the limited grain size (improvement in grain size was achieved through this study. Results from both experiment and simulation showed that reducing the growth rate, enabled by high growth temperature and low metal flux, is vital to nucleation density control. Meanwhile, providing a chalcogen-rich growth environment will promote larger grain lateral growth by suppressing vertical growth. Applying the knowledge learned from the nucleation study, we sucessfully integrated the MBE-grown WSe2 into Si complementary metal-oxide-semiconductor (CMOS) compatible field-effect transistors (FETs). Excellent transport properties, such as field effect hole mobilities (40 cm 2/V·s) with orders of magnitude improvement over the reported values of MBE-grown TMDs, are shown. These studies provide a comprehensive understanding of the MBE synthesis of TMDs and devices, indicating the great potential of integrating TMDs into CMOS process flows for the future electronics.

  3. Monolithic integration of AlGaInP laser diodes on SiGe/Si substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Kwon, O.; Boeckl, J. J.; Lee, M. L.; Pitera, A. J.; Fitzgerald, E. A.; Ringel, S. A.

    2006-01-01

    Room temperature operation of visible AlGaInP laser diodes epitaxially integrated on Si was demonstrated. Compressively strained laser heterostructures were grown by molecular beam epitaxy (MBE) on low dislocation density SiGe/Si substrates, where the threading dislocation density of the top relaxed Ge layers was measured in the range of 2x10 6 cm -2 . A threshold current density of J th ∼1.65 kA/cm 2 for the as-cleaved, gain-guided AlGaInP laser grown on SiGe/Si was obtained at the peak emission wavelength of 680 nm under pulsed mode current injection. These results show that not only can high quality AlGaInP materials grown by MBE be achieved on Si via relaxed SiGe interlayers, but the prototype demonstration of laser diode operation on Si illustrates that very defect sensitive optoelectronics in the III-P system can indeed be integrated with Si substrates by heteroepitaxial methods

  4. Improving surface smoothness and photoluminescence of CdTe(1 1 1)A on Si(1 1 1) substrates grown by molecular beam epitaxy using Mn atoms

    International Nuclear Information System (INIS)

    Wang, Jyh-Shyang; Tsai, Yu-Hsuan; Chen, Chang-Wei; Dai, Zi-Yuan; Tong, Shih-Chang; Yang, Chu-Shou; Wu, Chih-Hung; Yuan, Chi-Tsu; Shen, Ji-Lin

    2014-01-01

    Highlights: • CdTe(1 1 1)A epilayers were grown on Si(1 1 1) substrates by molecular beam epitaxy. • We report an enhanced growth using Mn atoms. • The significant improvements in surface quality and optical properties were found. - Abstract: This work demonstrates an improvement of the molecular beam epitaxial growth of CdTe(1 1 1)A epilayer on Si(1 1 1) substrates using Mn atoms. The reflection high-energy electron diffraction patterns show that the involvement of some Mn atoms in the growth of CdTe(1 1 1)A is even more effective than the use of a buffer layer with a smooth surface for forming good CdTe(1 1 1)A epilayers. 10 K Photoluminescence spectra show that the incorporation of only 2% Mn significantly reduced the intensity of defect-related emissions and considerably increased the integral intensity of exciton-related emissions by a large factor of about 400

  5. Epitaxial GaN films by hyperthermal ion-beam nitridation of Ga droplets

    Energy Technology Data Exchange (ETDEWEB)

    Gerlach, J. W.; Ivanov, T.; Neumann, L.; Hoeche, Th.; Hirsch, D.; Rauschenbach, B. [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), D-04318 Leipzig (Germany)

    2012-06-01

    Epitaxial GaN film formation on bare 6H-SiC(0001) substrates via the process of transformation of Ga droplets into a thin GaN film by applying hyperthermal nitrogen ions is investigated. Pre-deposited Ga atoms in well defined amounts form large droplets on the substrate surface which are subsequently nitridated at a substrate temperature of 630 Degree-Sign C by a low-energy nitrogen ion beam from a constricted glow-discharge ion source. The Ga deposition and ion-beam nitridation process steps are monitored in situ by reflection high-energy electron diffraction. Ex situ characterization by x-ray diffraction and reflectivity techniques, Rutherford backscattering spectrometry, and electron microscopy shows that the thickness of the resulting GaN films depends on the various amounts of pre-deposited gallium. The films are epitaxial to the substrate, exhibit a mosaic like, smooth surface topography and consist of coalesced large domains of low defect density. Possible transport mechanisms of reactive nitrogen species during hyperthermal nitridation are discussed and the formation of GaN films by an ion-beam assisted process is explained.

  6. Ion-beam doping of GaAs with low-energy (100 eV) C + using combined ion-beam and molecular-beam epitaxy

    Science.gov (United States)

    Iida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV-30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C+) irradiation during MBE growth of GaAs was carried out at substrate temperatures Tg between 500 and 590 °C. C+-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. CAs acceptor-related emissions such as ``g,'' [g-g], and [g-g]β are observed and their spectra are significantly changed with increasing C+ beam current density Ic. PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for Tg as low as 500 °C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C+ with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  7. Ion-beam doping of GaAs with low-energy (100 eV) C(+) using combined ion-beam and molecular-beam epitaxy

    Science.gov (United States)

    Lida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-Ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV - 30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C(+)) irradiation during MBE growth of GaAs was carried out at substrate temperatures T(sub g) between 500 and 590 C. C(+)-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. C(sub As) acceptor-related emissions such as 'g', (g-g), and (g-g)(sub beta) are observed and their spectra are significantly changed with increasing C(+) beam current density I(sub c). PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for T(sub g) as low as 500 C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C(+) with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  8. Molecular-Beam Epitaxially Grown MgB2 Thin Films and Superconducting Tunnel Junctions

    Directory of Open Access Journals (Sweden)

    Jean-Baptiste Laloë

    2011-01-01

    Full Text Available Since the discovery of its superconducting properties in 2001, magnesium diboride has generated terrific scientific and engineering research interest around the world. With a of 39 K and two superconducting gaps, MgB2 has great promise from the fundamental point of view, as well as immediate applications. Several techniques for thin film deposition and heterojunction formation have been established, each with its own advantages and drawbacks. Here, we will present a brief overview of research based on MgB2 thin films grown by molecular beam epitaxy coevaporation of Mg and B. The films are smooth and highly crystalline, and the technique allows for virtually any heterostructure to be formed, including all-MgB2 tunnel junctions. Such devices have been characterized, with both quasiparticle and Josephson tunneling reported. MgB2 remains a material of great potential for a multitude of further characterization and exploration research projects and applications.

  9. In situ monitoring of the surface reconstructions on InP(001) prepared by molecular beam epitaxy

    Science.gov (United States)

    Ozanyan, K. B.; Parbrook, P. J.; Hopkinson, M.; Whitehouse, C. R.; Sobiesierski, Z.; Westwood, D. I.

    1997-07-01

    Reflection anisotropy spectroscopy (RAS) and reflection high-energy electron diffraction (RHEED) were applied to study clean InP(001) surfaces prepared by molecular beam epitaxy (MBE). At phosphorus beam equivalent pressures (BEPs) between 3.5×10-7 and 3.5×10-6 mbar and substrate temperature (Ts) falling from 590 to 150 °C, (2×4), (2×1), (2×2), and c(4×4) RHEED patterns are observed. The main RAS features, observed at 1.7-1.9 and 2.6-2.9 eV are assigned to In and P dimers, respectively. The above reconstruction sequence is associated closely with transformations identified in RAS signatures that are induced by progressively increasing the P surface coverage. The RAS results also imply the existence of (2×4)α and (2×4)β phases. A surface-phase diagram for MBE-grown (001) InP, in the whole range of Ts and phosphorus BEPs is proposed.

  10. Positron annihilation studies of defects in molecular beam epitaxy grown III-V layers

    International Nuclear Information System (INIS)

    Umlor, M.T.; Keeble, D.J.; Cooke, P.W.

    1994-01-01

    A summary of recent positron annihilation experiments on molecular beam epitaxy (MBE) grown III-V layers is Presented. Variable energy positron beam measurements on Al 0.32 Ga 0.68 As undoped and Si doped have been completed. Positron trapping at a open volume defect in Al 0.32 Ga 0.68 :Si for temperatures from 300 to 25 K in the dark was observed. The positron trap was lost after 1.3 eV illumination at 25K. These results indicate an open volume defect is associated with the local structure of the deep donor state of the DX center. Stability of MBE GaAs to thermal annealing war, investigated over the temperature range of 230 to 700 degrees C, Proximity wafer furnace anneals in flowing argon were used, Samples grown above 450 degrees C were shown to be stable but for sample below this temperature an anneal induced vacancy related defect was produced for anneals between 400 and 500 degrees C. The nature of the defect was shown to be different for material grown at 350 and 230 degrees C. Activation energies of 2.5 eV to 2.3 eV were obtained from isochronal anneal experiments for samples grown at 350 and 230 degrees C, respectively

  11. Physical properties and band structure of reactive molecular beam epitaxy grown oxygen engineered HfO{sub 2{+-}x}

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institute of Materials Science, Technische Universitaet Darmstadt, 64287 Darmstadt (Germany)

    2012-12-01

    We have conducted a detailed thin film growth structure of oxygen engineered monoclinic HfO{sub 2{+-}x} grown by reactive molecular beam epitaxy. The oxidation conditions induce a switching between (111) and (002) texture of hafnium oxide. The band gap of oxygen deficient hafnia decreases with increasing amount of oxygen vacancies by more than 1 eV. For high oxygen vacancy concentrations, defect bands form inside the band gap that induce optical transitions and p-type conductivity. The resistivity changes by several orders of magnitude as a function of oxidation conditions. Oxygen vacancies do not give rise to ferromagnetic behavior.

  12. Molecular beam epitaxy of CdSe epilayers and quantum wells on ZnTe substrate

    International Nuclear Information System (INIS)

    Park, Y.M.; Andre, R.; Kasprzak, J.; Dang, Le Si; Bellet-Amalric, E.

    2007-01-01

    We have grown zinc-blende cadmium selenide (CdSe) epilayers on ZnTe-(0 0 1) substrate by molecular beam epitaxy (MBE). By controlling the substrate temperature and beam-equivalent pressure (BEP) ratio, of Se to Cd, we determined the most suitable growth condition based on reflection high-energy electron diffraction (RHEED) pattern. At a substrate temperature of 280 deg. C and a BEP ratio of 3.6, the RHEED pattern showed a V-like feature, indicating a rough surface with facets. As the substrate temperature was increased to 360 deg. C at the same BEP ratio, a V-like RHEED pattern moved to a clear streaky pattern. Moreover when the BEP ratio was increased to 4.8 at 360 deg. C of substrate temperature, a clear (2 x 1) reconstruction of the CdSe layer was observed. A CdSe/CdMgSe single quantum well structure was also grown on ZnTe-(0 0 1) substrate by MBE. The RHEED pattern showed a clear (2 x 1) surface reconstruction during the growth. By photoluminescence measurement, a good optical property of the structure was obtained

  13. Growth, structural, and electrical properties of germanium-on-silicon heterostructure by molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Aheli Ghosh

    2017-09-01

    Full Text Available The growth, morphological, and electrical properties of thin-film Ge grown by molecular beam epitaxy on Si using a two-step growth process were investigated. High-resolution x-ray diffraction analysis demonstrated ∼0.10% tensile-strained Ge epilayer, owing to the thermal expansion coefficient mismatch between Ge and Si, and negligible epilayer lattice tilt. Micro-Raman spectroscopic analysis corroborated the strain-state of the Ge thin-film. Cross-sectional transmission electron microscopy revealed the formation of 90  ° Lomer dislocation network at Ge/Si heterointerface, suggesting the rapid and complete relaxation of Ge epilayer during growth. Atomic force micrographs exhibited smooth surface morphology with surface roughness < 2 nm. Temperature dependent Hall mobility measurements and the modelling thereof indicated that ionized impurity scattering limited carrier mobility in Ge layer. Capacitance- and conductance-voltage measurements were performed to determine the effect of epilayer dislocation density on interfacial defect states (Dit and their energy distribution. Finally, extracted Dit values were benchmarked against published Dit data for Ge MOS devices, as a function of threading dislocation density within the Ge layer. The results obtained were comparable with Ge MOS devices integrated on Si via alternative buffer schemes. This comprehensive study of directly-grown epitaxial Ge-on-Si provides a pathway for the development of Ge-based electronic devices on Si.

  14. Growth of Pb(Ti,Zr)O 3 thin films by metal-organic molecular beam epitaxy

    Science.gov (United States)

    Avrutin, V.; Liu, H. Y.; Izyumskaya, N.; Xiao, B.; Özgür, Ü.; Morkoç, H.

    2009-02-01

    Single-crystal Pb(Zr xTi 1-x)O 3 thin films have been grown on (0 0 1) SrTiO 3 and SrTiO 3:Nb substrates by molecular beam epitaxy using metal-organic source of Zr and two different sources of reactive oxygen—RF plasma and hydrogen-peroxide sources. The same growth modes and comparable structural properties were observed for the films grown with both oxygen sources, while the plasma source allowed higher growth rates. The films with x up to 0.4 were single phase, while attempts to increase x beyond gave rise to the ZrO 2 second phase. The effects of growth conditions on growth modes, Zr incorporation, and phase composition of the Pb(Zr xTi 1-x)O 3 films are discussed. Electrical and ferroelectric properties of the Pb(Zr xTi 1-x)O 3 films of ~100 nm in thickness grown on SrTiO 3:Nb were studied using current-voltage, capacitance-voltage, and polarization-field measurements. The single-phase films show low leakage currents and large breakdown fields, while the values of remanent polarization are low (around 5 μC/cm 2). It was found that, at high sweep fields, the contribution of the leakage current to the apparent values of remanent polarization can be large, even for the films with large electrical resistivity (˜10 8-10 9 Ω cm at an electric filed of 1 MV/cm). The measured dielectric constant ranges from 410 to 260 for Pb(Zr 0.33Ti 0.67)O 3 and from 313 to 213 for Pb(Zr 0.2Ti 0.8)O 3 in the frequency range from 100 to 1 MHz.

  15. Molecular beam epitaxial growth and characterization of GaSb layers on GaAs (0 0 1) substrates

    International Nuclear Information System (INIS)

    Li Yanbo; Zhang Yang; Zhang Yuwei; Wang Baoqiang; Zhu Zhanping; Zeng Yiping

    2012-01-01

    We report on the growth of GaSb layers on GaAs (0 0 1) substrates by molecular beam epitaxy (MBE). We investigate the influence of the GaAs substrate surface treatment, growth temperature, and V/III flux ratios on the crystal quality and the surface morphology of GaSb epilayers. Comparing to Ga-rich GaAs surface preparation, the Sb-rich GaAs surface preparation can promote the growth of higher-quality GaSb material. It is found that the crystal quality, electrical properties, and surface morphology of the GaSb epilayers are highly dependent on the growth temperature, and Sb/Ga flux ratios. Under the optimized growth conditions, we demonstrate the epitaxial growth of high quality GaSb layers on GaAs substrates. The p-type nature of the unintentionally doped GaSb is studied and from the growth conditions dependence of the hole concentrations of the GaSb, we deduce that the main native acceptor in the GaSb is the Ga antisite (Ga Sb ) defect.

  16. Crossed molecular beams

    International Nuclear Information System (INIS)

    Lee, Y.T.

    1976-01-01

    Research activities with crossed molecular beams at Lawrence Berkeley Laboratory during 1976 are described. Topics covered include: scattering of Ar*, Kr*, with Xe; metastable rare gas interactions, He* + H 2 ; an atomic and molecular halogen beam source; a crossed molecular beam study of the Cl + Br 2 → BrCl + Br reaction; O( 3 P) reaction dynamics, development of the high pressure plasma beam source; energy randomization in the Cl + C 2 H 3 Br → Br + C 2 H 3 Cl reaction; high resolution photoionization studies of NO and ICl; photoionization of (H 2 O)/sub n/ and (NH 3 ) 2 ; photoionization mass spectroscopy of NH 3 + and O 3 + ; photo fragmentation of bromine; and construction of chemiluminescence-laser fluorescence crossed molecular beam machine

  17. Molecular beam epitaxy of GeTe-Sb2Te3 phase change materials studied by X-ray diffraction

    International Nuclear Information System (INIS)

    Shayduk, Roman

    2010-01-01

    The integration of phase change materials into semiconductor heterostructures may lead to the development of a new generation of high density non-volatile phase change memories. Epitaxial phase change materials allow to study the detailed structural changes during the phase transition and to determine the scaling limits of the memory. This work is dedicated to the epitaxial growth of Ge-Sb-Te phase change alloys on GaSb(001). We deposit Ge-Sb-Te (GST) films on GaSb(001) substrates by means of molecular beam epitaxy (MBE). The film orientation and lattice constant evolution is determined in real time during growth using grazing incidence X-ray diffraction (GID). The nucleation stage of the growth is studied in situ using reflection high energy electron diffraction (RHEED). Four growth regimes of GST on GaSb(001) were observed: amorphous, polycrystalline, incubated epitaxial and direct epitaxial. Amorphous film grows for substrate temperatures below 100 C. For substrate temperatures in the range 100-160 C, the film grows in polycrystalline form. Incubated epitaxial growth is observed at temperatures from 180 to 210 C. This growth regime is characterized by an initial 0.6nm thick amorphous layer formation, which crystallizes epitaxially as the film thickness increases. The determined lattice constant of the films is 6.01 A, very close to that of the metastable GST phase. The films predominantly possess an epitaxial cube-on-cube relationship. At higher temperatures the films grow epitaxially, however the growth rate is rapidly decreasing with temperature. At temperatures above 270 C the growth rate is zero. The composition of the grown films is close to 2:2:5 for Ge, Sb and Te, respectively. The determined crystal structure of the films is face centered cubic (FCC) with a rhombohedral distortion. The analysis of X-ray peak widths gives a value for the rhombohedral angle of 89.56 . We observe two types of reflections in reciprocal space indicating two FCC sublattices in

  18. Influence of metallic surface states on electron affinity of epitaxial AlN films

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Monu; Krishna, Shibin; Aggarwal, Neha [Advanced Materials and Devices Division, CSIR-National Physical Laboratory, Dr. K.S. Krishnan Marg, New Delhi110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Gupta, Govind, E-mail: govind@nplindia.org [Advanced Materials and Devices Division, CSIR-National Physical Laboratory, Dr. K.S. Krishnan Marg, New Delhi110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India)

    2017-06-15

    The present article investigates surface metallic states induced alteration in the electron affinity of epitaxial AlN films. AlN films grown by plasma-assisted molecular beam epitaxy system with (30% and 16%) and without metallic aluminium on the surface were probed via photoemission spectroscopic measurements. An in-depth analysis exploring the influence of metallic aluminium and native oxide on the electronic structure of the films is performed. It was observed that the metallic states pinned the Fermi Level (FL) near valence band edge and lead to the reduction of electron affinity (EA). These metallic states initiated charge transfer and induced changes in surface and interface dipoles strength. Therefore, the EA of the films varied between 0.6–1.0 eV due to the variation in contribution of metallic states and native oxide. However, the surface barrier height (SBH) increased (4.2–3.5 eV) adversely due to the availability of donor-like surface states in metallic aluminium rich films.

  19. Epitaxial growth and magnetic properties of Fe4-xMnxN thin films grown on MgO(0 0 1) substrates by molecular beam epitaxy

    Science.gov (United States)

    Anzai, Akihito; Takata, Fumiya; Gushi, Toshiki; Toko, Kaoru; Suemasu, Takashi

    2018-05-01

    Epitaxial Fe4-xMnxN (x = 0, 1, 2, 3, and 4) thin films were successfully grown on MgO(0 0 1) single-crystal substrates by molecular beam epitaxy, and their crystalline qualities and magnetic properties were investigated. It was found that the lattice constants of Fe4-xMnxN obtained from X-ray diffraction measurement increased with the Mn content. The ratio of the perpendicular lattice constant c to the in-plane lattice constant a of Fe4-xMnxN was found to be about 0.99 at x ⩾ 2. The magnetic properties evaluated using a vibrating sample magnetometer at room temperature revealed that all of the Fe4-xMnxN films exhibited ferromagnetic behavior regardless of the value of x. In addition, the saturation magnetization decreased non-linearly as the Mn content increased. Finally, FeMn3N and Mn4N exhibited perpendicular anisotropy and their uniaxial magnetic anisotropy energies were 2.2 × 105 and 7.5 × 105 erg/cm3, respectively.

  20. InAs/GaAs quantum dot lasers with InGaP cladding layer grown by solid-source molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Yeh, N.-T.; Liu, W.-S.; Chen, S.-H.; Chiu, P.-C.; Chyi, J.-I.

    2002-01-01

    This letter presents the lasing properties of InAs/GaAs quantum dot lasers with InGaP cladding layers grown by solid-source molecular-beam epitaxy. These Al-free lasers exhibit a threshold current density of 138 A/cm 2 , an internal loss of 1.35 cm -1 , and an internal quantum efficiency of 31% at room temperature. At a low temperature, a very high characteristic temperature of 425 K and very low threshold current density of 30 A/cm 2 are measured

  1. Non-adiabatic ab initio molecular dynamics of supersonic beam epitaxy of silicon carbide at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Taioli, Simone [Interdisciplinary Laboratory for Computational Science, FBK-Center for Materials and Microsystems and University of Trento, Trento (Italy); Department of Physics, University of Trento, Trento (Italy); Istituto Nazionale di Fisica Nucleare, Sezione di Perugia (Italy); Department of Chemistry, University of Bologna, Bologna (Italy); Garberoglio, Giovanni [Interdisciplinary Laboratory for Computational Science, FBK-Center for Materials and Microsystems and University of Trento, Trento (Italy); Simonucci, Stefano [Interdisciplinary Laboratory for Computational Science, FBK-Center for Materials and Microsystems and University of Trento, Trento (Italy); Istituto Nazionale di Fisica Nucleare, Sezione di Perugia (Italy); Department of Physics, University of Camerino, Camerino (Italy); Beccara, Silvio a [Interdisciplinary Laboratory for Computational Science, FBK-Center for Materials and Microsystems and University of Trento, Trento (Italy); Department of Physics, University of Trento, Trento (Italy); Aversa, Lucrezia [Institute of Materials for Electronics and Magnetism, IMEM-CNR, Trento (Italy); Nardi, Marco [Institute of Materials for Electronics and Magnetism, IMEM-CNR, Trento (Italy); Institut fuer Physik, Humboldt-Universitaet zu Berlin, Berlin (Germany); Verucchi, Roberto [Institute of Materials for Electronics and Magnetism, FBK-CNR, Trento (Italy); Iannotta, Salvatore [Institute of Materials for Electronics and Magnetism, IMEM-CNR, Parma (Italy); Dapor, Maurizio [Interdisciplinary Laboratory for Computational Science, FBK-Center for Materials and Microsystems and University of Trento, Trento (Italy); Department of Materials Engineering and Industrial Technologies, University of Trento, Trento (Italy); Istituto Nazionale di Fisica Nucleare, Sezione di Padova (Italy); and others

    2013-01-28

    In this work, we investigate the processes leading to the room-temperature growth of silicon carbide thin films by supersonic molecular beam epitaxy technique. We present experimental data showing that the collision of fullerene on a silicon surface induces strong chemical-physical perturbations and, for sufficient velocity, disruption of molecular bonds, and cage breaking with formation of nanostructures with different stoichiometric character. We show that in these out-of-equilibrium conditions, it is necessary to go beyond the standard implementations of density functional theory, as ab initio methods based on the Born-Oppenheimer approximation fail to capture the excited-state dynamics. In particular, we analyse the Si-C{sub 60} collision within the non-adiabatic nuclear dynamics framework, where stochastic hops occur between adiabatic surfaces calculated with time-dependent density functional theory. This theoretical description of the C{sub 60} impact on the Si surface is in good agreement with our experimental findings.

  2. Investigation of microstructure and morphology for the Ge on porous silicon/Si substrate hetero-structure obtained by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gouder, S.; Mahamdi, R.; Aouassa, M.; Escoubas, S.; Favre, L.; Ronda, A.; Berbezier, I.

    2014-01-01

    Thick porous silicon (PS) buffer layers are used as sacrificial layers to epitaxially grow planar and fully relaxed Ge membranes. The single crystal Ge layers have been deposited by molecular beam epitaxy (MBE) on PS substrate. During deposition, the pore network of PS layers has been filled with Ge. We investigate the structure and morphology of PS as fabricated and after annealing at various temperatures. We show that the PS crystalline lattice is distorted and expanded in the direction perpendicular to the substrate plane due to the presence of chemisorbed –OH. An annealing at high temperature (> 500 °C), greatly changes the PS morphology and structure. This change is marked by an increase of the pore diameter while the lattice parameter becomes tensily strained in the plane (compressed in the direction perpendicular). The morphology and structure of Ge layers are investigated by transmission electron microscopy, high resolution X-ray diffraction and atomic force microscopy as a function of the deposition temperature and deposited thickness. The results show that the surface roughness, level of relaxation and Si-Ge intermixing (Ge content) depend on the growth temperature and deposited thickness. Two sub-layers are distinguished: the layer incorporated inside the PS pores (high level of intermixing) and the layer on top of the PS surface (low level of intermixing). When deposited at temperature > 500 °C, the Ge layers are fully relaxed with a top Si 1−x Ge x layer x = 0.74 and a very flat surface. Such layer can serve as fully relaxed ultra-thin SiGe pseudo-substrate with high Ge content. The epitaxy of Ge on sacrificial soft PS pseudo-substrate in the experimental conditions described here provides an easy way to fabricate fully relaxed SiGe pseudo-substrates. Moreover, Ge thin films epitaxially deposited by MBE on PS could be used as relaxed pseudo-substrate in conventional microelectronic technology. - Highlights: • We have developed a rapid and low

  3. Molecular-beam epitaxy growth and structural characterization of semiconductor-ferromagnet heterostructures by grazing incidence X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Satapathy, D.K.

    2005-12-19

    The present work is devoted to the growth of the ferromagnetic metal MnAs on the semiconductor GaAs by molecular-beam epitaxy (MBE). The MnAs thin films are deposited on GaAs by molecular-beam epitaxy (MBE). Grazing incidence diffraction (GID) and reflection high-energy electron diffraction (RHEED) are used in situ to investigate the nucleation, evolution of strain, morphology and interfacial structure during the MBE growth. Four stages of the nucleation process during growth of MnAs on GaAs(001) are revealed by RHEED azimuthal scans. GID shows that further growth of MnAs films proceed via the formation of relaxed islands at a nominal thickness of 2.5 ML which increase in size and finally coalesce to form a continuous film. Early on, an ordered array of misfit dislocations forms at the interface releasing the misfit strain even before complete coalescence occurs. The fascinating complex nucleation process of MnAs on GaAs(0 0 1) contains elements of both Volmer-Weber and Stranski-Krastanov growth. A nonuniform strain amounting to 0.66%, along the [1 -1 0] direction and 0.54%, along the [1 1 0] direction is demonstrated from x-ray line profile analysis. A high correlation between the defects is found along the GaAs[1 1 0] direction. An extremely periodic array of misfit dislocations with a period of 4.95{+-}0.05 nm is formed at the interface along the [1 1 0] direction which releases the 7.5% of misfit. The inhomogeneous strain due to the periodic dislocations is confined at the interface within a layer of 1.6 nm thickness. The misfit along the [1 -1 0] direction is released by the formation of a coincidence site lattice. (orig.)

  4. Comparative study of LaNiO$_3$/LaAlO$_3$ heterostructures grown by pulsed laser deposition and oxide molecular beam epitaxy

    OpenAIRE

    Wrobel, F.; Mark, A. F.; Christiani, G.; Sigle, W.; Habermeier, H. -U.; van Aken, P. A.; Logvenov, G.; Keimer, B.; Benckiser, E.

    2017-01-01

    Variations in growth conditions associated with different deposition techniques can greatly affect the phase stability and defect structure of complex oxide heterostructures. We synthesized superlattices of the paramagnetic metal LaNiO3 and the large band gap insulator LaAlO3 by atomic layer-by-layer molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) and compared their crystallinity, microstructure as revealed by high-resolution transmission electron microscopy images and resistiv...

  5. Optical Properties of InGaAs/ GaAs Multi Quantum Wells Structure Grown By Molecular Beam Epitaxy

    International Nuclear Information System (INIS)

    Mohd Sharizal Alias; Mohd Fauzi Maulud; Mohd Razman Yahya; Abdul Fatah Awang Mat; Suomalainen, Soile

    2008-01-01

    Inclusive analysis on the optical characteristics of InGaAs/ GaAs QW structure for 980 nm semiconductor laser operation is presented from experimental and theoretical point of view. The InGaAs/ GaAs quantum well structure is grown by molecular beam epitaxy at different indium composition and quantum well thickness for optical characteristic comparison. Photoluminescence spectra from the measurement show that the spectrum is in good agreement with the simulation results. Detail simulation on the material gain for the InGaAs/ GaAs quantum well as a function of carrier densities and operating temperature is also performed in order to optimize the semiconductor laser design for device fabrication. (author)

  6. 1.142 mu m GaAsBi/GaAs Quantum Well Lasers Grown by Molecular Beam Epitaxy

    DEFF Research Database (Denmark)

    Wu, Xiaoyan; Pan, Wenwu; Zhang, Zhenpu

    2017-01-01

    in GaAsBi0.058/GaAs quantum well LDs grown by molecular beam epitaxy. The output power is up to 127 mW at 300 K under pulsed mode. We also demonstrate continuous wave mode operation up to 273 K for the first time. The temperature coefficient of the GaAsBi/GaAs LD is 0.26 nm/K in the temperature range......As a promising new class of near-infrared light emitters, GaAsBi laser diodes (LDs) are considered to have a high energy efficiency and an insensitive temperature dependence of the band gap. In this paper, we realize the longest ever reported lasing wavelength up to 1.142 mu m at room temperature...

  7. Structural properties of In0.53Ga0.47As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Xiaona; Guan, Yunfang; Li, Jingling; Zhang, Shuguang; Li, Guoqiang

    2015-01-01

    In 0.53 Ga 0.47 As epitaxial films are grown on 2-inch diameter Si (111) substrates by growing a low-temperature In 0.4 Ga 0.6 As buffer layer using molecular beam epitaxy. The effect of the buffer layer thickness on the as-grown In 0.53 Ga 0.47 As films is characterized by X-ray diffraction, scanning electron microscopy, atomic force microscopy and transmission electron microscopy (TEM). It is revealed that the crystalline quality and surface morphology of as-grown In 0.53 Ga 0.47 As epilayer are strongly affected by the thickness of the In 0.4 Ga 0.6 As buffer layer. From TEM investigation, we understand that the type and the distribution of dislocations of the buffer layer and the as-grown In 0.53 Ga 0.47 As film are different. We have demonstrated that the In 0.4 Ga 0.6 As buffer layer with a thickness of 12 nm can advantageously release the lattice mismatch stress between the In 0.53 Ga 0.47 As and Si substrate, ultimately leading to a high-quality In 0.53 Ga 0.47 As epitaxial film with low surface roughness. - Highlights: • We provide a simple approach to achieve high-quality In 0.53 Ga 0.47 As films on Si. • An appropriate thickness of In 0.4 Ga 0.6 As buffer layer can release mismatch strain. • High-quality In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer. • Smooth surface In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer

  8. Improving stability of photoluminescence of ZnSe thin films grown by molecular beam epitaxy by incorporating Cl dopant

    International Nuclear Information System (INIS)

    Wang, J. S.; Shen, J. L.; Chen, W. J.; Tsai, Y. H.; Wang, H. H.; Yang, C. S.; Chen, R. H.; Tsai, C. D.

    2011-01-01

    This investigation studies the effect of chlorine (Cl) dopant in ZnSe thin films that were grown by molecular beam epitaxy on their photoluminescence (PL) and the stability thereof. Free excitonic emission was observed at room-temperature in the Cl-doped sample. Photon irradiation with a wavelength of 404 nm and a power density of 9.1 W/cm 2 has a much stronger effect on PL degradation than does thermal heating to a temperature of 150 deg. C. Additionally, this study shows that the generation of nonradiative centers by both photon irradiation and thermal heating can be greatly inhibited by incorporating Cl dopant.

  9. Molecular beam epitaxial growth of graphene and ridge-structure networks of graphene

    International Nuclear Information System (INIS)

    Maeda, Fumihiko; Hibino, Hiroki

    2011-01-01

    By gas-source molecular beam epitaxy (MBE) using cracked ethanol, we grew graphene at substrate temperatures between 600 and 915 °C on graphene formed on SiC(0 0 0 1) by thermal decomposition. To investigate the substrate temperature dependence of graphene growth we analysed the MBE-grown graphene by Raman spectroscopy and in situ x-ray photoelectron spectroscopy (XPS) and observed it by atomic force microscopy (AFM) and cross-sectional transmission electron microscopy (TEM). Analyses using the G-band peak and the peak intensity ratio between D- and G-band peaks in the Raman spectra revealed that growth at higher temperatures improved the crystallinity and increased the domain size. Although the growth rate decreased at higher temperatures, as revealed by XPS, these results indicated that growth at a higher temperature is effective in obtaining graphene of higher quality. Furthermore, the AFM and TEM observations revealed a network of fin-like ridge structures of graphene sticking out from the surface. The presence of these 'graphene nanofins' indicated that two-dimensional islands of graphene are surrounded by the nanofins, and the island size was estimated to be 67 nm using the average distance between the nanofins.

  10. Dynamic grazing incidence fast atom diffraction during molecular beam epitaxial growth of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Atkinson, P., E-mail: atkinson@insp.jussieu.fr; Eddrief, M. [Sorbonne Universités, UPMC Univ. Paris 06, UMR 7588, INSP, F-75005 Paris (France); CNRS, UMR 7588, Institut des NanoSciences de Paris, 4 place Jussieu, F-75005 Paris (France); Etgens, V. H. [CNRS, UMR 7588, Institut des NanoSciences de Paris, 4 place Jussieu, F-75005 Paris (France); VeDeCom-Université Versailles Saint-Quentin en Yvelines, Versailles (France); Khemliche, H., E-mail: hocine.khemliche@u-psud.fr; Debiossac, M.; Mulier, M.; Lalmi, B.; Roncin, P. [ISMO UMR8214 CNRS-Université Paris-Sud, Orsay F-91400 (France); Momeni, A. [ISMO UMR8214 CNRS-Université Paris-Sud, Orsay F-91400 (France); Univ. Cergy Pontoise, F-95031 Cergy (France)

    2014-07-14

    A Grazing Incidence Fast Atom Diffraction (GIFAD) system has been mounted on a commercial molecular beam epitaxy chamber and used to monitor GaAs growth in real-time. In contrast to the conventionally used Reflection High Energy Electron Diffraction, all the GIFAD diffraction orders oscillate in phase, with the change in intensity related to diffuse scattering at step edges. We show that the scattered intensity integrated over the Laue circle is a robust method to monitor the periodic change in surface roughness during layer-by-layer growth, with oscillation phase and amplitude independent of incidence angle and crystal orientation. When there is a change in surface reconstruction at the start of growth, GIFAD intensity oscillations show that there is a corresponding delay in the onset of layer-by-layer growth. In addition, changes in the relative intensity of different diffraction orders have been observed during growth showing that GIFAD has the potential to provide insight into the preferential adatom attachment sites on the surface reconstruction during growth.

  11. Ultraviolet light emitting diodes by ammonia molecular beam epitaxy on metamorphic (KAUST Repository

    Young, Erin C.; Yonkee, Benjamin P.; Wu, Feng; Saifaddin, Burhan K.; Cohen, Daniel A.; DenBaars, Steve P.; Nakamura, Shuji; Speck, James S.

    2015-01-01

    © 2015. In this paper we demonstrate ultraviolet (UV) light emitting diodes (LEDs) grown on metamorphic AlGaN buffers on freestanding GaN (202-1) substrates by ammonia assisted molecular beam epitaxy (MBE). Misfit and related threading dislocations were confined to the stress relaxed, compositionally graded buffer layers, and single quantum well devices emitting at 355, 310 and 274. nm were grown on top of the graded buffers. The devices showed excellent structural and electrical (I-. V) characteristics.

  12. Ultraviolet light emitting diodes by ammonia molecular beam epitaxy on metamorphic (KAUST Repository

    Young, Erin C.

    2015-09-01

    © 2015. In this paper we demonstrate ultraviolet (UV) light emitting diodes (LEDs) grown on metamorphic AlGaN buffers on freestanding GaN (202-1) substrates by ammonia assisted molecular beam epitaxy (MBE). Misfit and related threading dislocations were confined to the stress relaxed, compositionally graded buffer layers, and single quantum well devices emitting at 355, 310 and 274. nm were grown on top of the graded buffers. The devices showed excellent structural and electrical (I-. V) characteristics.

  13. Thin film growth of CaFe2As2 by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hatano, T; Fujimoto, R; Nakamura, I; Mori, Y; Ikuta, H; Kawaguchi, T; Harada, S; Ujihara, T

    2016-01-01

    Film growth of CaFe 2 As 2 was realized by molecular beam epitaxy on six different substrates that have a wide variation in the lattice mismatch to the target compound. By carefully adjusting the Ca-to-Fe flux ratio, we obtained single-phase thin films for most of the substrates. Interestingly, an expansion of the CaFe 2 As 2 lattice to the out-of-plane direction was observed for all films, even when an opposite strain was expected. A detailed microstructure observation of the thin film grown on MgO by transmission electron microscope revealed that it consists of cube-on-cube and 45°-rotated domains. The latter domains were compressively strained in plane, which caused a stretching along the c-axis direction. Because the domains were well connected across the boundary with no appreciable discontinuity, we think that the out-of-plane expansion in the 45°-rotated domains exerted a tensile stress on the other domains, resulting in the unexpectedly large c-axis lattice parameter, despite the apparently opposite lattice mismatch. (paper)

  14. Thin film growth of CaFe2As2 by molecular beam epitaxy

    Science.gov (United States)

    Hatano, T.; Kawaguchi, T.; Fujimoto, R.; Nakamura, I.; Mori, Y.; Harada, S.; Ujihara, T.; Ikuta, H.

    2016-01-01

    Film growth of CaFe2As2 was realized by molecular beam epitaxy on six different substrates that have a wide variation in the lattice mismatch to the target compound. By carefully adjusting the Ca-to-Fe flux ratio, we obtained single-phase thin films for most of the substrates. Interestingly, an expansion of the CaFe2As2 lattice to the out-of-plane direction was observed for all films, even when an opposite strain was expected. A detailed microstructure observation of the thin film grown on MgO by transmission electron microscope revealed that it consists of cube-on-cube and 45°-rotated domains. The latter domains were compressively strained in plane, which caused a stretching along the c-axis direction. Because the domains were well connected across the boundary with no appreciable discontinuity, we think that the out-of-plane expansion in the 45°-rotated domains exerted a tensile stress on the other domains, resulting in the unexpectedly large c-axis lattice parameter, despite the apparently opposite lattice mismatch.

  15. Semiconductor laser with longitudinal electron-beam pumping and based on a quantum-well ZnCdSe/ZnSe structure grown on a ZnSe substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Kozlovskii, Vladimir I; Korostelin, Yurii V; Skasyrsky, Yan K; Shapkin, P V; Trubenko, P A; Dianov, Evgenii M

    1998-01-01

    The method of molecular beam epitaxy on a ZnSe substrate was used to grow a ZnCdSe/ZnSe structure with 115 quantum wells. This structure was made up into a cavity which included part of the substrate. Lasing was excited by longitudinal pumping with a scanning electron beam of E e = 40 - 70 keV energy. At T = 80 K for E e = 65 keV the threshold current density was 60 A cm -2 and the output power was 0.15 W at the 465 nm wavelength. At T= 300 K the lasing (λ= 474 nm) occurred in the ZnSe substrate. (lasers)

  16. Kinetics versus thermodynamics of the metal incorporation in molecular beam epitaxy of (InxGa1−x2O3

    Directory of Open Access Journals (Sweden)

    Patrick Vogt

    2016-08-01

    Full Text Available We present a detailed study of the reaction kinetics and thermodynamics of the plasma-assisted oxide molecular beam epitaxy of the ternary compound (InxGa1−x2O3 for 0 ≤ x ≤ 1. We measured the growth rate of the alloy in situ by laser reflectrometry as a function of growth temperature TG for different metal-to-oxygen flux ratios rMe, and nominal In concentrations xnom in the metal flux. We determined ex situ the In and Ga concentrations in the grown film by energy dispersive X-ray spectroscopy. The measured In concentration x shows a strong dependence on the growth parameters TG, rMe, and xnom whereas growth on different co-loaded substrates shows that in the macroscopic regime of ∼μm3 x does neither depend on the detailed layer crystallinity nor on crystal orientation. The data unveil that, in presence of In, Ga incorporation is kinetically limited by Ga2O desorption the same way as during Ga2O 3 growth. In contrast, In incorporation during ternary growth is thermodynamically suppressed by the presence of Ga due to stronger Ga–O bonds. Our experiments revealed that Ga adatoms decompose/etch the In–O bonds whereas In adatoms do not decompose/etch the Ga–O bonds. This result is supported by our thermochemical calculations. In addition we found that a low TG and/or excessively low rMe kinetically enables In incorporation into (InxGa1−x2O3. This study may help growing high-quality ternary compounds (InxGa1−x2O3 allowing band gap engineering over the range of 2.7–4.7 eV.

  17. Development of III-nitride semiconductors by molecular beam epitaxy and cluster beam epitaxy and fabrication of LEDs based on indium gallium nitride MQWs

    Science.gov (United States)

    Chen, Tai-Chou Papo

    The family of III-Nitrides (the binaries InN, GaN, AIN, and their alloys) is one of the most important classes of semiconductor materials. Of the three, Indium Nitride (InN) and Aluminum Nitride (AIN) have been investigated much less than Gallium Nitride (GaN). However, both of these materials are important for optoelectronic infrared and ultraviolet devices. In particular, since InN was found recently to be a narrow gap semiconductor (Eg=0.7eV), its development should extend the applications of nitride semiconductors to the spectral region appropriate to fiber optics communication and photovoltaic applications. Similarly, the development of AIN should lead to deep UV light emitting diodes (LEDs). The first part of this work addresses the evaluation of structural, optical and transport properties of InN films grown by two different deposition methods. In one method, active nitrogen was produced in the form of nitrogen radicals by a radio frequency (RF) plasma-assisted source. In an alternative method, active nitrogen was produced in the form of clusters containing approximately 2000 nitrogen molecules. These clusters were produced by adiabatic expansion from high stagnation pressure through a narrow nozzle into vacuum. The clusters were singly or doubly ionized with positive charge by electron impact and accelerated up to approximately 20 to 25 KV prior to their disintegration on the substrate. Due to the high local temperature produced during the impact of clusters with the substrate, this method is suitable for the deposition of InN at very low temperatures. The films are auto-doped n-type with carrier concentrations varying from 3 x 1018 to 1020 cm-3 and the electron effective mass of these films was determined to be 0.09m0. The majority of the AIN films was grown by the cluster beam epitaxy method and was doped n- and p- type by incorporating silicon (Si) and magnesium (Mg) during the film deposition. All films were grown under Al-rich conditions at relatively

  18. Growth of strained InGaAs/GaAs quantum wells and index guided injection lasers over nonplanar substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Arent, D.J.; Galeuchet, Y.D.; Nilsson, S.; Meier, H.P.

    1990-01-01

    Strained InGaAs/GaAs quantum wells were grown on nonplanar substrates by molecular beam epitaxy and studied by scanning electron microscopy and low temperature spatially and spectrally resolved cathodoluminescence spectroscopy. For (100) ridges and grooves formed with (311)A sidewalls, almost complete removal of In from the strained quantum wells on the (311)A facet is observed. Corresponding increases of In content in the quantum wells grown on the (100) facets indicate that most if not all of the In is displaced from the (311)A facet via interplanar adatom migration. Ga adatom migration is also observed under our growth conditions such that quantum wells grown nominally near the critical layer thickness on structures less than ≅2.5 μm wide are no longer pseudomorphically strained, as detected by luminescence linewidth analysis. We present the first results of strained InGaAs/GaAs index guided injection lasers grown by single-step molecular beam epitaxy over nonplanar substrates and show that differences greater than 50 meV in the effective band gap of a 70 A quantum well can be achieved between the gain region and the nonabsorbing waveguide without relaxing the strain. Room temperature threshold currents as low as 6 mA for 4 μmx750 μm uncoated devices lasing continuously at a wavelength of 1.01 μm have been achieved

  19. Structural characteristics of single crystalline GaN films grown on (111) diamond with AlN buffer

    DEFF Research Database (Denmark)

    Pécz, Béla; Tóth, Lajos; Barna, Árpád

    2013-01-01

    Hexagonal GaN films with the [0001] direction parallel to the surface normal were grown on (111) oriented single crystalline diamond substrates by plasma-assisted molecular beam epitaxy. Pre-treatments of the diamond surface with the nitrogen plasma beam, prior the nucleation of a thin AlN layer......, eliminated the inversion domains and reduced the density of threading dislocations in the GaN epilayers. The films have an in-plane epitaxial relationship [1010]GaN//[110]diamond. Thus GaN (0001) thin films of single epitaxial relationship and of single polarity were realised on diamond with AlN buffer....

  20. Diagnosis for the interaction of supersonic molecular beam with plasma

    International Nuclear Information System (INIS)

    Yao Lianghua; Feng Beibing; Feng Zhen; Luo Junlin; Dong Jiafu; Yan Longwen; Hong Wenyu

    2001-01-01

    Supersonic Molecular Beam Injection (SMBI) is a new fuelling method for Tokamaks and has recently been improved to enhance the flux of the beam and to make a survey of the cluster effect within the beam. There are a series of new phenomena, which implicate the interaction of the beam (including clusters) with the toroidal plasma of HL-1M Tokamak. The H α signals from the edge show a regular variation around the torus. Around the injection port, the edge H α signals are positive rectangular wave, which is consistent with that of the injection beam pulses. The edge electron temperature, measured with movable Langmuir probes, decreases by an order of magnitude and the density increases by an order of magnitude. H α emission at the beam injection port, measured with CCD camera at an angle of 13.4 degrees to the SMBI line, shows many separate peaks within the contour plot. These peaks may show the strong emission produced by the interaction of the hydrogen clusters with the plasma. Hydrogen clusters may be produced in the beam according to the empirical scaling (Hagena) law of clustering onset, Γ* = kd 0.85 P 0 /T 0 2.29 , here d is the nozzle diameter in μm, P 0 the stagnation pressure in mbar, T 0 the source temperature in K, and k is a constant related to the gas species. If Γ* > 100, clusters will be formed. In present experiment Γ* is about 127

  1. Buffer-layer enhanced crystal growth of BaB6 (1 0 0) thin films on MgO (1 0 0) substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Kato, Yushi; Yamauchi, Ryosuke; Arai, Hideki; Tan, Geng; Tsuchimine, Nobuo; Kobayashi, Susumu; Saeki, Kazuhiko; Takezawa, Nobutaka; Mitsuhashi, Masahiko; Kaneko, Satoru; Yoshimoto, Mamoru

    2012-01-01

    Crystalline BaB 6 (1 0 0) thin films can be fabricated on MgO (1 0 0) substrates by inserting a 2-3 nm-thick epitaxial SrB 6 (1 0 0) buffer layer by pulsed laser deposition (PLD) in ultra-high vacuum (i.e., laser molecular beam epitaxy). Reflection high-energy electron diffraction and X-ray diffraction measurements indicated the heteroepitaxial structure of BaB 6 (1 0 0)/SrB 6 (1 0 0)/MgO (1 0 0) with the single domain of the epitaxial relationship. Conversely, BaB 6 thin films without the buffer layer were not epitaxial instead they developed as polycrystalline films with a random in-plane configuration and some impurity phases. As a result, the buffer layer is considered to greatly affect the initial growth of epitaxial BaB 6 thin films; therefore, in this study, buffering effects have been discussed. From the conventional four-probe measurement, it was observed that BaB 6 epitaxial thin films exhibit n-type semiconducting behavior with a resistivity of 2.90 × 10 -1 Ω cm at room temperature.

  2. A InGaN/GaN quantum dot green (λ=524 nm) laser

    KAUST Repository

    Zhang, Meng; Banerjee, Animesh; Lee, Chi-Sen; Hinckley, John M.; Bhattacharya, Pallab

    2011-01-01

    The characteristics of self-organized InGaN/GaN quantum dot lasers are reported. The laser heterostructures were grown on c-plane GaN substrates by plasma-assisted molecular beam epitaxy and the laser facets were formed by focused ion beam etching

  3. Germanium growth on electron beam lithography patterned Si3N4/Si(001) substrate using molecular beam epitaxy

    Science.gov (United States)

    Sarkar, Subhendu Sinha; Katiyar, Ajit K.; Sarkar, Arijit; Dhar, Achintya; Rudra, Arun; Khatri, Ravinder K.; Ray, Samit Kumar

    2018-04-01

    It is important to investigate the growth dynamics of Ge adatoms under different surface stress regimes of the patterned dielectric to control the selective growth of self-assembled Ge nanostructures on silicon. In the present work, we have studied the growth of Ge by molecular beam epitaxy on nanometer scale patterned Si3N4/Si(001) substrates generated using electron beam lithography. The pitch of the patterns has been varied to investigate its effect on the growth of Ge in comparison to un-patterned Si3N4. For the patterned Si3N4 film, Ge did not desorbed completely from the Si3N4 film and hence no site selective growth pattern is observed. Instead, depending upon the pitch, Ge growth has occurred in different growth modes around the openings in the Si3N4. For the un-patterned substrate, the morphology exhibits the occurrence of uniform 3D clustering of Ge adatoms on Si3N4 film. This variation in the growth modes of Ge is attributed to the variation of residual stress in the Si3N4 film for different pitch of holes, which has been confirmed theoretically through Comsol Multiphysics simulation. The variation in stress for different pitches resulted in modulation of surface energy of the Si3N4 film leading to the different growth modes of Ge.

  4. Structural disorder of natural BimSen superlattices grown by molecular beam epitaxy

    Science.gov (United States)

    Springholz, G.; Wimmer, S.; Groiss, H.; Albu, M.; Hofer, F.; Caha, O.; Kriegner, D.; Stangl, J.; Bauer, G.; Holý, V.

    2018-05-01

    The structure and morphology of BimSen epitaxial layers with compositions ranging from Bi2Se3 to the Bi1Se1 grown by molecular beam epitaxy with different flux compositions are investigated by transmission electron microscopy, high-resolution x-ray diffraction, and atomic force microscopy. It is shown that the lattice structure changes significantly as a function of the beam flux composition, i.e., Se/BiSe flux ratio that determines the stoichiometry of the layers. A perfect Bi2Se3 phase is formed only with a sufficiently high additional Se flux, whereas Bi1Se1 is obtained when only a BiSe compound source without additional Se is used. For intermediate values of the excess Se flux during growth, Bi2Se3 -δ layers are obtained with the Se deficit δ varying between 0 and 1. This Se deficit is accommodated by incorporation of additional Bi-Bi double layers into the Bi2Se3 structure that otherwise exclusively consists of Se-Bi-Se-Bi-Se quintuple layers. While a periodic insertion of such Bi double layers would result in the formation of natural BimSen superlattices, we find that this Bi double-layer insertion is rather stochastic with a high degree of disorder depending on the film composition. Therefore, the structure of such epilayers is better described by a one-dimensional paracrystal model, consisting of disordered sequences of quintuple and double layers rather than by strictly periodic natural superlattices. From detailed analysis of the x-ray diffraction data, we determine the dependence of the lattice parameters a and c and distances of the individual (0001) planes dj as a function of composition, evidencing that only the in-plane lattice parameter a shows a linear dependence on composition. The simulation of the diffraction curves with the random stacking paracrystal model yields an excellent agreement with the experimental data and it brings quantitative information on the randomness of the stacking sequence, which is compared to growth modeling using Monte

  5. Self-assembled GaInNAs/GaAsN quantum dot lasers: solid source molecular beam epitaxy growth and high-temperature operation

    Directory of Open Access Journals (Sweden)

    Yoon SF

    2006-01-01

    Full Text Available AbstractSelf-assembled GaInNAs quantum dots (QDs were grown on GaAs (001 substrate using solid-source molecular-beam epitaxy (SSMBE equipped with a radio-frequency nitrogen plasma source. The GaInNAs QD growth characteristics were extensively investigated using atomic-force microscopy (AFM, photoluminescence (PL, and transmission electron microscopy (TEM measurements. Self-assembled GaInNAs/GaAsN single layer QD lasers grown using SSMBE have been fabricated and characterized. The laser worked under continuous wave (CW operation at room temperature (RT with emission wavelength of 1175.86 nm. Temperature-dependent measurements have been carried out on the GaInNAs QD lasers. The lowest obtained threshold current density in this work is ∼1.05 kA/cm2from a GaInNAs QD laser (50 × 1,700 µm2 at 10 °C. High-temperature operation up to 65 °C was demonstrated from an unbonded GaInNAs QD laser (50 × 1,060 µm2, with high characteristic temperature of 79.4 K in the temperature range of 10–60 °C.

  6. Point defect balance in epitaxial GaSb

    International Nuclear Information System (INIS)

    Segercrantz, N.; Slotte, J.; Makkonen, I.; Kujala, J.; Tuomisto, F.; Song, Y.; Wang, S.

    2014-01-01

    Positron annihilation spectroscopy in both conventional and coincidence Doppler broadening mode is used for studying the effect of growth conditions on the point defect balance in GaSb:Bi epitaxial layers grown by molecular beam epitaxy. Positron annihilation characteristics in GaSb are also calculated using density functional theory and compared to experimental results. We conclude that while the main positron trapping defect in bulk samples is the Ga antisite, the Ga vacancy is the most prominent trap in the samples grown by molecular beam epitaxy. The results suggest that the p–type conductivity is caused by different defects in GaSb grown with different methods.

  7. Molecular beam epitaxy of GeTe-Sb{sub 2}Te{sub 3} phase change materials studied by X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Shayduk, Roman

    2010-05-20

    The integration of phase change materials into semiconductor heterostructures may lead to the development of a new generation of high density non-volatile phase change memories. Epitaxial phase change materials allow to study the detailed structural changes during the phase transition and to determine the scaling limits of the memory. This work is dedicated to the epitaxial growth of Ge-Sb-Te phase change alloys on GaSb(001). We deposit Ge-Sb-Te (GST) films on GaSb(001) substrates by means of molecular beam epitaxy (MBE). The film orientation and lattice constant evolution is determined in real time during growth using grazing incidence X-ray diffraction (GID). The nucleation stage of the growth is studied in situ using reflection high energy electron diffraction (RHEED). Four growth regimes of GST on GaSb(001) were observed: amorphous, polycrystalline, incubated epitaxial and direct epitaxial. Amorphous film grows for substrate temperatures below 100 C. For substrate temperatures in the range 100-160 C, the film grows in polycrystalline form. Incubated epitaxial growth is observed at temperatures from 180 to 210 C. This growth regime is characterized by an initial 0.6nm thick amorphous layer formation, which crystallizes epitaxially as the film thickness increases. The determined lattice constant of the films is 6.01 A, very close to that of the metastable GST phase. The films predominantly possess an epitaxial cube-on-cube relationship. At higher temperatures the films grow epitaxially, however the growth rate is rapidly decreasing with temperature. At temperatures above 270 C the growth rate is zero. The composition of the grown films is close to 2:2:5 for Ge, Sb and Te, respectively. The determined crystal structure of the films is face centered cubic (FCC) with a rhombohedral distortion. The analysis of X-ray peak widths gives a value for the rhombohedral angle of 89.56 . We observe two types of reflections in reciprocal space indicating two FCC sublattices in

  8. Carrier concentration dependence of donor activation energy in n-type GaN epilayers grown on Si (1 1 1) by plasma-assisted MBE

    International Nuclear Information System (INIS)

    Kumar, Mahesh; Bhat, Thirumaleshwara N.; Roul, Basanta; Rajpalke, Mohana K.; Kalghatgi, A.T.; Krupanidhi, S.B.

    2012-01-01

    Highlights: ► The n-type GaN layers were grown by plasma-assisted molecular beam epitaxy. ► The optical characteristics of a donor level in Si-doped GaN were studied. ► Activation energy of a Si-related donor was estimated from temperature dependent PL measurements. ► PL peak positions, FWHM of PL and activation energies are found to be proportional to the cube root of carrier density. ► The involvement of donor levels is supported by the temperature-dependent electron concentration measurements. -- Abstract: The n-type GaN layers were grown by plasma-assisted MBE and either intentionally doped with Si or unintentionally doped. The optical characteristics of a donor level in Si-doped, GaN were studied in terms of photoluminescence (PL) spectroscopy as a function of electron concentration. Temperature dependent PL measurements allowed us to estimate the activation energy of a Si-related donor from temperature-induced decay of PL intensity. PL peak positions, full width at half maximum of PL and activation energies are found to be proportional to the cube root of carrier density. The involvement of donor levels is supported by the temperature-dependent electron concentration measurements.

  9. Large area ion and plasma beam sources

    Energy Technology Data Exchange (ETDEWEB)

    Waldorf, J. [IPT Ionen- und Plasmatech. GmbH, Kaiserslautern (Germany)

    1996-06-01

    In the past a number of ion beam sources utilizing different methods for plasma excitation have been developed. Nevertheless, a widespread use in industrial applications has not happened, since the sources were often not able to fulfill specific demands like: broad homogeneous ion beams, compatibility with reactive gases, low ion energies at high ion current densities or electrical neutrality of the beam. Our contribution wants to demonstrate technical capabilities of rf ion and plasma beam sources, which can overcome the above mentioned disadvantages. The physical principles and features of respective sources are presented. We report on effective low pressure plasma excitation by electron cyclotron wave resonance (ECWR) for the generation of dense homogeneous plasmas and the rf plasma beam extraction method for the generation of broad low energy plasma beams. Some applications like direct plasma beam deposition of a-C:H and ion beam assisted deposition of Al and Cu with tailored thin film properties are discussed. (orig.).

  10. Large area ion and plasma beam sources

    International Nuclear Information System (INIS)

    Waldorf, J.

    1996-01-01

    In the past a number of ion beam sources utilizing different methods for plasma excitation have been developed. Nevertheless, a widespread use in industrial applications has not happened, since the sources were often not able to fulfill specific demands like: broad homogeneous ion beams, compatibility with reactive gases, low ion energies at high ion current densities or electrical neutrality of the beam. Our contribution wants to demonstrate technical capabilities of rf ion and plasma beam sources, which can overcome the above mentioned disadvantages. The physical principles and features of respective sources are presented. We report on effective low pressure plasma excitation by electron cyclotron wave resonance (ECWR) for the generation of dense homogeneous plasmas and the rf plasma beam extraction method for the generation of broad low energy plasma beams. Some applications like direct plasma beam deposition of a-C:H and ion beam assisted deposition of Al and Cu with tailored thin film properties are discussed. (orig.)

  11. Fabrication of Three-Dimensional Nanostructures for Thermal Study

    Science.gov (United States)

    2013-01-01

    Physics, 2012, 112, 073719. 36. Chen, Y.; Bagnall, D. M.; Koh, H.; Park, K.; Hiraga, K., et al., Plasma assisted molecular beam epitaxy of ZnO on... Electrochemistry , 1991, 300, 543 – 561. 41. Bohannan, E. W.; Shumsky, M. G.; Switzer, J. A., Epitaxial Electrodeposition of Copper(I) Oxide on Single-Crystal

  12. Molecular beam epitaxy of iodine-doped CdTe and (CdMg)Te

    Energy Technology Data Exchange (ETDEWEB)

    Fischer, F.; Waag, A.; Litz, Th.; Scholl, S.; Schmitt, M.; Landwehr, G. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Bilger, G. (Zentrum fuer Sonnenenergie und Wasserstofforschung, Stuttgart (Germany))

    1994-08-01

    The n-type doping of CdTe and (CdMg)Te by the use of the solid dopant source material ZnI[sub 2] is reported. Doping levels as high as 7x10[sup 18] cm[sup -3] have been obtained in CdTe with carrier mobilities around 500 cm[sup 2]/V[center dot]s at room temperature. For a dopant incorporation higher than 1x10[sup 19] cm[sup -3] the free carrier concentration decreases, indicating the onset of a compensation mechanism, which is observed in the case of chlorine and bromine doping, too. Preliminary experiments show that with increasing Mg concentration the free carrier concentration decreases. Nevertheless, CdMgTe with a magnesium concentration x=0.37 (band gap 2.2 eV at room temperature) can be doped up to 2x10[sup 17] cm[sup -3]. The existence of deep donor levels in this CdTe based ternary is not supposed to be the only reason for the reduction of the free carrier concentration. For high Mg support during molecular beam epitaxial (MBE) growth of wide gap (CdMg)Te layers, the ZnI[sub 2] incorporation is reduced, leading to low doping levels, too

  13. Ellipsometry of rough CdTe(211)B-Ge(211) surfaces grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Badano, Giacomo; Ballet, Philippe; Zanatta, Jean-Paul; Baudry, Xavier; Million, Alain; Garland, James W.

    2006-01-01

    The effect of surface roughness on the ellipsometric response of semiconductor surfaces is investigated. CdTe(211)B layers were grown on Ge(211) by molecular beam epitaxy using less than optimal growth conditions to enhance the formation of surface roughness. Their optical properties, measured by rotating-compensator ellipsometry, showed small but significant sample-to-sample differences not explainable in terms of nanometer-scale roughness. A critical-point analysis established that the critical-point structure of the dielectric function was the same for all samples. This result suggested that the observed sample-to-sample variations were due to macroscopic roughness, which scatters off-specular light into the detector, thereby causing errors. We introduced tentative corrections for off-specular reflection that fitted the observed differences and thus supported the idea that off-specular reflection was responsible for the observed differences. These results were obtained using CdTe but are easily extensible to other rough opaque materials

  14. Oxygen engineering of HfO{sub 2-x} thin films grown by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institut fuer Materialwissenschaft, TU-Darmstadt (Germany); Zaumseil, Peter; Schroeder, Thomas [IHP, Frankfurt, Oder (Germany)

    2010-07-01

    Reactive molecular beam epitaxy (R-MBE) is an ideal tool for tailoring physical properties of thin films to specific needs. For the development of cutting-edge oxides for thin film applications a precise control of oxygen defects is crucial. R-MBE in combination with rf-activated oxygen allows reproducibly growing oxide thin films with precise oxidation conditions enabling oxygen engineering. R-MBE was used to grow Hf and HfO{sub 2{+-}}{sub x} thin films with different oxidation conditions on sapphire single crystal substrates. Structural characterization was carried out using rotating anode x-ray diffraction revealing highly textured to epitaxial thin films on c-cut sapphire. Furthermore, switching of film orientation by varying the oxidation conditions was observed demonstrating the role of oxygen in the growth procedure. The investigation of electrical properties using a four probe measurement setup showed conductivities in the range of 1000 {mu}{omega}cm for oxygen deficient HfO{sub 2-x} thin films. Optical properties were investigated using a photospectrometer and additionally x-ray photoelectron spectroscopy was carried out to study the band gap and valence states. Both techniques were used to monitor the oxygen content in deficient HfO{sub 2-x} thin films. Our results demonstrate the importance of oxygen engineering even in the case of 'simple' oxides.

  15. Growth of HfO{sub x} thin films by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institut fuer Materialwissenschaft, TU Darmstadt (Germany)

    2008-07-01

    Thin films of hafnium oxide were grown on single crystal r-cut and c-cut sapphire by reactive molecular beam epitaxy. The conditions for the growth of single oriented hafnium oxide thin films have been established. Hafnium oxide thin films were characterized by X-ray diffraction and optical absorption measurements. It was found that hafnium oxide thin films grown on r-cut sapphire were (00l) oriented whereas, on c-cut sapphire, hafnium oxide films showed different orientations depending on the growth temperature and oxidation conditions. The hafnium oxide films grown at higher temperature and under strong oxidation conditions yielded (001) oriented films on c-cut sapphire whereas slightly weaker oxidation condition leads to (111) oriented hafnium oxide films. The bandgap deducted from optical absorption measurement carried out on hafnium oxide films grown under optimized conditions agreed well with the values reported in literature. A range of oxygen deficient thin films of hafnium oxide were also grown on single crystal sapphire substrates in order to investigate the effect of oxygen vacancies on dielectric properties of hafnium oxide. The oxygen deficient thin films of hafnium oxide show a decrease in bandgap with increase in oxygen deficiency.

  16. Molecular beam epitaxial growth and characterization of Al(Ga)N nanowire deep ultraviolet light emitting diodes and lasers

    International Nuclear Information System (INIS)

    Mi, Z; Zhao, S; Djavid, M; Liu, X; Kang, J; Woo, S Y; Bugnet, M; Botton, G A; Kong, X; Guo, H; Ji, W; Liu, Z

    2016-01-01

    We report on the detailed molecular beam epitaxial growth and characterization of Al(Ga)N nanowire heterostructures on Si and their applications for deep ultraviolet light emitting diodes and lasers. The nanowires are formed under nitrogen-rich conditions without using any metal catalyst. Compared to conventional epilayers, Mg-dopant incorporation is significantly enhanced in nearly strain- and defect-free Al(Ga)N nanowire structures, leading to efficient p -type conduction. The resulting Al(Ga)N nanowire LEDs exhibit excellent performance, including a turn-on voltage of ∼5.5 V for an AlN nanowire LED operating at 207 nm. The design, fabrication, and performance of an electrically injected AlGaN nanowire laser operating in the UV-B band is also presented. (paper)

  17. Influence of substrate quality on structural properties of AlGaN/GaN superlattices grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schubert, F. [NaMLab gGmbH, Nöthnitzer Straße 64, 01187 Dresden (Germany); Merkel, U.; Schmult, S. [TU Dresden, Institute of Semiconductors and Microsystems, Nöthnitzer Straße 64, 01187 Dresden (Germany); Mikolajick, T. [NaMLab gGmbH, Nöthnitzer Straße 64, 01187 Dresden (Germany); TU Dresden, Institute of Semiconductors and Microsystems, Nöthnitzer Straße 64, 01187 Dresden (Germany)

    2014-02-28

    Short-period AlGaN/GaN superlattices were established as versatile test structures to investigate the structural properties of molecular beam epitaxy (MBE)-grown GaN and AlGaN layers and their dependence on the GaN substrate quality. X-ray diffractometry data of the investigated superlattices allow access to relevant structural parameters such as aluminum mole fraction and layer thicknesses. The occurrence of theoretically predicted intense high-order satellite peaks and pronounced interface fringes in the diffraction pattern reflects abrupt interfaces and perfect 2-dimensional growth resulting in smooth surfaces. The data unambiguously demonstrate that the structural quality of the MBE grown layers is limited by the structural properties of the GaN substrate.

  18. Impact of growth and annealing conditions on the parameters of Ge/Si(001) relaxed layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Yurasov, D. V., E-mail: Inquisitor@ipm.sci-nnov.ru [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Bobrov, A. I. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Daniltsev, V. M.; Novikov, A. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Pavlov, D. A. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Skorokhodov, E. V.; Shaleev, M. V.; Yunin, P. A. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2015-11-15

    Influence of the Ge layer thickness and annealing conditions on the parameters of relaxed Ge/Si(001) layers grown by molecular beam epitaxy via two-stage growth is investigated. The dependences of the threading dislocation density and surface roughness on the Ge layer thickness, annealing temperature and time, and the presence of a hydrogen atmosphere are obtained. As a result of optimization of the growth and annealing conditions, relaxed Ge/Si(001) layers which are thinner than 1 μm with a low threading dislocation density on the order of 10{sup 7} cm{sup –2} and a root mean square roughness of less than 1 nm are obtained.

  19. Molecular beam epitaxy of InP single junction and InP/In0.53Ga0.47As monolithically integrated tandem solar cells using solid phosphorous source material

    International Nuclear Information System (INIS)

    Delaney, A.; Chin, K.; Street, S.; Newman, F.; Aguilar, L.; Ignatiev, A.; Monier, C.; Velela, M.; Freundlich, A.

    1998-01-01

    This work reports the first InP solar cells, InP/In 0.53 Ga 0.47 As tandem solar cells and InP tunnel junctions to be grown using a solid phosphorous source cracker cell in a molecular beam epitaxy system. High p-type doping achieved with this system allowed for the development of InP tunnel junctions. These junctions which allow for improved current matching in subsequent monolithically integrated tandem devices also do not absorb photons which can be utilized in the InGaAs structure. Photocurrent spectral responses compared favorably to devices previously grown in a chemical beam epitaxy system. High resolution x-ray scans demonstrated good lattice matching between constituent parts of the tandem cell. AM0 efficiencies of both InP and InP/InGaAs tandem cells are reported

  20. Defects in heavily phosphorus-doped Si epitaxial films probed by monoenergetic positron beams

    International Nuclear Information System (INIS)

    Uedono, Akira; Tanigawa, Shoichiro; Suzuki, Ryoichi; Ohgaki, Hideaki; Mikado, Tomohisa.

    1994-01-01

    Vacancy-type defects in heavily phosphorus-doped Si epitaxial films were probed by monoenergetic positron beams. Doppler broadening profiles of the annihilation radiation and lifetime spectra of positrons were measured for the epitaxial films grown on the Si substrates by plasma chemical vapor deposition. For the as-deposited film, divacancy-phosphorus complexes were found with high concentration. After 600degC annealing, vacancy clusters were formed near the Si/Si interface, while no drastic change in the depth distribution of the divacancy-phosphorus complexes was observed. By 900degC annealing, the vacancy clusters were annealed out; however, the average number of phosphorus atoms coupled with divacancies increased. The relationship between the vacancy-type defects probed by the positron annihilation technique and the carrier concentration was confirmed. (author)

  1. Defects in heavily phosphorus-doped Si epitaxial films probed by monoenergetic positron beams

    Energy Technology Data Exchange (ETDEWEB)

    Uedono, Akira; Tanigawa, Shoichiro [Tsukuba Univ., Ibaraki (Japan). Inst. of Materials Science; Suzuki, Ryoichi; Ohgaki, Hideaki; Mikado, Tomohisa

    1994-11-01

    Vacancy-type defects in heavily phosphorus-doped Si epitaxial films were probed by monoenergetic positron beams. Doppler broadening profiles of the annihilation radiation and lifetime spectra of positrons were measured for the epitaxial films grown on the Si substrates by plasma chemical vapor deposition. For the as-deposited film, divacancy-phosphorus complexes were found with high concentration. After 600degC annealing, vacancy clusters were formed near the Si/Si interface, while no drastic change in the depth distribution of the divacancy-phosphorus complexes was observed. By 900degC annealing, the vacancy clusters were annealed out; however, the average number of phosphorus atoms coupled with divacancies increased. The relationship between the vacancy-type defects probed by the positron annihilation technique and the carrier concentration was confirmed. (author).

  2. Mechanical responses of Zn{sub 1-x}Mn{sub x}O epitaxial thin films

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Teng-Ruey [Department of Industrial Engineering and Management, Nan Kai University of Techonology, Nantou 54243, Taiwan (China); Tsai, Chien-Huang, E-mail: chtsai12@gmail.com [Department of Automation Engineering, Nan Kai University of Techonology, Nantou 54243, Taiwan (China)

    2011-10-15

    In this study, we used nanoindentation to investigate the effect of the doping of Mn into ZnO buffer layers on the epitaxial growth of ZnO through plasma-assisted molecular beam epitaxy on c-plane sapphire substrates. We characterized the variation of the mechanical properties of Zn{sub 1-x}Mn{sub x}O alloys as a function of the Mn content in the range (x) from 0 to 0.16, as well as analyzing their microstructures using high-resolution transmission electron microscopy. The presence of the Mn-doped ZnO buffer layer enhanced the nanomechanical properties of the ZnO epilayers significantly. From their Berkovich indenter responses, plots of the Young's modulus (E) and hardness (H) of these films revealed that the value of E increased relatively steadily upon increasing the Mn composition, whereas the value of H reached its maximum when x was equal to 0.16. This discrepancy suggests that Zn{sub 1-x}Mn{sub x}O epilayers of higher Mn contents had higher shear resistances.

  3. Crystal orientation mechanism of ZnTe epilayers formed on different orientations of sapphire substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Nakasu, T.; Yamashita, S.; Aiba, T.; Hattori, S.; Sun, W.; Taguri, K.; Kazami, F.; Kobayashi, M.

    2014-01-01

    The electrooptic effect in ZnTe has recently attracted research attention, and various device structures using ZnTe have been explored. For application to practical terahertz wave detector devices based on ZnTe thin films, sapphire substrates are preferred because they enable the optical path alignment to be simplified. ZnTe/sapphire heterostructures were focused upon, and ZnTe epilayers were prepared on highly mismatched sapphire substrates by molecular beam epitaxy. Epitaxial relationships between the ZnTe thin films and the sapphire substrates with their various orientations were investigated using an X-ray diffraction pole figure method. (0001) c-plane, (1-102) r-plane, (1-100) m-plane, and (11-20) a-plane oriented sapphire substrates were used in this study. The epitaxial relationship between ZnTe and c-plane sapphire was found to be (111) ZnTe//(0001) sapphire with an in-plane orientation relationship of [−211] ZnTe//[1-100] sapphire. It was found that the (211)-plane ZnTe layer was grown on the m-plane of the sapphire substrates, and the (100)-plane ZnTe layer was grown on the r-plane sapphire. When the sapphire substrates were inclined from the c-plane towards the m-axis direction, the orientation of the ZnTe thin films was then tilted from the (111)-plane to the (211)-plane. The c-plane of the sapphire substrates governs the formation of the (111) ZnTe domain and the ZnTe epilayer orientation. These crystallographic features were also related to the atom arrangements of ZnTe and sapphire.

  4. Effects of film polarities on InN growth by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Xu, K.; Yoshikawa, A.

    2003-01-01

    Effects of the film polarity on InN growth were investigated in molecular-beam epitaxy (MBE). It was found that N-polarity InN could be grown at higher temperatures than In-polarity one. For the In-polarity films, which were grown on Ga-polar GaN template, the highest growth temperature was limited below 500 deg. C, and the surface morphology and crystal quality tended to be poor mainly because of the tolerated low growth temperature. While for the N-polarity InN films, which were grown on MBE-grown N-polar GaN, the growth temperature could be as high as 600 deg. C. The step-flow-like growth morphology was achieved for the InN films grown with N polarity at 580 deg. C. The resulting full widths of half maximum of x-ray rocking curve around InN (002) and (102) reflections were about 200-250 and 950-1100 arc sec, respectively. The photoluminescence of the InN films peaked at 0.697 eV. The recording Hall mobility of InN film grown in N polarity is 1400 cm 2 /V s with a background carrier concentration of 1.56x10 18 cm -3 at room temperature. For both-polarity films, we found N-rich condition was necessary for the stable InN growth

  5. Organometallic vapor-phase epitaxy theory and practice

    CERN Document Server

    Stringfellow, Gerald B

    1989-01-01

    Here is one of the first single-author treatments of organometallic vapor-phase epitaxy (OMVPE)--a leading technique for the fabrication of semiconductor materials and devices. Also included are metal-organic molecular-beam epitaxy (MOMBE) and chemical-beam epitaxy (CBE) ultra-high-vacuum deposition techniques using organometallic source molecules. Of interest to researchers, students, and people in the semiconductor industry, this book provides a basic foundation for understanding the technique and the application of OMVPE for the growth of both III-V and II-VI semiconductor materials and the

  6. Properties of MIS structures based on graded-gap HgCdTe grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Voitsekhovskii, A. V.; Nesmelov, S. N.; Dzyadookh, S. M.; Varavin, V. S.; Dvoretskii, S. A.; Mikhailov, N. N.; Sidorov, Yu. G.; Vasiliev, V. V.

    2008-01-01

    The effect of near-surface graded-gap layers on the electrical characteristics of MIS structures fabricated based on heteroepitaxial Hg 1-x Cd x Te films grown by molecular beam epitaxy with a two-layer SiO 2 /Si 3 N 4 insulator and anodic oxide film is studied experimentally. It is shown that a larger modulation of capacitance (depth and width of the valley) is observed compared with the structures without the graded-gap layer. The field dependences of photovoltage of MIS structures with the graded-gap layers had a classical form and were characterized by a drop only in the enrichment region. For the structures without the graded-gap layer with x = 0.22, a drop in the voltage dependence of the photocurrent is observed in the region of pronounced inversion. This drop is governed by limitation of the space charge region by processes of tunneling generation via deep levels. The properties of the HgCdTe-insulator interfaces are studied.

  7. Temperature dependence of optical transitions in Al xGa1-xAs/GaAs quantum well structures grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Caballero-Rosas, A.; Mejia-Garcia, C.; Contreras-Puente, G.; Lopez-Lopez, M.

    2005-01-01

    Quantum well (QW) structures of Al x Ga 1-x As/GaAs were characterized by photoluminescence technique as a function of the temperature between 10 and 300 K. The structures were grown on a 500 nm thick GaAs buffer layer with Molecular Beam Epitaxy technique. We have studied the properties of in-situ Cl 2 -etched GaAs surfaces and overgrown QW structures as a function of the etching temperature (70 and 200 deg. C). Several models were used to fit the experimental points. Best fit to experimental points was obtained with the Paessler model

  8. Multiferroic fluoride BaCoF4 Thin Films Grown Via Molecular Beam Epitaxy

    Science.gov (United States)

    Borisov, Pavel; Johnson, Trent; García-Castro, Camilo; Kc, Amit; Schrecongost, Dustin; Cen, Cheng; Romero, Aldo; Lederman, David

    Multiferroic materials exhibit exciting physics related to the simultaneous presence of multiple long-range orders, in many cases consisting of antiferromagnetic (AF) and ferroelectric (FE) orderings. In order to provide a new, promising route for fluoride-based multiferroic material engineering, we grew multiferroic fluoride BaCoF4 in thin film form on Al2O3 (0001) substrates by molecular beam epitaxy. The films grow with the orthorhombic b-axis out-of-plane and with three in-plane structural twin domains along the polar c-axis directions. The FE ordering in thin films was verified by FE remanent hysteresis loops measurements at T = 14 K and by room temperature piezoresponse force microscopy (PFM). An AF behavior was found below Neel temperature TN ~ 80 K, which is in agreement with the bulk properties. At lower temperatures two additional magnetic phase transitions at 19 K and 41 K were found. First-principles calculations demonstrated that the growth strain applied to the bulk BaCoF4 indeed favors two canted spin orders, along the b- and a-axes, respectively, in addition to the main AF spin order along the c-axis. Supported by FAME (Contract 2013-MA-2382), WV Research Challenge Grant (HEPC.dsr.12.29), and DMREF-NSF 1434897.

  9. High efficiency green/yellow and red InGaN/AlGaN nanowire light-emitting diodes grown by molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    M.R. Philip

    2017-06-01

    Full Text Available We report on the achievement of high efficiency green, yellow, and red InGaN/AlGaN dot-in-a-wire nanowire light-emitting diodes grown on Si(111 by molecular beam epitaxy. The peak emission wavelengths were altered by varying the growth conditions, including the substrate temperature, and In/Ga flux ratio. The devices demonstrate relatively high (>40% internal quantum efficiency at room temperature, relative to that measured at 5 K. Moreover, negligible blue-shift in peak emission spectrum associated with no efficiency droop was measured when injection current was driven up to 556 A/cm2.

  10. Continuous room-temperature operation of GaAs-Al/sub x/Ga1/sub -//sub x/As double-heterostructure lasers prepared by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Cho, A.Y.; Dixon, R.W.; Casey, H.C. Jr.; Hartman, R.L.

    1976-01-01

    The continuous (cw) operation at temperatures as high as 100 0 C of stripe-geometry GaAs-Al/sub x/Ga/sub 1-x/As double-heterostructure lasers fabricated by molecular-beam epitaxial (MBE) techniques has been achieved. Improved MBE laser performance was the result of the extensive efforts to eliminate hydrocarbon and water vapor from the growth apparatus. For 12-μm-wide stripe-geometry lasers with 380-μm-long cavities, the cw threshold currents varied between 163 and 297 mA at room temperature

  11. Spectroscopic ellipsometry analysis of GaAs1-xNx layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ben Sedrine, N.; Rihani, J.; Stehle, J.L.; Harmand, J.C.; Chtourou, R.

    2008-01-01

    In this work, we present the effect of nitrogen incorporation on the dielectric function of GaAsN samples, grown by molecular beam epitaxy (MBE) followed by a rapid thermal annealing (for 90 s at 680 deg. C). The GaAs 1-x N x samples with N content up to 1.5% (x = 0.0%, 0.1%, 0.5%, 1.5%), are investigated using room temperature spectroscopic ellipsometry (SE). The optical transitions in the spectral region around 3 eV are analyzed by fitting analytical critical point line shapes to the second derivative of the dielectric function. It was found that the features associated with E 1 and E 1 + Δ 1 transitions are blue-shifted and become less sharp with increasing nitrogen incorporation, in contrast to the case of E 0 transition energy in GaAs 1-x N x . An increase of the split-off Δ 1 energy with nitrogen content was also obtained, in agreement to results found with MOVPE GaAs 1-x N x grown samples

  12. Polarized infrared reflectance study of free standing cubic GaN grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lee, S.C.; Ng, S.S.; Hassan, H. Abu; Hassan, Z.; Zainal, N.; Novikov, S.V.; Foxon, C.T.; Kent, A.J.

    2014-01-01

    Optical properties of free standing cubic gallium nitride grown by molecular beam epitaxy system are investigated by a polarized infrared (IR) reflectance technique. A strong reststrahlen band, which reveals the bulk-like optical phonon frequencies, is observed. Meanwhile, continuous oscillation fringes, which indicate the sample consists of two homogeneous layers with different dielectric constants, are observed in the non-reststrahlen region. By obtaining the first derivative of polarized IR reflectance spectra measured at higher angles of incidence, extra phonon resonances are identified at the edges of the reststrahlen band. The observations are verified with the theoretical results simulated based on a multi-oscillator model. - Highlights: • First time experimental studies of IR optical phonons in bulk like, cubic GaN layer. • Detection of extra phonon modes of cubic GaN by polarized IR reflectance technique. • Revelation of IR multiphonon modes of cubic GaN by first derivative numerical method. • Observation of multiphonon modes requires very high angle of incidence. • Resonance splitting effect induced by third phonon mode is a qualitative indicator

  13. Effects of magnesium contents in ZnMgO ternary alloys grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hu, Sheng-Yao, E-mail: shenghu2729@yahoo.com [Department of Digital Technology Design, Tungfang Design Institute, Hunei, Kaohsiung 82941, Taiwan (China); Chou, Wu-Ching [Department of Electrophysics, National Chiao Tung University, Hsinchu 30010, Taiwan (China); Weng, Yu-Hsiang [Department of Electrical Engineering, National Taiwan Ocean University, Keelung 20224, Taiwan (China)

    2015-07-05

    Highlights: • ZnMgO alloys with different Mg contents have been produced by MBE. • Optical and structural properties have been measured and investigated. • Stress is tensile and is increased as the increasing of Mg contents. • The asymmetric behavior of the Raman mode was influenced due to the Mg contents. - Abstract: Ternary alloys of ZnMgO samples with different magnesium contents have been grown by molecular beam epitaxy on the sapphire substrates. Room temperature photoluminescence energy of ZnMgO shifted as high as 3.677 eV by increasing Mg contents corresponding to the higher Urbach average localization energy which indicates more randomness in the alloys with higher Mg contents. XRD results are also verified that the c-axis length decreases as the increasing Mg contents linking to the increased tensile stress produced by the Mg atoms. Raman spectra analyzed by the spatial correlation model to describe that the linewidth Γ is decreased but the correlation length L is increased as the increasing of Mg contents.

  14. Effects of magnesium contents in ZnMgO ternary alloys grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hu, Sheng-Yao; Chou, Wu-Ching; Weng, Yu-Hsiang

    2015-01-01

    Highlights: • ZnMgO alloys with different Mg contents have been produced by MBE. • Optical and structural properties have been measured and investigated. • Stress is tensile and is increased as the increasing of Mg contents. • The asymmetric behavior of the Raman mode was influenced due to the Mg contents. - Abstract: Ternary alloys of ZnMgO samples with different magnesium contents have been grown by molecular beam epitaxy on the sapphire substrates. Room temperature photoluminescence energy of ZnMgO shifted as high as 3.677 eV by increasing Mg contents corresponding to the higher Urbach average localization energy which indicates more randomness in the alloys with higher Mg contents. XRD results are also verified that the c-axis length decreases as the increasing Mg contents linking to the increased tensile stress produced by the Mg atoms. Raman spectra analyzed by the spatial correlation model to describe that the linewidth Γ is decreased but the correlation length L is increased as the increasing of Mg contents

  15. Growth of layered superconductor β-PdBi{sub 2} films using molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Denisov, N.V., E-mail: denisov@iacp.dvo.ru [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); Matetskiy, A.V.; Tupkalo, A.V. [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); Zotov, A.V. [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); School of Natural Sciences, Far Eastern Federal University, 690950 Vladivostok (Russian Federation); Department of Electronics, Vladivostok State University of Economics and Service, 690600 Vladivostok (Russian Federation); Saranin, A.A. [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); School of Natural Sciences, Far Eastern Federal University, 690950 Vladivostok (Russian Federation)

    2017-04-15

    Highlights: • Bulk β-PdBi{sub 2} is layered material with advanced properties of topological superconductor. • We present a method for growing β-PdBi{sub 2} films of a desired thickness. • Method utilizes MBE growth of β-PdBi{sub 2}, using Bi(111) film on Si(111) as a template. • Electronic and superconducting properties of the films are similar to those of bulk β-PdBi{sub 2}. - Abstract: Bulk β-PdBi{sub 2} layered material exhibits advanced properties and is supposed to be probable topological superconductor. We present a method based on molecular beam epitaxy that allows us to grow β-PdBi{sub 2} films from a single β-PdBi{sub 2} triple layer up to the dozens of triple layers, using Bi(111) film on Si(111) as a template. The grown films demonstrate structural, electronic and superconducting properties similar to those of bulk β-PdBi{sub 2} crystals. Ability to grow the β-PdBi{sub 2} films of desired thickness opens the promising possibilities to explore fascinating properties of this advanced material.

  16. Strain-symmetrized Si/SiGe multi-quantum well structures grown by molecular beam epitaxy for intersubband engineering

    International Nuclear Information System (INIS)

    Zhao, M.; Karim, A.; Ni, W.-X.; Pidgeon, C.R.; Phillips, P.J.; Carder, D.; Murdin, B.N.; Fromherz, T.; Paul, D.J.

    2006-01-01

    Three strain-symmetrized Si/SiGe multi-quantum well structures, designed for probing the carrier lifetime of intrawell intersubband transitions between heavy hole 1 (HH1) and light hole 1 (LH1) states with transition energies below the optical phonon energy, were grown by molecular beam epitaxy at low temperature on fully relaxed SiGe virtual substrates. The grown structures were characterized by using various experimental techniques, showing a high crystalline quality and very precise growth control. The lifetime of the LH1 excited state was determined directly with pump-probe spectroscopy. The measurements indicated an increase of the lifetime by a factor of ∼2 due to the increasingly unconfined LH1 state, which agreed very well with the design. It also showed a very long lifetime of several hundred picoseconds for the holes excited out of the well to transit back to the well through a diagonal process

  17. Molecular beam epitaxy growth of [CrGe/MnGe/FeGe] superlattices: Toward artificial B20 skyrmion materials with tunable interactions

    Science.gov (United States)

    Ahmed, Adam S.; Esser, Bryan D.; Rowland, James; McComb, David W.; Kawakami, Roland K.

    2017-06-01

    Skyrmions are localized magnetic spin textures whose stability has been shown theoretically to depend on material parameters including bulk Dresselhaus spin orbit coupling (SOC), interfacial Rashba SOC, and magnetic anisotropy. Here, we establish the growth of a new class of artificial skyrmion materials, namely B20 superlattices, where these parameters could be systematically tuned. Specifically, we report the successful growth of B20 superlattices comprised of single crystal thin films of FeGe, MnGe, and CrGe on Si(1 1 1) substrates. Thin films and superlattices are grown by molecular beam epitaxy and are characterized through a combination of reflection high energy electron diffraction, X-ray diffraction, and cross-sectional scanning transmission electron microscopy (STEM). X-ray energy dispersive spectroscopy (XEDS) distinguishes layers by elemental mapping and indicates good interface quality with relatively low levels of intermixing in the [CrGe/MnGe/FeGe] superlattice. This demonstration of epitaxial, single-crystalline B20 superlattices is a significant advance toward tunable skyrmion systems for fundamental scientific studies and applications in magnetic storage and logic.

  18. Plasma based Ar+ beam assisted poly(dimethylsiloxane) surface modification

    International Nuclear Information System (INIS)

    Vladkova, T.G.; Keranov, I.L.; Dineff, P.D.; Youroukov, S.Y.; Avramova, I.A.; Krasteva, N.; Altankov, G.P.

    2005-01-01

    Plasma based Ar + beam performed in RF (13.56 MHz) low-pressure (200 mTorr) glow discharge (at 100 W, 1200 W and 2500 W) with a serial capacitance was employed for surface modification of poly(dimethylsiloxane) (PDMS) aimed at improvement of its interactions with living cells. The presence of a serial capacitance ensures arise of an ion-flow inside the plasma volume directed toward the treated sample and the vary of the discharge power ensures varied density of the ion-flow. XPS analysis was performed to study the changes in the surface chemical composition of the modified samples and the corresponding changes in the surface energy were monitored by contact angle measurements. We found that plasma based Ar + beam transforms the initially hydrophobic PDMS surface into a hydrophilic one mainly due to a raising of the polar component of the surface tension, this effect being most probably due to an enrichment of the modified surface layer with permanent dipoles of a [SiO x ]-based network and elimination of the original methyl groups. The initial adhesion of human fibroblast cells was studied on the described above plasma based Ar + beam modified and acrylic acid (AA) grafted or not fibronectin (FN) pre-coated or bare surfaces. The cell response seems to be related with the peculiar structure and wettability of the modified PDMS surface layer after plasma based Ar + beam treatment followed or not by AA grafting

  19. Epitaxial growth of silicon and germanium on (100-oriented crystalline substrates by RF PECVD at 175 °C

    Directory of Open Access Journals (Sweden)

    Mauguin O.

    2012-11-01

    Full Text Available We report on the epitaxial growth of crystalline Si and Ge thin films by standard radio frequency plasma enhanced chemical vapor deposition at 175 °C on (100-oriented silicon substrates. We also demonstrate the epitaxial growth of silicon films on epitaxially grown germanium layers so that multilayer samples sustaining epitaxy could be produced. We used spectroscopic ellipsometry, Raman spectroscopy, transmission electron microscopy and X-ray diffraction to characterize the structure of the films (amorphous, crystalline. These techniques were found to provide consistent results and provided information on the crystallinity and constraints in such lattice-mismatched structures. These results open the way to multiple quantum-well structures, which have been so far limited to few techniques such as Molecular Beam Epitaxy or MetalOrganic Chemical Vapor Deposition.

  20. Beam-generated plasmas for processing applications

    Science.gov (United States)

    Meger, R. A.; Blackwell, D. D.; Fernsler, R. F.; Lampe, M.; Leonhardt, D.; Manheimer, W. M.; Murphy, D. P.; Walton, S. G.

    2001-05-01

    The use of moderate energy electron beams (e-beams) to generate plasma can provide greater control and larger area than existing techniques for processing applications. Kilovolt energy electrons have the ability to efficiently ionize low pressure neutral gas nearly independent of composition. This results in a low-temperature, high-density plasma of nearly controllable composition generated in the beam channel. By confining the electron beam magnetically the plasma generation region can be designated independent of surrounding structures. Particle fluxes to surfaces can then be controlled by the beam and gas parameters, system geometry, and the externally applied rf bias. The Large Area Plasma Processing System (LAPPS) utilizes a 1-5 kV, 2-10 mA/cm2 sheet beam of electrons to generate a 1011-1012cm-3 density, 1 eV electron temperature plasma. Plasma sheets of up to 60×60 cm2 area have been generated in a variety of molecular and atomic gases using both pulsed and cw e-beam sources. The theoretical basis for the plasma production and decay is presented along with experiments measuring the plasma density, temperature, and potential. Particle fluxes to nearby surfaces are measured along with the effects of radio frequency biasing. The LAPPS source is found to generate large-area plasmas suitable for materials processing.

  1. X-ray diffraction study of InAlAs-InGaAs on InP high electron mobility transistor structure prepared by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Liu, H.Y.; Kao, Y.C.; Kim, T.S.

    1990-01-01

    High-electron mobility transistors (HEMTs) can be prepared by growing alternating epitaxial layers of InAlAs and InGaAs on InP substrates. Lattice matched HEMTs are obtained by growing layers of IN x Al (1-x) As and In y Ga (1-y) As with x ≅ 0.5227 and y ≅ 0.5324. Varying the values of x and y by controlling the individual flux during molecular-beam epitaxial (MBE) growth, one can obtain pseudomorphic HEMTs. Pseudomorphic HEMTs may have superior electronic transport properties and larger conduction band discontinuity when compared to an unstrained one. The precise control of the composition is thus important to the properties of HEMTs. This control is however very difficult and the values of x and y may vary from run to run. The authors demonstrate in this paper the capability of a double crystal rocking curve (DCRC) on the structure characterization

  2. The effect of metal-rich growth conditions on the microstructure of Sc{sub x}Ga{sub 1-x}N films grown using molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Tsui, H.C.L.; Moram, M.A. [Department of Materials, Imperial College London (United Kingdom); Goff, L.E. [Department of Materials, Imperial College London (United Kingdom); Department of Physics, University of Cambridge (United Kingdom); Barradas, N.P. [CTN - Centro de Ciencias e Tecnologias Nucleares, Instituto Superior Tecnico, Universidade de Lisboa, Bobadela LRS (Portugal); Alves, E. [IPFN - Instituto de Plasmas e Fusao Nuclear, Lisboa (Portugal); Laboratorio de Aceleradores e Tecnologias de Radiacao, Instituto Superior Tecnico, Universidade de Lisboa, Bobadela LRS (Portugal); Pereira, S. [CICECO and Department of Physics, Universidade de Aveiro (Portugal); Beere, H.E.; Farrer, I.; Nicoll, C.A.; Ritchie, D.A. [Department of Physics, University of Cambridge (United Kingdom)

    2015-12-15

    Epitaxial Sc{sub x}Ga{sub 1-x}N films with 0 ≤ x ≤ 0.50 were grown using molecular beam epitaxy under metal-rich conditions. The Sc{sub x}Ga{sub 1-x}N growth rate increased with increasing Sc flux despite the use of metal-rich growth conditions, which is attributed to the catalytic decomposition of N{sub 2} induced by the presence of Sc. Microstructural analysis showed that phase-pure wurtzite Sc{sub x}Ga{sub 1-x}N was achieved up to x = 0.26, which is significantly higher than that previously reported for nitrogen-rich conditions, indicating that the use of metal-rich conditions can help to stabilise wurtzite phase Sc{sub x}Ga{sub 1-x}N. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. AlGaN nanocolumns and AlGaN/GaN/AlGaN nanostructures grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Ristic, J.; Sanchez-Garcia, M.A.; Ulloa, J.M.; Calleja, E. [Departamento de Ingenieria Electronica, ETSI Telecomunicacion, Universidad Politecnica de Madrid, Ciudad Universitaria, 28040 Madrid (Spain); Sanchez-Paramo, J.; Calleja, J.M. [Departamento de Fisica de Materiales, Universidad Autonoma de Madrid, Cantoblanco, 28049 Madrid (Spain); Jahn, U.; Trampert, A.; Ploog, K.H. [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2002-12-01

    This work reports on the characterization of hexagonal, single crystal AlGaN nanocolumns with diameters in the range of 30 to 100 nm grown by molecular beam epitaxy on Si(111) substrates. The change of the flux ratio between the Al and the total III-element controls the alloy composition. The Al composition trend versus the Al flux is consistent both with the E{sub 2} phonon energy values measured by inelastic light scattering and the luminescence emission peaks position. High quality low dimensional AlGaN/GaN/AlGaN heterostructures with five GaN quantum discs, 2 and 4 nm thick, embedded into the AlGaN columns, were designed in order to study the quantum confinement effects. (Abstract Copyright [2002], Wiley Periodicals, Inc.)

  4. Substrate temperature dependence of ZnTe epilayers grown on GaAs(0 0 1) by molecular beam epitaxy

    Science.gov (United States)

    Zhao, Jie; Zeng, Yiping; Liu, Chao; Li, Yanbo

    2010-04-01

    ZnTe thin films have been grown on GaAs(0 0 1) substrates at different temperatures with constant Zn and Te beam equivalent pressures (BEPs) by molecular beam epitaxy (MBE). In situ reflection high-energy electron diffraction (RHEED) observation indicates that two-dimensional (2D) growth mode can be established after around one-minute three-dimensional (3D) nucleation by increasing the substrate temperature to 340 °C. We found that Zn desorption from the ZnTe surface is much greater than that of Te at higher temperatures, and estimated the Zn sticking coefficient by the evolution of growth rate. The Zn sticking coefficient decreases from 0.93 to 0.58 as the temperature is elevated from 320 to 400 °C. The ZnTe epilayer grown at 360 °C displays the narrowest full-width at half-maximum (FWHM) of 660 arcsec from (0 0 4) reflection in double-crystal X-ray rocking curve (DCXRC) measurements. The surface morphology of ZnTe epilayers is strongly dependent on the substrate temperature, and the root-mean-square (RMS) roughness diminishes drastically with the increase in temperature.

  5. Photoelectron photoion molecular beam spectroscopy

    International Nuclear Information System (INIS)

    Trevor, D.J.

    1980-12-01

    The use of supersonic molecular beams in photoionization mass spectroscopy and photoelectron spectroscopy to assist in the understanding of photoexcitation in the vacuum ultraviolet is described. Rotational relaxation and condensation due to supersonic expansion were shown to offer new possibilities for molecular photoionization studies. Molecular beam photoionization mass spectroscopy has been extended above 21 eV photon energy by the use of Stanford Synchrotron Radiation Laboratory (SSRL) facilities. Design considerations are discussed that have advanced the state-of-the-art in high resolution vuv photoelectron spectroscopy. To extend gas-phase studies to 160 eV photon energy, a windowless vuv-xuv beam line design is proposed

  6. Characteristics of AlN/GaN nanowire Bragg mirror grown on (001) silicon by molecular beam epitaxy

    KAUST Repository

    Heo, Junseok

    2013-10-01

    GaN nanowires containing AlN/GaN distributed Bragg reflector (DBR) heterostructures have been grown on (001) silicon substrate by molecular beam epitaxy. A peak reflectance of 70% with normal incidence at 560 nm is derived from angle resolved reflectance measurements on the as-grown nanowire DBR array. The measured peak reflectance wavelength is significantly blue-shifted from the ideal calculated value. The discrepancy is explained by investigating the reflectance of the nanoscale DBRs with a finite difference time domain technique. Ensemble nanowire microcavities with In0.3Ga 0.7N nanowires clad by AlN/GaN DBRs have also been characterized. Room temperature emission from the microcavity exhibits considerable linewidth narrowing compared to that measured for unclad In0.3Ga0.7N nanowires. The resonant emission is characterized by a peak wavelength and linewidth of 575 nm and 39 nm, respectively. © 2013 AIP Publishing LLC.

  7. Far-infrared phonon spectroscopy of Pb1-xMn xTe layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Romcevic, N.; Nadolny, A.J.; Romcevic, M.; Story, T.; Taliashvili, B.; Milutinovic, A.; Trajic, J.; Lusakowska, E.; Vasiljevic-Radovic, D.; Domukhovski, V.; Osinniy, V.; Hadzic, B.; Dziawa, P.

    2007-01-01

    In this paper we used far-infrared spectroscopy, reflection high energy electron diffraction (RHEED), X-ray diffraction and atomic force microscopy (AFM) to investigate structural and optical properties of Pb 1-x Mn x Te layers grown by molecular beam epitaxy (MBE). A numerical model for calculating the reflectivity coefficient for complex systems which include films, buffer layer and substrate has been applied. The infrared reflectivity spectra consist of Pb 1-x Mn x Te phonons, which exhibit intermediate one-two mode behavior, and MnTe phonons. A good agreement between calculated and experimental spectra is achieved. We registered the local distribution of Mn impurities depending on substrate type. For films growth on BaF 2 substrate we registered the orthorhombic local structure of MnTe clusters, while in the case of KCl substrate this structure is cubic. The Pb 1-x Mn x Te long wavelength optical phonons were described by the modified Genzel's model

  8. Epitaxial growth of manganese oxide films on MgAl2O4 (001) substrates and the possible mechanism

    Science.gov (United States)

    Ren, Lizhu; Wu, Shuxiang; Zhou, Wenqi; Li, Shuwei

    2014-03-01

    Three types of manganese oxide films were grown on MgAl2O4 (001) substrates by plasma-assisted molecular beam epitaxy (PA-MBE) under different growth rates and substrate temperatures. The structural characteristics and chemical compositions of the films were investigated by using in-situ reflection high-energy electron diffraction (RHEED), ex-situ X-ray diffraction, Raman, and X-ray photoelectron spectra (XPS). At a lower substrate temperature (730 K), the epitaxial film tends to form mixed phases with a coexistence of Mn3O4 and Mn5O8 in order to relieve the mismatch-strain. However, at a higher substrate temperature (750 K), all of the films crystallize into Mn3O4; the critical thickness of the film grown under a lower growth rate (7 Å/min) is much larger than that under a high growth rate (10 Å/min). When the film reaches a certain critical thickness, the surface will become fairly rough, and another oriented phase Mn3O4 would crystallize on such a surface.

  9. Evolution of spirals during molecular beam epitaxy of GaN on 6H-SiC(0001)

    International Nuclear Information System (INIS)

    Cui, Y.; Li, L.

    2002-01-01

    Evolution of spirals during molecular beam epitaxy growth of GaN films on 6H-SiC(0001) was studied by in situ scanning tunneling microscopy. It was found that dislocations emerge at the film surface, creating straight steps with orientation along directions with a density of 10 10 cm -2 for 40-nm-thick films. During subsequent growth, these straight steps wind around dislocations and develop into spirals with a density of 10 9 cm -2 for 100-nm-thick films. The spirals can be classified into three types: single arm, interlocking double arm, and closed loop. The first two types originate from steps with one end pinned, and the third type results from steps with both ends pinned. At film thickness larger than 200 nm, these spirals further evolve into spiral mounds with a density of 10 7 cm -2 . Based on the Burton, Cabrera, and Frank theory, a model is proposed to explain the formation of different types of spirals and the reduction of their densities

  10. Ellipsometric study of GaN/AIN/Si(111) heterostructures grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Nabi, M. A. U.; Ashfaq, A.; Arshad, M. I.; Ali, A.; Mahmood, K.; Hasan, M. A.; Asghar, M.

    2013-01-01

    GaN and related structures attracted a great interest in the recent years for electronic and optoelectronic applications due to their promising properties. GaN is grown popularly on foreign substrates like sapphire and SiC. However, silicon due to its favourable properties attended the great attention of material scientists and researchers to utilize as substrate for heteroepitaxy of GaN based structures and devices. Silicon substrates are low cost, available in large diameters and have well characterized thermal and electrical properties. In this study, GaN/AlN/Si(111) heterostructures were grown by molecular beam epitaxy. We performed x-ray diffraction spectroscopy and spectroscopic ellipsometry on these samples to study their structural and optical properties. XRD measurements performed on these samples revealed the presence of high quality GaN films as well as the presence of AlN buffer layer with the following miller indices: GaN (002), GaN (004), GaN (006) and GaN (110) along with Si peak of phase (111). The ellipsometric data obtained were used to characterize the GaN/Si samples as a function of film thickness. Refractive index, extinction coefficient and dielectric constant were calculated by the measured data. (author)

  11. Transport Measurements and Synchrotron-Based X-Ray Absorption Spectroscopy of Iron Silicon Germanide Grown by Molecular Beam Epitaxy

    Science.gov (United States)

    Elmarhoumi, Nader; Cottier, Ryan; Merchan, Greg; Roy, Amitava; Lohn, Chris; Geisler, Heike; Ventrice, Carl, Jr.; Golding, Terry

    2009-03-01

    Some of the iron-based metal silicide and germanide phases have been predicted to be direct band gap semiconductors. Therefore, they show promise for use as optoelectronic materials. We have used synchrotron-based x-ray absorption spectroscopy to study the structure of iron silicon germanide films grown by molecular beam epitaxy. A series of Fe(Si1-xGex)2 thin films (2000 -- 8000å) with a nominal Ge concentration of up to x = 0.04 have been grown. X-ray absorption near edge structure (XANES) and extended x-ray absorption fine structure (EXAFS) measurements have been performed on the films. The nearest neighbor co-ordination corresponding to the β-FeSi2 phase of iron silicide provides the best fit with the EXAFS data. Temperature dependent (20 coefficient was calculated. Results suggest semiconducting behavior of the films which is consistent with the EXAFS results.

  12. Molecular beam epitaxy of InN layers on Sapphire, GaN and indium tin oxide

    Energy Technology Data Exchange (ETDEWEB)

    Denker, Christian; Landgraf, Boris; Schuhmann, Henning; Malindretos, Joerg; Seibt, Michael; Rizzi, Angela [IV. Physikalisches Institut, Georg-August-Universitaet Goettingen (Germany); Segura-Ruiz, Jaime; Gomez-Gomez, Maribel; Cantarero, Andres [Materials Science Institute, University of Valencia, Paterna (Spain)

    2009-07-01

    Among the group-III nitrides semiconductors, InN is the one with the narrowest gap (0.67 eV), lowest effective electron mass and highest peak drift velocity. It is therefore a very interesting material for several applications, in particular semiconductor solar cells. Furthermore, the high electron affinity makes it suitable also as electrode material for organic solar cells. InN layers were grown by molecular beam epitaxy on MOCVD GaN templates, on bare c-plane sapphire and on polycrystalline indium tin oxide. On all substrates the III-V ratio as well as the substrate temperature was varied. A RHEED analysis of InN growth on GaN showed a relatively sharp transition from N-rich and columnar growth to In-rich growth with droplet formation by increasing the In flux impinging on the surface. The InN layers on single crystalline substrates were characterized by SEM, AFM, XRD, PL and Raman. The InN layers on ITO were mainly analyzed with respect to the surface morphology with SEM. HRTEM in cross section gives insight into the structure of the interface to the ITO substrate.

  13. High electron mobility through the edge states in random networks of c-axis oriented wedge-shaped GaN nanowalls grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Bhasker, H. P.; Dhar, S.; Sain, A.; Kesaria, Manoj; Shivaprasad, S. M.

    2012-01-01

    Transport and optical properties of random networks of c-axis oriented wedge-shaped GaN nanowalls grown spontaneously on c-plane sapphire substrates through molecular beam epitaxy are investigated. Our study suggests a one dimensional confinement of carriers at the top edges of these connected nanowalls, which results in a blue shift of the band edge luminescence, a reduction of the exciton-phonon coupling, and an enhancement of the exciton binding energy. Not only that, the yellow luminescence in these samples is found to be completely suppressed even at room temperature. All these changes are highly desirable for the enhancement of the luminescence efficiency of the material. More interestingly, the electron mobility through the network is found to be significantly higher than that is typically observed for GaN epitaxial films. This dramatic improvement is attributed to the transport of electrons through the edge states formed at the top edges of the nanowalls.

  14. A critical discussion of the vacancy diffusion model of ion beam induced epitaxial crystallization

    International Nuclear Information System (INIS)

    Heera, V.

    1989-01-01

    A simple vacancy diffusion model of ion beam induced epitaxial crystallization of silicon including divacancy formation is developed. The model reproduces some of the experimental findings, as e.g. the dose rate dependence of the crystallization rate. However, the measured activation energy of the ion beam induced epitaxial crystallization cannot be accounted for by vacancy diffusion alone. (author)

  15. Nitride-based Quantum-Confined Structures for Ultraviolet-Visible Optical Devices on Silicon Substrates

    KAUST Repository

    Janjua, Bilal

    2017-01-01

    quality GaN, InGaN and AlGaN Qdisks-in-NWs based on careful optimization of the growth parameters, coupled with a meticulous layer structure and active region design. The NWs were grown, catalyst-free, using plasma assisted molecular beam epitaxy (PAMBE

  16. Passivation effect on optical and electrical properties of molecular beam epitaxy-grown HgCdTe/CdTe/Si layers

    Science.gov (United States)

    Kiran, Rajni; Mallick, Shubhrangshu; Hahn, Suk-Ryong; Lee, T. S.; Sivananthan, Sivalingam; Ghosh, Siddhartha; Wijewarnasuriya, P. S.

    2006-06-01

    The effects of passivation with two different passivants, ZnS and CdTe, and two different passivation techniques, physical vapor deposition (PVD) and molecular beam epitaxy (MBE), were quantified in terms of the minority carrier lifetime and extracted surface recombination velocity on both MBE-grown medium-wavelength ir (MWIR) and long-wavelength ir HgCdTe samples. A gradual increment of the minority carrier lifetime was reported as the passivation technique was changed from PVD ZnS to PVD CdTe, and finally to MBE CdTe, especially at low temperatures. A corresponding reduction in the extracted surface recombination velocity in the same order was also reported for the first time. Initial data on the 1/ f noise values of as-grown MWIR samples showed a reduction of two orders of noise power after 1200-Å ZnS deposition.

  17. Effects of substrate anisotropy and edge diffusion on submonolayer growth during molecular beam epitaxy: A Kinetic Monte Carlo study

    International Nuclear Information System (INIS)

    Devkota, J.; Shrestha, S.P.

    2007-12-01

    We have performed Kinetic Monte Carlo simulation work to study the effect of diffusion anisotropy, bonding anisotropy and edge diffusion on island formation at different temperatures during the sub-monolayer film growth in Molecular Beam Epitaxy. We use simple cubic solid on solid model and event based Bortz, Kalos and Labowitch (BKL) algorithm on the Kinetic Monte Carlo method to simulate the physical phenomena. We have found that the island morphology and growth exponent are found to be influenced by substrate anisotropy as well as edge diffusion, however they do not play a significant role in island elongation. The growth exponent and island size distribution are observed to be influenced by substrate anisotropy but are negligibly influenced by edge diffusion. We have found fractal islands when edge diffusion is excluded and compact islands when edge diffusion is included. (author)

  18. Plasma-assisted atomic layer epitaxial growth of aluminum nitride studied with real time grazing angle small angle x-ray scattering

    Energy Technology Data Exchange (ETDEWEB)

    Anderson, Virginia R.; Nepal, Neeraj; Johnson, Scooter D.; Robinson, Zachary R.; Nath, Anindya; Kozen, Alexander C.; Qadri, Syed B.; DeMasi, Alexander; Hite, Jennifer K.; Ludwig, Karl F.; Eddy, Charles R.

    2017-05-01

    Wide bandgap semiconducting nitrides have found wide-spread application as light emitting and laser diodes and are under investigation for further application in optoelectronics, photovoltaics, and efficient power switching technologies. Alloys of the binary semiconductors allow adjustments of the band gap, an important semiconductor material characteristic, which is 6.2 eV for aluminum nitride (AlN), 3.4 eV for gallium nitride, and 0.7 eV for (InN). Currently, the highest quality III-nitride films are deposited by metalorganic chemical vapor deposition and molecular beam epitaxy. Temperatures of 900 °C and higher are required to deposit high quality AlN. Research into depositing III-nitrides with atomic layer epitaxy (ALEp) is ongoing because it is a fabrication friendly technique allowing lower growth temperatures. Because it is a relatively new technique, there is insufficient understanding of the ALEp growth mechanism which will be essential to development of the process. Here, grazing incidence small angle x-ray scattering is employed to observe the evolving behavior of the surface morphology during growth of AlN by ALEp at temperatures from 360 to 480 °C. Increased temperatures of AlN resulted in lower impurities and relatively fewer features with short range correlations.

  19. Reproducible increased Mg incorporation and large hole concentration in GaN using metal modulated epitaxy

    International Nuclear Information System (INIS)

    Burnham, Shawn D.; Doolittle, W. Alan; Namkoong, Gon; Look, David C.; Clafin, Bruce

    2008-01-01

    The metal modulated epitaxy (MME) growth technique is reported as a reliable approach to obtain reproducible large hole concentrations in Mg-doped GaN grown by plasma-assisted molecular-beam epitaxy on c-plane sapphire substrates. An extremely Ga-rich flux was used, and modulated with the Mg source according to the MME growth technique. The shutter modulation approach of the MME technique allows optimal Mg surface coverage to build between MME cycles and Mg to incorporate at efficient levels in GaN films. The maximum sustained concentration of Mg obtained in GaN films using the MME technique was above 7x10 20 cm -3 , leading to a hole concentration as high as 4.5x10 18 cm -3 at room temperature, with a mobility of 1.1 cm 2 V -1 s -1 and a resistivity of 1.3 Ω cm. At 580 K, the corresponding values were 2.6x10 19 cm -3 , 1.2 cm 2 V -1 s -1 , and 0.21 Ω cm, respectively. Even under strong white light, the sample remained p-type with little change in the electrical parameters

  20. Reproducible increased Mg incorporation and large hole concentration in GaN using metal modulated epitaxy

    Science.gov (United States)

    Burnham, Shawn D.; Namkoong, Gon; Look, David C.; Clafin, Bruce; Doolittle, W. Alan

    2008-07-01

    The metal modulated epitaxy (MME) growth technique is reported as a reliable approach to obtain reproducible large hole concentrations in Mg-doped GaN grown by plasma-assisted molecular-beam epitaxy on c-plane sapphire substrates. An extremely Ga-rich flux was used, and modulated with the Mg source according to the MME growth technique. The shutter modulation approach of the MME technique allows optimal Mg surface coverage to build between MME cycles and Mg to incorporate at efficient levels in GaN films. The maximum sustained concentration of Mg obtained in GaN films using the MME technique was above 7×1020cm-3, leading to a hole concentration as high as 4.5×1018cm-3 at room temperature, with a mobility of 1.1cm2V-1s-1 and a resistivity of 1.3Ωcm. At 580K, the corresponding values were 2.6×1019cm-3, 1.2cm2V-1s-1, and 0.21Ωcm, respectively. Even under strong white light, the sample remained p-type with little change in the electrical parameters.

  1. Real-time observation of rotational twin formation during molecular-beam epitaxial growth of GaAs on Si (111) by x-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Hidetoshi, E-mail: hsuzuki@cc.miyazaki-u.ac.jp [Faculty of Engineering, University of Miyazaki, 1-1 Gakuen-Kibanadai-Nishi, Miyazaki 889-2192 (Japan); Nakata, Yuka; Takahasi, Masamitu [Graduate School of Materials Science, University of Hyogo, 3-2-1 Koto, Kamigori-cho, Hyogo 678-1297 (Japan); Quantum Beam Science Center, Japan Atomic Energy Agency, 1-1-1 Koto, Sayo-cho, Hyogo 679-5148 (Japan); Ikeda, Kazuma [Toyota Technological Institute, 2-12-1 Hisakata, Tempaku, Nagoya 468-8511 (Japan); Ohshita, Yoshio; Morohara, Osamu; Geka, Hirotaka; Moriyasu, Yoshitaka [Advanced Devices and Sensor Systems Development Center, Asahi Kasei Co. Ltd., 2-1 Samejima, Fuji 416-8501 (Japan)

    2016-03-15

    The formation and evolution of rotational twin (TW) domains introduced by a stacking fault during molecular-beam epitaxial growth of GaAs on Si (111) substrates were studied by in situ x-ray diffraction. To modify the volume ratio of TW to total GaAs domains, GaAs was deposited under high and low group V/group III (V/III) flux ratios. For low V/III, there was less nucleation of TW than normal growth (NG) domains, although the NG and TW growth rates were similar. For high V/III, the NG and TW growth rates varied until a few GaAs monolayers were deposited; the mean TW domain size was smaller for all film thicknesses.

  2. High-temperature operation of self-assembled GaInNAs/GaAsN quantum-dot lasers grown by solid-source molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Liu, C.Y.; Yoon, S.F.; Sun, Z.Z.; Yew, K.C.

    2006-01-01

    Self-assembled GaInNAs/GaAsN single layer quantum-dot (QD) lasers grown using solid-source molecular-beam epitaxy have been fabricated and characterized. Temperature-dependent measurements have been carried out on the GaInNAs QD lasers. The lowest obtained threshold current density in this work is ∼1.05 kA/cm 2 from a GaInNAs QD laser (50x1700 μm 2 ) at 10 deg. C. High-temperature operation up to 65 deg. C was also demonstrated from an unbonded GaInNAs QD laser (50x1060 μm 2 ), with high characteristic temperature of 79.4 K in the temperature range of 10-60 deg. C

  3. Control of N/N2 species ratio in NO plasma for p-type doping of ZnO

    International Nuclear Information System (INIS)

    Chen Xingyou; Zhang Zhenzhong; Jiang Mingming; Wang Shuangpeng; Li Binghui; Shan Chongxin; Liu Lei; Zhao Dongxu; Shen Dezhen; Yao Bin

    2011-01-01

    Nitrogen-doped ZnO thin films were grown on c-plane sapphire (Al 2 O 3 ) substrates via plasma-assisted molecular beam epitaxy using plasma activated nitric oxide (NO) as the oxygen source and dopant. X-ray diffraction measurements indicate that a small NO flux benefits the crystal quality of the thin films. Hall effect measurements indicate that the electron density of the ZnO films decreases gradually with decreasing NO flux, and the conduction reverses to p-type at a certain flux. Optical emission spectra indicate that the N atom content in the NO plasma increases with decreasing NO flux, and the origin of this is discussed. X-ray photoelectron spectroscopy measurements demonstrate that the number of N atom occupied O sites in the ZnO lattice increases correspondingly.

  4. Epitaxy physical principles and technical implementation

    CERN Document Server

    Herman, Marian A; Sitter, Helmut

    2004-01-01

    Epitaxy provides readers with a comprehensive treatment of the modern models and modifications of epitaxy, together with the relevant experimental and technological framework. This advanced textbook describes all important aspects of the epitaxial growth processes of solid films on crystalline substrates, including a section on heteroepitaxy. It covers and discusses in details the most important epitaxial growth techniques, which are currently widely used in basic research as well as in manufacturing processes of devices, namely solid-phase epitaxy, liquid-phase epitaxy, vapor-phase epitaxy, including metal-organic vapor-phase epitaxy and molecular-beam epitaxy. Epitaxy’s coverage of science and texhnology thin-film is intended to fill the need for a comprehensive reference and text examining the variety of problems related to the physical foundations and technical implementation of epitaxial crystallization. It is intended for undergraduate students, PhD students, research scientists, lecturers and practic...

  5. Beam-plasma discharge in a Kyoto beam-plasma-ion source

    International Nuclear Information System (INIS)

    Ishikawa, J.; Takagi, T.

    1983-01-01

    A beam-plasma type ion source employing an original operating principle has been developed by the present authors. The ion source consists of an ion extraction region with an electron gun, a thin long drift tube as the plasma production chamber, and a primary electron beam collector. An electron beam is effectively utilized for the dual purpose of high density plasma production as a result of beam-plasma discharge, and high current ion beam extraction with ion space-charge compensation. A high density plasma of the order of 10 11 --10 13 cm -3 was produced by virtue of the beam-plasma discharge which was caused by the interaction between a space-charge wave on the electron beam and a high frequency plasma wave. The plasma density then produced was 10 2 --10 3 times the density produced only by collisional ionization by the electron beam. In order to obtain a stable beam-plasma discharge, a secondary electron beam emitted from the electron collector should be utilized. The mechanism of the beam-plasma discharge was analyzed by use of a linear theory in the case of the small thermal energy of the electron beam, and by use of a quasilinear theory in the case of the large thermal energy. High current ion beams of more than 0.1 A were extracted even at a low extraction voltage of 1--5 kV

  6. Comparison of linear and nonlinear optical spectra of various ZnO epitaxial layers and of bulk material obtained by different experimental techniques

    Energy Technology Data Exchange (ETDEWEB)

    Priller, H.; Brueckner, J.; Klingshirn, C.; Kalt, H. [Institut fuer Angewandte Physik, Universitaet Karlsruhe, Wolfgang-Gaede-Str. 1, 76131 Karlsruhe (Germany); Gruber, Th.; Waag, A. [Abteilung Halbleiterphysik, Universitaet Ulm, Albert Einstein Allee 45, 89081 Ulm (Germany); Ko, H.J.; Yao, T. [Institute for Material Research, Tohoku University, Katahira 2-1-1, Aoba-Ku, Sendai 980-8577 (Japan)

    2004-03-01

    We investigate ZnO epitaxial layers grown by MBE (Molecular Beam Epitaxy) and MOVPE (Metal Organic Vapor Phase Epitaxy) techniques. The samples show similar optical behavior in temperature dependent photoluminescence measurements, reflection and photoluminescence excitation spectroscopy in the low density regime. High excitation measurements show different behavior. While the MBE sample leads to stimulated emission from the exciton-exciton-scattering, an electron hole plasma is formed in the MOVPE sample which leads to stimulated emission at higher excitation intensities. The gain value measured by the variable stripe length method is much higher for the MBE grown sample. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Halogen doping of II-VI semiconductors during molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Waag, A.; Litz, Th.; Fischer, F.; Heinke, H.; Scholl, S.; Hommel, D.; Landwehr, G. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Bilger, G. (Zentrum fuer Sonnenenergie und Wasserstoff-Forschung, Stuttgart (Germany))

    1994-04-14

    Results on the halogen doping of CdTe, (CdMn)Te as well as (CdMg)Te thin films and quantum well structures are reported. The structures were grown by molecular beam epitaxy. The samples have been investigated by Van der Pauw, photoconductivity, X-ray diffraction, XPS and SIMS measurements. ZnCl[sub 2] and ZnBr[sub 2] have been used as dopant sources. Free carrier concentrations at room temperature above 10[sup 18] cm[sup -3] can easily be achieved for CdTe for a wide range of Cd/Te flux ratios and substrate temperatures. In the ternary alloys, the free carrier concentration decreases drastically with increasing x-values, despite a constant incorporation of the dopant species. In addition, persistent photoconductivity has been observed in n-type doped ternary thin films at low temperatures. The decrease of the free carrier concentration with x-value is common to other wide-gap ternary alloys, and the reason for it is discussed in the frame of DX-like deep donor impurities in ternary II-VI compounds. In first experiments on planar halogen doping of CdTe, a doping level of 5x10[sup 18] cm[sup -3] could be reached in the doped regions, the highest value ever reported for CdTe. A clear influence of dopant incorporation on the structural quality of CdTe thin films has been seen even for dopant concentrations of as low as 10[sup 18] cm[sup -3]. The FWHM of the rocking curves decreased by a factor of 2 with increasing dopant incorporation. SIMS as well as XPS measurements demonstrate that the Cl/Zn and Br/Zn ratio in the doped films is 2/1, but no chemical shift corresponding to Zn-Cl or Zn-Br bonds could be detected. A model for the incorporation of the halogens is proposed on the basis of these results

  8. Mn2Au: Body-centered-tetragonal bimetallic antiferromagnets grown by molecular beam epitataxy

    Czech Academy of Sciences Publication Activity Database

    Wu, H.C.; Liao, Z.M.; Sofin, R.G.S.; Feng, G.; Ma, X.M.; Shick, Alexander; Mryasov, O. N.; Shvets, I.V.

    2012-01-01

    Roč. 24, č. 47 (2012), s. 6374-6379 ISSN 0935-9648 Institutional research plan: CEZ:AV0Z10100520 Keywords : antiferromagnets * antiferromagnetic spintronics * exchange bias * molecular beam epitaxy Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 14.829, year: 2012

  9. Powder free PECVD epitaxial silicon by plasma pulsing or increasing the growth temperature

    Science.gov (United States)

    Chen, Wanghua; Maurice, Jean-Luc; Vanel, Jean-Charles; Cabarrocas, Pere Roca i.

    2018-06-01

    Crystalline silicon thin films are promising candidates for low cost and flexible photovoltaics. Among various synthesis techniques, epitaxial growth via low temperature plasma-enhanced chemical vapor deposition is an interesting choice because of two low temperature related benefits: low thermal budget and better doping profile control. However, increasing the growth rate is a tricky issue because the agglomeration of clusters required for epitaxy leads to powder formation in the plasma. In this work, we have measured precisely the time evolution of the self-bias voltage in silane/hydrogen plasmas at millisecond time scale, for different values of the direct-current bias voltage applied to the radio frequency (RF) electrode and growth temperatures. We demonstrate that the decisive factor to increase the epitaxial growth rate, i.e. the inhibition of the agglomeration of plasma-born clusters, can be obtained by decreasing the RF OFF time or increasing the growth temperature. The influence of these two parameters on the growth rate and epitaxial film quality is also presented.

  10. Abnormal optical behaviour of InAsSb quantum dots grown on GaAs substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Rihani, J.; Ben Sedrine, N.; Sallet, V.; Harmand, J.C.; Oueslati, M.; Chtourou, R.

    2008-01-01

    InAs(Sb) quantum dots (QDs) samples were grown on GaAs (001) substrate by Molecular Beam Epitaxy (MBE). The structural characterization by Atomic Force Microscopy (AFM) of samples shows that InAsSb islands size increases strongly with antimony incorporation in InAs/GaAs QDs and decreases with reducing the growth temperature from 520 deg. C to 490 deg. C. Abnormal optical behaviour was observed in room temperature (RT) photoluminescence (PL) spectra of samples grown at high temperature (520 deg. C). Temperature dependent PL study was investigated and reveals an anomalous evolution of emission peak energy (EPE) of InAsSb islands, well-known as 'S-inverted curve' and attributed to the release of confined carriers from the InAsSb QDs ground states to the InAsSb wetting layer (WL) states. With only decreasing the growth temperature, the S-inverted shape was suppressed indicating a fulfilled 3D-confinement of carriers in the InAsSb/GaAs QD sample

  11. Molecular beam epitaxy of quasi-freestanding transition metal disulphide monolayers on van der Waals substrates: a growth study

    Science.gov (United States)

    Hall, Joshua; Pielić, Borna; Murray, Clifford; Jolie, Wouter; Wekking, Tobias; Busse, Carsten; Kralj, Marko; Michely, Thomas

    2018-04-01

    Based on an ultra-high vacuum compatible two-step molecular beam epitaxy synthesis with elemental sulphur, we grow clean, well-oriented, and almost defect-free monolayer islands and layers of the transition metal disulphides MoS2, TaS2 and WS2. Using scanning tunneling microscopy and low energy electron diffraction we investigate systematically how to optimise the growth process, and provide insight into the growth and annealing mechanisms. A large band gap of 2.55 eV and the ability to move flakes with the scanning tunneling microscope tip both document the weak interaction of MoS2 with its substrate consisting of graphene grown on Ir(1 1 1). As the method works for the synthesis of a variety of transition metal disulphides on different substrates, we speculate that it could be of great use for providing hitherto unattainable high quality monolayers of transition metal disulphides for fundamental spectroscopic investigations.

  12. Control of N/N{sub 2} species ratio in NO plasma for p-type doping of ZnO

    Energy Technology Data Exchange (ETDEWEB)

    Chen Xingyou [Key Laboratory of Excited State Processes and Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, 3888 Dongnanhu Road, Changchun, 130033 (China); Graduate School of the Chinese Academy of Sciences, Beijing 100049 (China); Zhang Zhenzhong; Jiang Mingming; Wang Shuangpeng; Li Binghui; Shan Chongxin; Liu Lei; Zhao Dongxu; Shen Dezhen [Key Laboratory of Excited State Processes and Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, 3888 Dongnanhu Road, Changchun, 130033 (China); Yao Bin [State Key Laboratory of Superhard Materials and College of Physics, Jilin University, Changchun 130023 (China)

    2011-09-01

    Nitrogen-doped ZnO thin films were grown on c-plane sapphire (Al{sub 2}O{sub 3}) substrates via plasma-assisted molecular beam epitaxy using plasma activated nitric oxide (NO) as the oxygen source and dopant. X-ray diffraction measurements indicate that a small NO flux benefits the crystal quality of the thin films. Hall effect measurements indicate that the electron density of the ZnO films decreases gradually with decreasing NO flux, and the conduction reverses to p-type at a certain flux. Optical emission spectra indicate that the N atom content in the NO plasma increases with decreasing NO flux, and the origin of this is discussed. X-ray photoelectron spectroscopy measurements demonstrate that the number of N atom occupied O sites in the ZnO lattice increases correspondingly.

  13. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    Science.gov (United States)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  14. Molecular beam sampling of a hollow cathode arc

    International Nuclear Information System (INIS)

    Theuws, P.

    1981-01-01

    This thesis deals with the description of the process of molecular beam sampling of a Hollow Cathode Arc. The aim of the study is twofold, i.e. investigation of the applicability of molecular beam sampling as a plasma diagnostic and the use of a Hollow Cathode Arc as a high intensity beam source for ground state atoms and metastable state atoms in the superthermal energy range. Suitable models are introduced, describing the process of molecular beam sampling of both ground state atoms and metastable state atoms. Fast ground state atoms produced by ion-atom collisions. The experimental facilities, i.e. the Hollow Cathode Arc, the time-of-flight machine and the dye laser system are described. And an alternative detection scheme for ground state atoms is presented and experimental results on the molecular beam sampling of a low density plasma (densities 10 19 -10 20 m -3 ) in the long arc configuration are reported. The results on the short arc configuration (densities 10 21 -10 22 m -3 ) are discussed. (Auth.)

  15. Specific features of NH3 and plasma-assisted MBE in the fabrication of III-N HEMT heterostructures

    International Nuclear Information System (INIS)

    Alexeev, A. N.; Krasovitsky, D. M.; Petrov, S. I.; Chaly, V. P.; Mamaev, V. V.; Sidorov, V. G.

    2015-01-01

    The specific features of how nitride HEMT heterostructures are produced by NH 3 and plasma-assisted (PA) molecular-beam epitaxy (MBE) are considered. It is shown that the use of high-temperature AlN/AlGaN buffer layers grown with ammonia at extremely high temperatures (up to 1150°C) can drastically improve the structural perfection of the active GaN layers and reduce the dislocation density in these layers to values of 9 × 10 8 −1 × 10 9 cm −2 . The use of buffer layers of this kind makes it possible to obtain high-quality GaN/AlGaN heterostructures by both methods. At the same time, in contrast to ammonia MBE which is difficult to apply at T < 500°C (because of the low efficiency of ammonia decomposition), PA MBE is rather effective at low temperatures, e.g., for the growth of InAlN layers lattice-matched with GaN. The results obtained in the MBE growth of AlN/AlGaN/GaN/InAlN heterostructures by both PA-MBE and NH 3 -MBE with an extremely high ammonia flux are demonstrated

  16. Behaviors of beryllium compensation doping in InGaAsP grown by gas source molecular beam epitaxy

    Science.gov (United States)

    Ma, Y. J.; Zhang, Y. G.; Gu, Y.; Xi, S. P.; Chen, X. Y.; Liang, Baolai; Juang, Bor-Chau; Huffaker, Diana L.; Du, B.; Shao, X. M.; Fang, J. X.

    2017-07-01

    We report structural properties as well as electrical and optical behaviors of beryllium (Be)-doped InGaAsP lattice-matched to InP grown by gas source molecular beam epitaxy. P type layers present a high degree of compensation on the order of 1018 cm-3, and for Be densities below 9.5×1017 cm-3, they are found to be n type. Enhanced incorporation of oxygen during Be doping is observed by secondary ion mass spectroscopy. Be in forms of interstitial donors or donor-like Be-O complexes for cell temperatures below 800°C is proposed to account for such anomalous compensation behaviors. A constant photoluminescence energy of 0.98 eV without any Moss-Burstein shift for Be doping levels up to 1018 cm-3 along with increased emission intensity due to passivation effect of Be is also observed. An increasing number of minority carriers tend to relax via Be defect state-related Shockley-Read-Hall recombination with the increase of Be doping density.

  17. Behaviors of beryllium compensation doping in InGaAsP grown by gas source molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Y. J. Ma

    2017-07-01

    Full Text Available We report structural properties as well as electrical and optical behaviors of beryllium (Be-doped InGaAsP lattice-matched to InP grown by gas source molecular beam epitaxy. P type layers present a high degree of compensation on the order of 1018 cm−3, and for Be densities below 9.5×1017 cm−3, they are found to be n type. Enhanced incorporation of oxygen during Be doping is observed by secondary ion mass spectroscopy. Be in forms of interstitial donors or donor-like Be-O complexes for cell temperatures below 800°C is proposed to account for such anomalous compensation behaviors. A constant photoluminescence energy of 0.98 eV without any Moss-Burstein shift for Be doping levels up to 1018 cm−3 along with increased emission intensity due to passivation effect of Be is also observed. An increasing number of minority carriers tend to relax via Be defect state-related Shockley-Read-Hall recombination with the increase of Be doping density.

  18. Interaction of GaN epitaxial layers with atomic hydrogen

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S

    2004-08-15

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H{sub 2} plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states.

  19. Interaction of GaN epitaxial layers with atomic hydrogen

    International Nuclear Information System (INIS)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S.

    2004-01-01

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H 2 plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states

  20. Double capping of molecular beam epitaxy grown InAs/InP quantum dots studied by cross-sectional scanning tunneling microscopy

    International Nuclear Information System (INIS)

    Ulloa, J. M.; Koenraad, P. M.; Gapihan, E.; Letoublon, A.; Bertru, N.

    2007-01-01

    Cross-sectional scanning tunneling microscopy was used to study at the atomic scale the double capping process of self-assembled InAs/InP quantum dots (QDs) grown by molecular beam epitaxy on a (311)B substrate. The thickness of the first capping layer is found to play a mayor role in determining the final results of the process. For first capping layers up to 3.5 nm, the height of the QDs correspond to the thickness of the first capping layer. Nevertheless, for thicknesses higher than 3.5 nm, a reduction in the dot height compared to the thickness of the first capping layer is observed. These results are interpreted in terms of a transition from a double capping to a classical capping process when the first capping layer is thick enough to completely cover the dots

  1. Sb surfactant effect on GaInAs/GaAs highly strained quantum well lasers emitting at 1200 nm range grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Kageyama, Takeo; Miyamoto, Tomoyuki; Ohta, Masataka; Matsuura, Tetsuya; Matsui, Yasutaka; Furuhata, Tatsuya; Koyama, Fumio

    2004-01-01

    A surfactant effect of antimony (Sb) on highly strained GaInAs quantum wells (QWs) was studied by molecular beam epitaxy. Noticeable improvement of the photoluminescence (PL) was observed by adding the dilute Sb. The QWs showed an increased PL intensity and narrow linewidth of 23 meV for the wavelength range up to 1180 nm. An atomic force microscope study showed a flattened surface morphology by the introduction of the Sb. Broad-area lasers with a GaInAsSb/GaAs double-QW active layer emitting at 1170 nm showed a low threshold current density of 125 A/cm 2 per well for an infinite cavity length

  2. XAFS Study of Epitaxial CoxTi1-xO2-x Anatase

    International Nuclear Information System (INIS)

    Heald, S.M.; Chambers, S.A.; Droubay, T.

    2009-01-01

    Co doped TiO 2 -anatase is a promising candidate for a room-temperature ferromagnetic semiconductor. XAFS measurements have been used to investigate the local Co environment and Co valence for several Co-anatase films. The samples were grown on LaAlO 3 (001) by oxygen plasma assisted molecular beam epitaxy and on SrTiO 3 by atomic oxygen assisted MBE. Co concentrations were about 5%. The measurements were made at the PNC-CAT bending magnet and undulator beamlines at the Advanced Photon Source. For the films on LaAlO 3 , the near edge clearly shows the presence of only Co(2+), and no evidence for metallic Co, while the films on SrTiO 3 showed significant metallic Co. Analysis of the extended fine structure for the LaAlO 3 films finds that the Co substitutes for Ti with some distortion of the lattice. Both in-plane and out-of-plane Co-O bonds are expanded from the Ti-O bonds in anatase. The in-plane bonds are expanded approximately twice as much. A deficit in the oxygen coordination number suggests a correlation of oxygen vacancies with Co sites.

  3. Numerical approximations for the molecular beam epitaxial growth model based on the invariant energy quadratization method

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Xiaofeng, E-mail: xfyang@math.sc.edu [Department of Mathematics, University of South Carolina, Columbia, SC 29208 (United States); Zhao, Jia, E-mail: zhao62@math.sc.edu [Department of Mathematics, University of South Carolina, Columbia, SC 29208 (United States); Department of Mathematics, University of North Carolina at Chapel Hill, Chapel Hill, NC 27599 (United States); Wang, Qi, E-mail: qwang@math.sc.edu [Department of Mathematics, University of South Carolina, Columbia, SC 29208 (United States); Beijing Computational Science Research Center, Beijing (China); School of Materials Science and Engineering, Nankai University, Tianjin (China)

    2017-03-15

    The Molecular Beam Epitaxial model is derived from the variation of a free energy, that consists of either a fourth order Ginzburg–Landau double well potential or a nonlinear logarithmic potential in terms of the gradient of a height function. One challenge in solving the MBE model numerically is how to develop proper temporal discretization for the nonlinear terms in order to preserve energy stability at the time-discrete level. In this paper, we resolve this issue by developing a first and second order time-stepping scheme based on the “Invariant Energy Quadratization” (IEQ) method. The novelty is that all nonlinear terms are treated semi-explicitly, and the resulted semi-discrete equations form a linear system at each time step. Moreover, the linear operator is symmetric positive definite and thus can be solved efficiently. We then prove that all proposed schemes are unconditionally energy stable. The semi-discrete schemes are further discretized in space using finite difference methods and implemented on GPUs for high-performance computing. Various 2D and 3D numerical examples are presented to demonstrate stability and accuracy of the proposed schemes.

  4. Growth of a delta-doped silicon layer by molecular beam epitaxy on a charge-coupled device for reflection-limited ultraviolet quantum efficiency

    Science.gov (United States)

    Hoenk, Michael E.; Grunthaner, Paula J.; Grunthaner, Frank J.; Terhune, R. W.; Fattahi, Masoud; Tseng, Hsin-Fu

    1992-01-01

    Low-temperature silicon molecular beam epitaxy is used to grow a delta-doped silicon layer on a fully processed charge-coupled device (CCD). The measured quantum efficiency of the delta-doped backside-thinned CCD is in agreement with the reflection limit for light incident on the back surface in the spectral range of 260-600 nm. The 2.5 nm silicon layer, grown at 450 C, contained a boron delta-layer with surface density of about 2 x 10 exp 14/sq cm. Passivation of the surface was done by steam oxidation of a nominally undoped 1.5 nm Si cap layer. The UV quantum efficiency was found to be uniform and stable with respect to thermal cycling and illumination conditions.

  5. Low temperature p-type doping of (Al)GaN layers using ammonia molecular beam epitaxy for InGaN laser diodes

    Science.gov (United States)

    Malinverni, M.; Lamy, J.-M.; Martin, D.; Feltin, E.; Dorsaz, J.; Castiglia, A.; Rossetti, M.; Duelk, M.; Vélez, C.; Grandjean, N.

    2014-12-01

    We demonstrate state-of-the-art p-type (Al)GaN layers deposited at low temperature (740 °C) by ammonia molecular beam epitaxy (NH3-MBE) to be used as top cladding of laser diodes (LDs) with the aim of further reducing the thermal budget on the InGaN quantum well active region. Typical p-type GaN resistivities and contact resistances are 0.4 Ω cm and 5 × 10-4 Ω cm2, respectively. As a test bed, we fabricated a hybrid laser structure emitting at 400 nm combining n-type AlGaN cladding and InGaN active region grown by metal-organic vapor phase epitaxy, with the p-doped waveguide and cladding layers grown by NH3-MBE. Single-mode ridge-waveguide LD exhibits a threshold voltage as low as 4.3 V for an 800 × 2 μm2 ridge dimension and a threshold current density of ˜5 kA cm-2 in continuous wave operation. The series resistance of the device is 6 Ω and the resistivity is 1.5 Ω cm, confirming thereby the excellent electrical properties of p-type Al0.06Ga0.94N:Mg despite the low growth temperature.

  6. Electron-diffraction and spectroscopical characterisation of ultrathin ZnS films grown by molecular beam epitaxy on GaP(0 0 1)

    International Nuclear Information System (INIS)

    Zhang, L.; Szargan, R.; Chasse, T.

    2004-01-01

    ZnS films were grown by molecular beam epitaxy employing a single compound effusion cell on GaP(0 0 1) substrate at different temperatures, and characterised by means of low energy electron diffraction, X-ray and ultra-violet photoelectron spectroscopy, angle-resolved ultra-violet photoelectron spectroscopy and X-ray emission spectroscopy. The GaP(0 0 1) substrate exhibits a (4x2) reconstruction after Ar ion sputtering and annealing at 370 deg. C. Crystal quality of the ZnS films depends on both film thickness and growth temperature. Thinner films grown at higher temperatures and thicker films grown at lower temperatures have better crystal quality. The layer-by-layer growth mode of the ZnS films at lower (25, 80 and 100 deg. C) temperatures changes to layer-by-layer-plus-island mode at higher temperatures (120, 150 and 180 deg. C). A chemical reaction takes place and is confined to the interface. The valence band offset of the ZnS-GaP heterojunction was determined to be 0.8±0.1 eV. Sulphur L 2,3 emission spectra of ZnS powder raw material and the epitaxial ZnS films display the same features, regardless of the existence of the Ga-S bonding in the film samples

  7. Highly c-axis oriented growth of GaN film on sapphire (0001 by laser molecular beam epitaxy using HVPE grown GaN bulk target

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2013-09-01

    Full Text Available Growth temperature dependant surface morphology and crystalline properties of the epitaxial GaN layers grown on pre-nitridated sapphire (0001 substrates by laser molecular beam epitaxy (LMBE were investigated in the range of 500–750 °C. The grown GaN films were characterized using high resolution x-ray diffraction, atomic force microscopy (AFM, micro-Raman spectroscopy, and secondary ion mass spectroscopy (SIMS. The x-ray rocking curve full width at a half maximum (FWHM value for (0002 reflection dramatically decreased from 1582 arc sec to 153 arc sec when the growth temperature was increased from 500 °C to 600 °C and the value further decreased with increase of growth temperature up to 720 °C. A highly c-axis oriented GaN epitaxial film was obtained at 720 °C with a (0002 plane rocking curve FWHM value as low as 102 arc sec. From AFM studies, it is observed that the GaN grain size also increased with increasing growth temperature and flat, large lateral grains of size 200-300 nm was obtained for the film grown at 720 °C. The micro-Raman spectroscopy studies also exhibited the high-quality wurtzite nature of GaN film grown on sapphire at 720 °C. The SIMS measurements revealed a non-traceable amount of background oxygen impurity in the grown GaN films. The results show that the growth temperature strongly influences the surface morphology and crystalline quality of the epitaxial GaN films on sapphire grown by LMBE.

  8. Effects of substrate temperature and Cu underlayer thickness on the formation of SmCo5(0001) epitaxial thin films

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Nukaga, Yuri; Futamoto, Masaaki; Kirino, Fumiyoshi

    2010-01-01

    SmCo 5 (0001) epitaxial thin films were prepared on Cu(111) underlayers heteroepitaxially grown on Al 2 O 3 (0001) single-crystal substrates by molecular beam epitaxy. The effects of substrate temperature and Cu underlayer thickness on the crystallographic properties of SmCo 5 (0001) epitaxial films were investigated. The Cu atoms of underlayer diffuse into the SmCo 5 film and substitute the Co sites in SmCo 5 structure forming an alloy compound of Sm(Co,Cu) 5 . The ordered phase formation is enhanced with increasing the substrate temperature and with increasing the Cu underlayer thickness. The Cu atom diffusion into the SmCo 5 film is assisting the formation of Sm(Co,Cu) 5 ordered phase.

  9. Electrical performance of phase change memory cells with Ge3Sb2Te6 deposited by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Boschker, Jos E.; Riechert, Henning; Calarco, Raffaella; Boniardi, Mattia; Redaelli, Andrea

    2015-01-01

    Here, we report on the electrical characterization of phase change memory cells containing a Ge 3 Sb 2 Te 6 (GST) alloy grown in its crystalline form by Molecular Beam Epitaxy (MBE). It is found that the high temperature growth on the amorphous substrate results in a polycrystalline film exhibiting a rough surface with a grain size of approximately 80–150 nm. A detailed electrical characterization has been performed, including I-V characteristic curves, programming curves, set operation performance, crystallization activation at low temperature, and resistance drift, in order to determine the material related parameters. The results indicate very good alignment of the electrical parameters with the current state-of-the-art GST, deposited by physical vapor deposition. Such alignment enables a possible employment of the MBE deposition technique for chalcogenide materials in the phase change memory technology, thus leading to future studies of as-deposited crystalline chalcogenides as integrated in electrical vehicles

  10. Semiconductors and semimetals epitaxial microstructures

    CERN Document Server

    Willardson, Robert K; Beer, Albert C; Gossard, Arthur C

    1994-01-01

    Newly developed semiconductor microstructures can now guide light and electrons resulting in important consequences for state-of-the-art electronic and photonic devices. This volume introduces a new generation of epitaxial microstructures. Special emphasis has been given to atomic control during growth and the interrelationship between the atomic arrangements and the properties of the structures.Key Features* Atomic-level control of semiconductor microstructures* Molecular beam epitaxy, metal-organic chemical vapor deposition* Quantum wells and quantum wires* Lasers, photon(IR)detectors, heterostructure transistors

  11. The formation of hexagonal-shaped InGaN-nanodisk on GaN-nanowire observed in plasma source molecular beam epitaxy

    KAUST Repository

    Ng, Tien Khee; Gasim, Anwar; Cha, Dong Kyu; Janjua, Bilal; Yang, Yang; Jahangir, Shafat; Zhao, Chao; Bhattacharya, Pallab; Ooi, Boon S.

    2014-01-01

    sensitive growth kinetics during plasma-assisted MBE of InGaN at low temperature, i.e. when the substrate temperature was reduced from 800 °C (GaN growth) to <600 °C (InGaN growth), during which sparsely populated metal-droplet formation prevails and further

  12. Low-temperature, ultrahigh-vacuum tip-enhanced Raman spectroscopy combined with molecular beam epitaxy for in situ two-dimensional materials' studies

    Science.gov (United States)

    Sheng, Shaoxiang; Li, Wenbin; Gou, Jian; Cheng, Peng; Chen, Lan; Wu, Kehui

    2018-05-01

    Tip-enhanced Raman spectroscopy (TERS), which combines scanning probe microscopy with the Raman spectroscopy, is capable to access the local structure and chemical information simultaneously. However, the application of ambient TERS is limited by the unstable and poorly controllable experimental conditions. Here, we designed a high performance TERS system based on a low-temperature ultrahigh-vacuum scanning tunneling microscope (LT-UHV-STM) and combined with a molecular beam epitaxy (MBE) system. It can be used for growing two-dimensional (2D) materials and for in situ STM and TERS characterization. Using a 2D silicene sheet on the Ag(111) surface as a model system, we achieved an unprecedented 109 Raman single enhancement factor in combination with a TERS spatial resolution down to 0.5 nm. The results show that TERS combined with a MBE system can be a powerful tool to study low dimensional materials and surface science.

  13. Molecular Beam Epitaxial Growth and Characterization of Graphene and Hexagonal Boron Nitride Two-Dimensional Layers

    Science.gov (United States)

    Zheng, Renjing

    Van der Waals (vdW) materials (also called as two-dimensional (2D) material in some literature) systems have received extensive attention recently due to their potential applications in next-generation electronics platform. Exciting properties have been discovered in this field, however, the performance and properties of the systems rely on the materials' quality and interface significantly, leading to the urgent need for scalable synthesis of high-quality vdW crystals and heterostructures. Toward this direction, this dissertation is devoted on the study of Molecular Beam Epitaxy (MBE) growth and various characterization of vdW materials and heterostructures, especially graphene and hexagonal boron nitride (h-BN). The goal is to achieve high-quality vdW materials and related heterostructures. There are mainly four projects discussed in this dissertation. The first project (Chapter 2) is about MBE growth of large-area h-BN on copper foil. After the growth, the film was transferred onto SiO2 substrate for characterization. It is observed that as-grown film gives evident h-BN Raman spectrum; what's more, h-BN peak intensity and position is dependent on film thickness. N-1s and B-1s XPS peaks further suggest the formation of h-BN. AFM and SEM images show the film is flat and continuous over large area. Our synthesis method shows it's possible to use MBE to achieve h-BN growth and could also pave a way for some unique structure, such as h-BN/graphene heterostructures and doped h-BN films by MBE. The second project (Chapter 3) is focused on establishment of grapehene/h-BN heterostructure on cobalt (Co) film. In-situ epitaxial growth of graphene/h-BN heterostructures on Co film substrate was achieved by using plasma-assisted MBE. The direct graphene/h-BN vertical stacking structures were demonstrated and further confirmed by various characterizations, such as Raman spectroscopy, SEM, XPS and TEM. Large area heterostructures consisting of single- /bilayer graphene and

  14. High-electron-mobility GaN grown on free-standing GaN templates by ammonia-based molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kyle, Erin C. H., E-mail: erinkyle@umail.ucsb.edu; Kaun, Stephen W.; Burke, Peter G.; Wu, Feng; Speck, James S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); Wu, Yuh-Renn [Institute of Photonics and Optoelectronics, and Department of Electrical Engineering, National Taiwan University, Taipei City 10617, Taiwan (China)

    2014-05-21

    The dependence of electron mobility on growth conditions and threading dislocation density (TDD) was studied for n{sup −}-GaN layers grown by ammonia-based molecular beam epitaxy. Electron mobility was found to strongly depend on TDD, growth temperature, and Si-doping concentration. Temperature-dependent Hall data were fit to established transport and charge-balance equations. Dislocation scattering was analyzed over a wide range of TDDs (∼2 × 10{sup 6} cm{sup −2} to ∼2 × 10{sup 10} cm{sup −2}) on GaN films grown under similar conditions. A correlation between TDD and fitted acceptor states was observed, corresponding to an acceptor state for almost every c lattice translation along each threading dislocation. Optimized GaN growth on free-standing GaN templates with a low TDD (∼2 × 10{sup 6} cm{sup −2}) resulted in electron mobilities of 1265 cm{sup 2}/Vs at 296 K and 3327 cm{sup 2}/Vs at 113 K.

  15. Growth temperature and dopant species effects on deep levels in Si grown by low temperature molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chung, Sung-Yong; Jin, Niu; Rice, Anthony T.; Berger, Paul R.; Yu, Ronghua; Fang, Z-Q.; Thompson, Phillip E.

    2003-01-01

    Deep-level transient spectroscopy measurements were performed in order to investigate the effects of substrate growth temperature and dopant species on deep levels in Si layers during low-temperature molecular beam epitaxial growth. The structures studied were n + -p junctions using B doping for the p layer and p + -n junctions using P doping for the n layer. While the density of hole traps H1 (0.38-0.41 eV) in the B-doped p layers showed a clear increase with decreasing growth temperature from 600 to 370 degree sign C, the electron trap density was relatively constant. Interestingly, the minority carrier electron traps E1 (0.42-0.45 eV) and E2 (0.257 eV), found in the B-doped p layers, are similar to the majority carrier electron traps E11 (0.48 eV) and E22 (0.269 eV) observed in P-doped n layers grown at 600 degree sign C. It is hypothesized that these dominating electron traps are associated with pure divacancy defects and are independent of the dopant species

  16. Self-planarized quantum-disks nanowires ultraviolet-B emitter utilizing pendeo-epitaxy

    KAUST Repository

    Janjua, Bilal

    2017-03-03

    The growth of self-assembled, vertically oriented and uniform nanowires (NWs) has remained a challenge for efficient light-emitting devices. Here, we demonstrate dislocation-free AlGaN NWs with spontaneous coalescence, which are grown by plasma-assisted molecular beam epitaxy on an n-type doped silicon (100) substrate. A high density of NWs (filling factor > 95%) was achieved under optimized growth conditions, enabling device fabrication without planarization using ultraviolet (UV)-absorbing polymer materials. UV-B (280-320 nm) light-emitting diodes (LEDs), which emit at ~303 nm with a narrow full width at half maximum (FWHM) (~20 nm) of the emission spectrum, are demonstrated using a large active region (“active region/NW length-ratio” ~ 50%) embedded with 15 stacks of AlxGa1-xN/AlyGa1-yN quantum-disks (Qdisks). To improve the carrier injection, a graded layer is introduced at the AlGaN/GaN interfaces on both p- and n-type regions. This work demonstrates a viable approach to easily fabricate ultra-thin, efficient UV optoelectronic devices on low-cost and scalable silicon substrates.

  17. H{sub 2}O{sub 2}-molecular beam epitaxy of high quality ZnO

    Energy Technology Data Exchange (ETDEWEB)

    El Shaer, A.; Bakin, A.; Che Mofor, A.; Kreye, M.; Waag, A. [Technical University Braunschweig, Institute of Semiconductor Technology, Braunschweig (Germany); Blaesing, J.; Krost, A. [Otto-von-Guericke-University, Institute of Experimental Physics, Magdeburg (Germany); Stoimenos, J. [Aristotele University, Physics Department, Thessaloniki (Greece); Pecz, B. [Hungarian Academy of Sciences, Research Institute for Technical Physics and Materials Science, P.O. Box 49, Budapest (Hungary)

    2007-07-15

    We have studied the growth and characterization of ZnO epilayers on (0001)-sapphire by H{sub 2}O{sub 2}-molecular beam epitaxy (MBE). A high temperature (HT) MgO buffer followed by a low-temperature ZnO buffer was introduced in order to accommodate the lattice mismatch between ZnO and sapphire. The surface morphology of the samples was studied using atomic force microscopy (AFM), and scanning electron microscopy (SEM). The crystalline quality of the layers was investigated by employing high resolution X-ray diffractometry (HRXRD) and high resolution transmission electron microscopy (HRTEM). The electrical properties of the grown ZnO layers were studied by Hall-effect measurements in a standard van der Pauw configuration. The measured surface roughness for the best layers is as low as 0.26 nm rms. HRXRD measurements of the obtained ZnO layers show excellent quality of the single crystalline ZnO heteroepitaxially grown on (0001)-sapphire with a HT MgO buffer layers. The influence of the growth conditions on the crystalline quality is discussed. The FWHM of the HRXRD (0002) rocking curves measured for the 2-inch ZnO-on-sapphire is as low as 27 arcsec with a very high lateral homogeneity across the whole 2-inch ZnO epilayers. The results indicate that H{sub 2}O{sub 2}-MBE is a suitable technique to fabricate ZnO epilayers of very high quality. (orig.)

  18. Study of the structural and optical properties of GaP(N) layers synthesized by molecular-beam epitaxy on Si(100) 4° substrates

    Energy Technology Data Exchange (ETDEWEB)

    Kryzhanovskaya, N. V., E-mail: NataliaKryzh@gmail.com; Polubavkina, Yu. S. [Russian Academy of Sciences, St. Petersburg National Research Academic University–Nanotechnology Research and Education Center (Russian Federation); Nevedomskiy, V. N. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation); Nikitina, E. V.; Lazarenko, A. A. [Russian Academy of Sciences, St. Petersburg National Research Academic University–Nanotechnology Research and Education Center (Russian Federation); Egorov, A. Yu. [St. Petersburg National Research University of Information Technologies, Mechanics, and Optics (Russian Federation); Maximov, M. V.; Moiseev, E. I.; Zhukov, A. E. [Russian Academy of Sciences, St. Petersburg National Research Academic University–Nanotechnology Research and Education Center (Russian Federation)

    2017-02-15

    The structural and optical properties of GaP and GaPN layers synthesized by molecular-beam epitaxy on Si(100) substrates misoriented by 4° are studied. The possibility of producing GaP buffer layers that exhibit a high degree of heterointerface planarity and an outcropping dislocation density of no higher than ~2 × 10{sup 8} cm{sup –2} is shown. Emission from the Si/GaP/GaPN structure in the spectral range of 630–640 nm at room temperature is observed. Annealing during growth of the Si/GaP/GaPN structure makes it possible to enhance the room-temperature photoluminescence intensity by a factor of 2.6, with no shift of the maximum of the emission line.

  19. Study of the structural and optical properties of GaP(N) layers synthesized by molecular-beam epitaxy on Si(100) 4° substrates

    International Nuclear Information System (INIS)

    Kryzhanovskaya, N. V.; Polubavkina, Yu. S.; Nevedomskiy, V. N.; Nikitina, E. V.; Lazarenko, A. A.; Egorov, A. Yu.; Maximov, M. V.; Moiseev, E. I.; Zhukov, A. E.

    2017-01-01

    The structural and optical properties of GaP and GaPN layers synthesized by molecular-beam epitaxy on Si(100) substrates misoriented by 4° are studied. The possibility of producing GaP buffer layers that exhibit a high degree of heterointerface planarity and an outcropping dislocation density of no higher than ~2 × 10"8 cm"–"2 is shown. Emission from the Si/GaP/GaPN structure in the spectral range of 630–640 nm at room temperature is observed. Annealing during growth of the Si/GaP/GaPN structure makes it possible to enhance the room-temperature photoluminescence intensity by a factor of 2.6, with no shift of the maximum of the emission line.

  20. Single-mode molecular beam epitaxy grown PbEuSeTe/PbTe buried-heterostructure diode lasers for CO2 high-resolution spectroscopy

    International Nuclear Information System (INIS)

    Feit, Z.; Kostyk, D.; Woods, R.J.; Mak, P.

    1991-01-01

    Buried-heterostructure tunable PbEuSeTe/PbTe lasers were fabricated using a two-stage molecular beam epitaxy growth procedure. Improvements in the processing technique yielded lasers that show performance characteristics significantly better than those reported previously. A continuous wave (cw) operating temperature of 203 K was realized, which is the highest cw operating temperature ever reported for lead-chalcogenides diode lasers. This laser exhibited exceptionally low-threshold currents of 1.4 mA at 90 K and 43 mA at 160 K with single-mode operation for injection currents up to 30I th and 0.18 mW power at 100 K. The usefulness of the laser, when operating cw at 200 K, was demonstrated by the ability to perform high-resolution spectroscopy of a low-pressure CO 2 gas sample

  1. Development of an energy analyzer as diagnostic of beam-generated plasma in negative ion beam systems

    Science.gov (United States)

    Sartori, E.; Carozzi, G.; Veltri, P.; Spolaore, M.; Cavazzana, R.; Antoni, V.; Serianni, G.

    2017-08-01

    The measurement of the plasma potential and the energy spectrum of secondary particles in the drift region of a negative ion beam offers an insight into beam-induced plasma formation and beam transport in low pressure gasses. Plasma formation in negative-ion beam systems, and the characteristics of such a plasma are of interest especially for space charge compensation, plasma formation in neutralizers, and the development of improved schemes of beam-induced plasma neutralisers for future fusion devices. All these aspects have direct implications in the ITER Heating Neutral Beam and the operation of the prototypes, SPIDER and MITICA, and also have important role in the conceptual studies for NBI systems of DEMO, while at present experimental data are lacking. In this paper we present the design and development of an ion energy analyzer to measure the beam plasma formation and space charge compensation in negative ion beams. The diagnostic is a retarding field energy analyzer (RFEA), and will measure the transverse energy spectra of plasma molecular ions. The calculations that supported the design are reported, and a method to interpret the measurements in negative ion beam systems is also proposed. Finally, the experimental results of the first test in a magnetron plasma are presented.

  2. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  3. Domain matching epitaxy of cubic In{sub 2}O{sub 3} on r-plane sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Vogt, Patrick; Trampert, Achim; Ramsteiner, Manfred; Bierwagen, Oliver [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117, Berlin (Germany)

    2015-07-15

    Undoped, Sn-doped, and Mg-doped In{sub 2}O{sub 3} layers were grown on rhombohedral r-plane sapphire (α-Al{sub 2}O{sub 3} (10.2)) by plasma-assisted molecular beam epitaxy. X-ray diffraction and Raman scattering experiments demonstrated the formation of phase-pure, cubic (110)-oriented In{sub 2}O{sub 3} for Sn- and Mg-concentrations up to 2 x 10{sup 20} and 6 x 10{sup 20} cm{sup -3}, respectively. Scanning electron microscopy images showed facetted domains without any surface-parallel (110) facets. High Mg- or Sn-doping influenced surface morphology and the facet formation. X-ray diffraction Φ-scans indicated the formation of two rotational domains separated by an angle Φ = 86.6 due to the substrate mirror-symmetry around the in-plane-projected Al{sub 2}O{sub 3} c-axis. The in-plane epitaxial relationships to the substrate were determined for both domains. For the first domain it is Al{sub 2}O{sub 3}[01.0] parallel In{sub 2}O{sub 3}[3 anti 3 anti 4]. For the second domain the inplane epitaxial relation is Al{sub 2}O{sub 3}[01.0] parallel In{sub 2}O{sub 3}[3 anti 34]. A low-mismatch coincidence lattice of indium atoms from the film and oxygen atoms from the substrate rationalizes this epitaxial relation by domain-matched epitaxy. Cross-sectional transmission-electron microscopy showed a columnar domain-structure, indicating the vertical growth of the rotational domains after their nucleation. Coincidence structure of In{sub 2}O{sub 3} (110) (In atoms in red) grown on Al{sub 2}O{sub 3} (10.2) (O atoms in blue) showing two rotational domians. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Low temperature p-type doping of (Al)GaN layers using ammonia molecular beam epitaxy for InGaN laser diodes

    Energy Technology Data Exchange (ETDEWEB)

    Malinverni, M., E-mail: marco.malinverni@epfl.ch; Lamy, J.-M.; Martin, D.; Grandjean, N. [ICMP, École Polytechnique Fédérale de Lausanne (EPFL), CH-1015 Lausanne (Switzerland); Feltin, E.; Dorsaz, J. [NOVAGAN AG, CH-1015 Lausanne (Switzerland); Castiglia, A.; Rossetti, M.; Duelk, M.; Vélez, C. [EXALOS AG, CH-8952 Schlieren (Switzerland)

    2014-12-15

    We demonstrate state-of-the-art p-type (Al)GaN layers deposited at low temperature (740 °C) by ammonia molecular beam epitaxy (NH{sub 3}-MBE) to be used as top cladding of laser diodes (LDs) with the aim of further reducing the thermal budget on the InGaN quantum well active region. Typical p-type GaN resistivities and contact resistances are 0.4 Ω cm and 5 × 10{sup −4} Ω cm{sup 2}, respectively. As a test bed, we fabricated a hybrid laser structure emitting at 400 nm combining n-type AlGaN cladding and InGaN active region grown by metal-organic vapor phase epitaxy, with the p-doped waveguide and cladding layers grown by NH{sub 3}-MBE. Single-mode ridge-waveguide LD exhibits a threshold voltage as low as 4.3 V for an 800 × 2 μm{sup 2} ridge dimension and a threshold current density of ∼5 kA cm{sup −2} in continuous wave operation. The series resistance of the device is 6 Ω and the resistivity is 1.5 Ω cm, confirming thereby the excellent electrical properties of p-type Al{sub 0.06}Ga{sub 0.94}N:Mg despite the low growth temperature.

  5. Pseudomorphic growth of organic semiconductor thin films driven by incommensurate epitaxy

    International Nuclear Information System (INIS)

    Sassella, A.; Campione, M.; Raimondo, L.; Borghesi, A.; Bussetti, G.; Cirilli, S.; Violante, A.; Goletti, C.; Chiaradia, P.

    2009-01-01

    A stable pseudomorphic phase of α-quaterthiophene, a well known organic semiconductor, is obtained by growing films with organic molecular beam epitaxy (OMBE) on a single crystal of another organic semiconductor, namely, tetracene. The structural characteristics of the new phase are investigated by monitoring in situ the OMBE process by reflectance anisotropy spectroscopy; thus assessing that incommensurate epitaxy is in this case, the driving force for tuning the molecular packing in organic molecular films and in turn, their solid state properties

  6. Plasma behavior with molecular beam injection in the HL-1m tokamak

    International Nuclear Information System (INIS)

    Yao Lianghua; Tang Nianyi; Cui Zhengying; Xu Deming; Deng Zhongchao; Ding Xuantong; Luo Junlin; Dong Jiafu; Guo Gancheng; Yang Shikun; Cui Chenghe; Xiao Zhenggui; Liu Dequan; Chen Xiaoping; Yan Longwen; Yan Donghai; Wang Enyao; Deng Xiwen

    1999-01-01

    The authors report effect of the new fueling method of high speed molecular beam injection on Tokamak confinement improvement. The present method is an improvement of conventional gas puffing, with performance comparable to the small pellet injection in HL-1M and also to the slow pellet in ASDEX. The fact that a shallower fueling can lead to similar confinement improvement as a deep one suggests that there may exist a critical position in a Tokamak plasma such that any kind of fueling will have a better confinement as long as it can give rise to density peaking at the critical position

  7. Growth and characterization of β-Ga2O3 thin films by molecular beam epitaxy for deep-UV photodetectors

    Science.gov (United States)

    Ghose, Susmita; Rahman, Shafiqur; Hong, Liang; Rojas-Ramirez, Juan Salvador; Jin, Hanbyul; Park, Kibog; Klie, Robert; Droopad, Ravi

    2017-09-01

    The growth of high quality epitaxial beta-gallium oxide (β-Ga2O3) using a compound source by molecular beam epitaxy has been demonstrated on c-plane sapphire (Al2O3) substrates. The compound source provides oxidized gallium molecules in addition to oxygen when heated from an iridium crucible in a high temperature effusion cell enabling a lower heat of formation for the growth of Ga2O3, resulting in a more efficient growth process. This source also enabled the growth of crystalline β-Ga2O3 without the need for additional oxygen. The influence of the substrate temperatures on the crystal structure and quality, chemical bonding, surface morphology, and optical properties has been systematically evaluated by x-ray diffraction, scanning transmission electron microscopy, x-ray photoelectron spectroscopy, atomic force microscopy, spectroscopic ellipsometry, and UV-vis spectroscopy. Under optimized growth conditions, all films exhibited pure (" separators="|2 ¯01 ) oriented β-Ga2O3 thin films with six-fold rotational symmetry when grown on a sapphire substrate. The thin films demonstrated significant absorption in the deep-ultraviolet (UV) region with an optical bandgap around 5.0 eV and a refractive index of 1.9. A deep-UV photodetector fabricated on the high quality β-Ga2O3 thin film exhibits high resistance and small dark current (4.25 nA) with expected photoresponse for 254 nm UV light irradiation suggesting that the material grown using the compound source is a potential candidate for deep-ultraviolet photodetectors.

  8. Photoconduction efficiencies and dynamics in GaN nanowires grown by chemical vapor deposition and molecular beam epitaxy: A comparison study

    International Nuclear Information System (INIS)

    Chen, R. S.; Tsai, H. Y.; Huang, Y. S.; Chen, Y. T.; Chen, L. C.; Chen, K. H.

    2012-01-01

    The normalized gains, which determines the intrinsic photoconduction (PC) efficiencies, have been defined and compared for the gallium nitride (GaN) nanowires (NWs) grown by chemical vapor deposition (CVD) and molecular beam epitaxy (MBE). By excluding the contributions of experimental parameters and under the same light intensity, the CVD-grown GaN NWs exhibit the normalized gain which is near two orders of magnitude higher than that of the MBE-ones. The temperature-dependent time-resolved photocurrent measurement further indicates that the higher photoconduction efficiency in the CVD-GaN NWs is originated from the longer carrier lifetime induced by the higher barrier height (φ B = 160 ± 30 mV) of surface band bending. In addition, the experimentally estimated barrier height at 20 ± 2 mV for the MBE-GaN NWs, which is much lower than the theoretical value, is inferred to be resulted from the lower density of charged surface states on the non-polar side walls.

  9. Effects of growth rate on structural property and adatom migration behaviors for growth of GaInNAs/GaAs (001) by molecular beam epitaxy

    Science.gov (United States)

    Li, Jingling; Gao, Peng; Zhang, Shuguang; Wen, Lei; Gao, Fangliang; Li, Guoqiang

    2018-03-01

    We have investigated the structural properties and the growth mode of GaInNAs films prepared at different growth rates (Rg) by molecular beam epitaxy. The crystalline structure is studied by high resolution X-ray diffraction, and the evolution of GaInNAs film surface morphologies is studied by atomic force microscopy. It is found that both the crystallinity and the surface roughness are improved by increasing Rg, and the change in the growth mode is attributed to the adatom migration behaviors particularly for In atoms, which is verified by elemental analysis. In addition, we have presented some theoretical calculation results related to the N adsorption energy to show the unique N migration behavior, which is instructive to interpret the growth mechanism of GaInNAs films.

  10. Dependence of the Mg-related acceptor ionization energy with the acceptor concentration in p-type GaN layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Brochen, Stéphane; Brault, Julien; Chenot, Sébastien; Dussaigne, Amélie; Leroux, Mathieu; Damilano, Benjamin

    2013-01-01

    Hall effect and capacitance-voltage C(V) measurements were performed on p-type GaN:Mg layers grown on GaN templates by molecular beam epitaxy with a high range of Mg-doping concentrations. The free hole density and the effective dopant concentration N A −N D as a function of magnesium incorporation measured by secondary ion mass spectroscopy clearly reveal both a magnesium doping efficiency up to 90% and a strong dependence of the acceptor ionization energy Ea with the acceptor concentration N A . These experimental observations highlight an isolated acceptor binding energy of 245±25 meV compatible, at high acceptor concentration, with the achievement of p-type GaN:Mg layers with a hole concentration at room temperature close to 10 19 cm −3

  11. Electron-beam generated plasmas for processing applications

    Science.gov (United States)

    Meger, Robert; Leonhardt, Darrin; Murphy, Donald; Walton, Scott; Blackwell, David; Fernsler, Richard; Lampe, Martin; Manheimer, Wallace

    2001-10-01

    NRL's Large Area Plasma Processing System (LAPPS) utilizes a 5-10 mA/cm^2, 2-4 kV, 1 cm x 30-60 cm cross section beam of electrons guided by a magnetic field to ionize a low density (10-100 mTorr) gas.[1] Beam ionization allows large area, high density, low temperature plasmas to be generated in an arbitrary gas mixture at a well defined location. Energy and composition of particle fluxes to surfaces on both sides of the plasma can be controlled by gas mixture, location, rf bias, and other factors. Experiments have been performed using both pulsed and cw beams. Extensive diagnostics (Langmuir probes, mass and ion energy analyzers, optical emissions, microwave interferometry, etc.) have been fielded to measure the plasma properties and neutral particle fluxes (ions, neutrals, free radicals) with and without rf bias on nearby surfaces both with the beam on and off. Uniform, cold (Te < 1eV), dense (ne 10^13 cm-3) plasmas in molecular and atomic gases and mixtures thereof have been produced in agreement with theoretical expectations. Initial tests of LAPPS application such as ashing, etching, sputtering, and diamond growth have been performed. Program status will be presented. [1]R.A. Meger, et al, Phys. of Plasmas 8(5), p. 2558 (2001)

  12. Molecular beam epitaxial growth mechanism of ZnSe epilayers on (100) GaAs as determined by reflection high-energy electron diffraction, transmission electron microscopy and X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Ruppert, P.; Hommel, D.; Behr, T.; Heinke, H.; Waag, A.; Landwehr, G. (Physikalisches Inst., Univ. Wuerzburg (Germany))

    1994-04-14

    The properties of molecular beam epitaxial growth of ZnSe epilayers deposited directly on a GaAs substrate are compared to those grown on a GaAs buffer layer. The superior quality of the latter is confirmed by RHEED, TEM and X-ray diffraction. Based on RHEED oscillation studies, a model explaining the dependence of the ZnSe growth rate on Zn and Se fluxes and the substrate temperature is developed taking into account physisorbed and chemisorbed states. For partially relaxed epilayers, the correlation between the relaxation state and the crystalline mosaicity, as found by high resolution X-ray diffraction, is discussed

  13. Avoiding polar catastrophe in the growth of polarly orientated nickel perovskite thin films by reactive oxide molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yang, H. F.; Liu, Z. T.; Fan, C. C.; Xiang, P.; Zhang, K. L.; Li, M. Y.; Liu, J. S.; Yao, Q.; Shen, D. W.

    2016-01-01

    By means of the state-of-the-art reactive oxide molecular beam epitaxy, we synthesized (001)- and (111)-orientated polar LaNiO 3 thin films. In order to avoid the interfacial reconstructions induced by polar catastrophe, screening metallic Nb-doped SrTiO 3 and iso-polarity LaAlO 3 substrates were chosen to achieve high-quality (001)-orientated films in a layer-by-layer growth mode. For largely polar (111)-orientated films, we showed that iso-polarity LaAlO 3 (111) substrate was more suitable than Nb-doped SrTiO 3 . In situ reflection high-energy electron diffraction, ex situ high-resolution X-ray diffraction, and atomic force microscopy were used to characterize these films. Our results show that special attentions need to be paid to grow high-quality oxide films with polar orientations, which can prompt the explorations of all-oxide electronics and artificial interfacial engineering to pursue intriguing emergent physics like proposed interfacial superconductivity and topological phases in LaNiO 3 based superlattices.

  14. Atmospheric pressure plasma analysis by modulated molecular beam mass spectrometry

    NARCIS (Netherlands)

    Aranda Gonzalvo, Y.; Whitmore, T.D.; Rees, J.A.; Seymour, D.L.; Stoffels - Adamowicz, E.

    2006-01-01

    Fractional no. d. measurements for a radiofrequency plasma needle operating at atm. pressure were obtained using a mol. beam mass spectrometer (MBMS) system designed for diagnostics of atm. plasmas. The MBMS system comprises three differentially pumped stages and a mass/energy analyzer and includes

  15. Optical properties of a-plane (Al, Ga)N/GaN multiple quantum wells grown on strain engineered Zn1-xMgxO layers by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Xia, Y.; Vinter, B.; Chauveau, J.-M.; Brault, J.; Nemoz, M.; Teisseire, M.; Leroux, M.

    2011-01-01

    Nonpolar (1120) Al 0.2 Ga 0.8 N/GaN multiple quantum wells (MQWs) have been grown by molecular beam epitaxy on (1120) Zn 0.74 Mg 0.26 O templates on r-plane sapphire substrates. The quantum wells exhibit well-resolved photoluminescence peaks in the ultra-violet region, and no sign of quantum confined Stark effect is observed in the complete multiple quantum well series. The results agree well with flat band quantum well calculations. Furthermore, we show that the MQW structures are strongly polarized along the [0001] direction. The origin of the polarization is discussed in terms of the strain anisotropy dependence of the exciton optical oscillator strengths.

  16. Impact of extended defects on recombination in CdTe heterostructures grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Zaunbrecher, Katherine N. [Department of Physics, Colorado State University, Fort Collins, Colorado 80523 (United States); National Renewable Energy Laboratory, Golden, Colorado 80401 (United States); Kuciauskas, Darius; Dippo, Pat; Barnes, Teresa M. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States); Swartz, Craig H.; Edirisooriya, Madhavie; Ogedengbe, Olanrewaju S.; Sohal, Sandeep; Hancock, Bobby L.; LeBlanc, Elizabeth G.; Jayathilaka, Pathiraja A. R. D.; Myers, Thomas H. [Materials Science, Engineering and Commercialization Program, Texas State University, San Marcos, Texas 78666 (United States)

    2016-08-29

    Heterostructures with CdTe and CdTe{sub 1-x}Se{sub x} (x ∼ 0.01) absorbers between two wider-band-gap Cd{sub 1-x}Mg{sub x}Te barriers (x ∼ 0.25–0.3) were grown by molecular beam epitaxy to study carrier generation and recombination in bulk materials with passivated interfaces. Using a combination of confocal photoluminescence (PL), time-resolved PL, and low-temperature PL emission spectroscopy, two extended defect types were identified and the impact of these defects on charge-carrier recombination was analyzed. The dominant defects identified by confocal PL were dislocations in samples grown on (211)B CdTe substrates and crystallographic twinning-related defects in samples on (100)-oriented InSb substrates. Low-temperature PL shows that twin-related defects have a zero-phonon energy of 1.460 eV and a Huang-Rhys factor of 1.50, while dislocation-dominated samples have a 1.473-eV zero-phonon energy and a Huang-Rhys factor of 1.22. The charge carrier diffusion length near both types of defects is ∼6 μm, suggesting that recombination is limited by diffusion dynamics. For heterostructures with a low concentration of extended defects, the bulk lifetime was determined to be 2.2 μs with an interface recombination velocity of 160 cm/s and an estimated radiative lifetime of 91 μs.

  17. Specific features of NH{sub 3} and plasma-assisted MBE in the fabrication of III-N HEMT heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Alexeev, A. N. [NTO ZAO (Russian Federation); Krasovitsky, D. M. [Svetlana-Rost ZAO (Russian Federation); Petrov, S. I., E-mail: petrov@semiteq.ru [NTO ZAO (Russian Federation); Chaly, V. P.; Mamaev, V. V. [Svetlana-Rost ZAO (Russian Federation); Sidorov, V. G. [St. Petersburg State Polytechnic University (Russian Federation)

    2015-01-15

    The specific features of how nitride HEMT heterostructures are produced by NH{sub 3} and plasma-assisted (PA) molecular-beam epitaxy (MBE) are considered. It is shown that the use of high-temperature AlN/AlGaN buffer layers grown with ammonia at extremely high temperatures (up to 1150°C) can drastically improve the structural perfection of the active GaN layers and reduce the dislocation density in these layers to values of 9 × 10{sup 8}−1 × 10{sup 9} cm{sup −2}. The use of buffer layers of this kind makes it possible to obtain high-quality GaN/AlGaN heterostructures by both methods. At the same time, in contrast to ammonia MBE which is difficult to apply at T < 500°C (because of the low efficiency of ammonia decomposition), PA MBE is rather effective at low temperatures, e.g., for the growth of InAlN layers lattice-matched with GaN. The results obtained in the MBE growth of AlN/AlGaN/GaN/InAlN heterostructures by both PA-MBE and NH{sub 3}-MBE with an extremely high ammonia flux are demonstrated.

  18. The investigation of Al0.29Ga0.71N/GaN/AlN and AlN/GaN/AlN thin films grown on Si (111) by RF plasma-assisted MBE

    Science.gov (United States)

    Yusoff, Mohd Zaki Mohd; Mahyuddin, Azzafeerah; Hassan, Zainuriah; Hassan, Haslan Abu; Abdullah, Mat Johar

    2012-06-01

    Recently, gallium nitride (GaN) and its related compounds involving Al and In have attracted much attention because of their potential to be used as high-efficiency UV light emitting devices, and as high frequency and high power electronic devices. Consequently, the growth and physics of GaN-based materials have attracted remarkable scientific attention. In this work, the growth and characterization of epitaxial Al0.29Ga0.71N and AlN layers grown on Si (111) by RF-plasma assisted molecular beam epitaxy (MBE) are described. The Al mole fraction was derived from the HR-XRD symmetric rocking curve (RC) ω/2θ scans of (0002) plane as x = 0.29. For AlN/GaN/AlN sample, the maximum Raman intensity at 521.53 cm-1 is attributed to crystalline silicon. It was found that the allowed Raman optical phonon mode of GaN, the E1 (high) is clearly visible, which is located at 570.74 cm-1. Photoluminscence (PL) spectrums of both samples have shown sharp and intense band edge emission of GaN without the existence of yellow emission band, showing good crystal quality of the samples have been successfully grown on Si substrate.

  19. Antimony segregation in Ge and formation of n-type selectively doped Ge films in molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Yurasov, D. V., E-mail: Inquisitor@ipm.sci-nnov.ru; Antonov, A. V.; Drozdov, M. N.; Schmagin, V. B.; Novikov, A. V. [Institute for Physics of Microstructures, Russian Academy of Sciences, GSP-105, 603950 Nizhny Novgorod (Russian Federation); Lobachevsky State University of Nizhni Novgorod, 23 Prospekt Gagarina, 603950 Nizhny Novgorod (Russian Federation); Spirin, K. E. [Institute for Physics of Microstructures, Russian Academy of Sciences, GSP-105, 603950 Nizhny Novgorod (Russian Federation)

    2015-10-14

    Antimony segregation in Ge(001) films grown by molecular beam epitaxy was studied. A quantitative dependence of the Sb segregation ratio in Ge on growth temperature was revealed experimentally and modeled theoretically taking into account both the terrace-mediated and step-edge-mediated segregation mechanisms. A nearly 5-orders-of-magnitude increase in the Sb segregation ratio in a relatively small temperature range of 180–350 °C was obtained, which allowed to form Ge:Sb doped layers with abrupt boundaries and high crystalline quality using the temperature switching method that was proposed earlier for Si-based structures. This technique was employed for fabrication of different kinds of n-type Ge structures which can be useful for practical applications like heavily doped n{sup +}-Ge films or δ-doped layers. Estimation of the doping profiles sharpness yielded the values of 2–5 nm per decade for the concentration gradient at the leading edge and 2–3 nm for the full-width-half-maximum of the Ge:Sb δ-layers. Electrical characterization of grown Ge:Sb structures revealed nearly full electrical activation of Sb atoms and the two-dimensional nature of charge carrier transport in δ-layers.

  20. Low temperature step-graded InAlAs/GaAs metamorphic buffer layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Shang, X Z; Wu, S D; Liu, C; Wang, W X; Guo, L W; Huang, Q; Zhou, J M

    2006-01-01

    Low-temperature step-graded InAlAs metamorphic buffer layers on GaAs substrate grown by molecular beam epitaxy were investigated. The strain relaxation and the composition of the top InAlAs layer were determined by high-resolution triple-axis x-ray diffraction measurements, which show that the top InAlAs layer is nearly fully relaxed. Surface morphology was observed by reflection high-energy electron diffraction pattern and atomic force microscopy. Under a selected range of growth parameters, the root mean square surface roughness of the sample grown at 380 deg. C is 0.802 nm, which has the smallest value compared with those of other samples. Furthermore, The ω-2θ and ω scans of the triple-axis x-ray diffraction, and photoluminescence show the sample grown at 380 deg. C has better crystalline quality. With decreasing As overpressure at this growth temperature, crystalline quality became poor and could not maintain two dimensional growth with increasing overpressure. The carrier concentrations and Hall mobilities of the InAlAs/ InGaAs/GaAs MM-HEMT structure on low-temperature step-graded InAlAs metamorphic buffer layers grown in optimized conditions are high enough to make devices

  1. Manipulation of morphology and structure of the top of GaAs nanowires grown by molecular-beam epitaxy

    Science.gov (United States)

    Li, Lixia; Pan, Dong; Yu, Xuezhe; So, Hyok; Zhao, Jianhua

    2017-10-01

    Self-catalyzed GaAs nanowires (NWs) are grown on Si (111) substrates by molecular-beam epitaxy. The effect of different closing sequences of the Ga and As cell shutters on the morphology and structural phase of GaAs NWs is investigated. For the sequences of closing the Ga and As cell shutters simultaneously or closing the As cell shutter 1 min after closing the Ga cell shutter, the NWs grow vertically to the substrate surface. In contrast, when the As cell shutter is closed first, maintaining the Ga flux is found to be critical for the following growth of GaAs NWs, which can change the growth direction from [111] to . The evolution of the morphology and structural phase transition at the tips of these GaAs NWs confirm that the triple-phase-line shift mode is at work even for the growth with different cell shutter closing sequences. Our work will provide new insights for better understanding of the growth mechanism and realizing of the morphology and structure control of the GaAs NWs. Project supported partly by the MOST of China (No. 2015CB921503), the National Natural Science Foundation of China (Nos. 61504133, 61334006, 61404127), and Youth Innovation Promotion Association, CAS (No. 2017156).

  2. Structural properties of Bi{sub 2−x}Mn{sub x}Se{sub 3} thin films grown via molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Babakiray, Sercan; Johnson, Trent A.; Borisov, Pavel; Holcomb, Mikel B.; Lederman, David, E-mail: david.lederman@mail.wvu.edu [Department of Physics and Astronomy, West Virginia University, Morgantown, West Virginia 26506-6315 (United States); Marcus, Matthew A. [Advanced Light Source, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States); Tarafder, Kartick [Department of Physics, BITS-Pilani Hyderabad Campus, Secunderabad, Andhra Pradesh 500078 (India)

    2015-07-28

    The effects of Mn doping on the structural properties of the topological insulator Bi{sub 2}Se{sub 3} in thin film form were studied in samples grown via molecular beam epitaxy. Extended x-ray absorption fine structure measurements, supported by density functional theory calculations, indicate that preferential incorporation occurs substitutionally in Bi sites across the entire film volume. This finding is consistent with x-ray diffraction measurements which show that the out of plane lattice constant expands while the in plane lattice constant contracts as the Mn concentration is increased. X-ray photoelectron spectroscopy indicates that the Mn valency is 2+ and that the Mn bonding is similar to that in MnSe. The expansion along the out of plane direction is most likely due to weakening of the Van der Waals interactions between adjacent Se planes. Transport measurements are consistent with this Mn{sup 2+} substitution of Bi sites if additional structural defects induced by this substitution are taken into account.

  3. Molecular beam epitaxial growth of oriented and uniform Ge{sub 2}Sb{sub 2}Te{sub 5} nanoparticles with compact dimensions

    Energy Technology Data Exchange (ETDEWEB)

    Zheng, Beining; Sun, Yu; Wu, Jie; Yuan, Long; Wu, Xiaofeng; Huang, Keke; Feng, Shouhua, E-mail: shfeng@jlu.edu.cn [Jilin University, State Key Laboratory of Inorganic Synthesis and Preparative Chemistry, College of Chemistry (China)

    2017-02-15

    The scaling-down of phase change memory cell is critical to achieve high-performance and high-density memory devices. Herein, we report that Ge{sub 2}Sb{sub 2}Te{sub 5} nanoparticles along the [1 1 1] direction were synthesized without templates or etching in a molecular beam epitaxy system. Under non-stoichiometric Ge:Sb:Te beam ratio condition, the growth of high-density Ge{sub 2}Sb{sub 2}Te{sub 5} nanoparticles was achieved by Zn-doping. The average diameter of the nanoparticles is 8 nm, and the full width at half maximum of the size distribution is 2.7 nm. Our results suggest that the size and shape modifications of Ge{sub 2}Sb{sub 2}Te{sub 5} nanoparticles could be induced by Zn-doping which influences the nucleation in the growth process. In addition, the bonding states of Zn and Te verified by X-ray photoelectron spectroscopy proved that Zn atoms located in the Ge{sub 2}Sb{sub 2}Te{sub 5} matrix. This approach exemplified here can be applied to the sub-20 nm phase change memory devices and may also be extendable to be served in the design and development of more materials with phase transitions.

  4. Spontaneous formation of InGaN nanowall network directly on Si

    Energy Technology Data Exchange (ETDEWEB)

    Soto Rodriguez, P. E. D.; Kumar, Praveen; Gomez, V. J.; Alvi, N. H.; Calleja, E.; Noetzel, R. [Instituto de Sistemas Optoelectronicos y Microtecnologia (ISOM), Universidad Politecnica de Madrid, Ciudad Universitaria s/n, 28040 Madrid (Spain); Manuel, J. M.; Morales, F. M.; Jimenez, J. J.; Garcia, R. [Dep. Ciencia de los Materiales e IM y QI., F. Ciencias, Universidad de Cadiz, 11510-Puerto Real, Cadiz (Spain)

    2013-04-29

    We present the study on epitaxial growth of an InGaN nanowall network directly on Si by plasma-assisted molecular beam epitaxy. Scanning electron microscopy, high-resolution X-ray diffraction, and transmission electron microscopy together with energy-dispersive X-ray analysis infer the crystalline nature of the InGaN nanowall network, oriented along the C-axis, with In composition ranging from pure GaN to 40%. Room temperature photoluminescence is observed, indicating good optical quality. The nanowall network is highly in-plane electrically conductive.

  5. AASERT: Rare Earth Arsenides, Magnetic Semi-Metal Epitaxy for Opto-Electronics

    National Research Council Canada - National Science Library

    Palmstrom, Chris

    2000-01-01

    ...). An ultra-high vacuum sample transfer system and a variable temperature scanning tunneling microscope were attached to two already existing molecular beam epitaxy systems and surface science equipment...

  6. Large-aperture plasma-assisted deposition of inertial confinement fusion laser coatings.

    Science.gov (United States)

    Oliver, James B; Kupinski, Pete; Rigatti, Amy L; Schmid, Ansgar W; Lambropoulos, John C; Papernov, Semyon; Kozlov, Alexei; Spaulding, John; Sadowski, Daniel; Chrzan, Z Roman; Hand, Robert D; Gibson, Desmond R; Brinkley, Ian; Placido, Frank

    2011-03-20

    Plasma-assisted electron-beam evaporation leads to changes in the crystallinity, density, and stresses of thin films. A dual-source plasma system provides stress control of large-aperture, high-fluence coatings used in vacuum for substrates 1m in aperture.

  7. Growth-temperature- and thermal-anneal-induced crystalline reorientation of aluminum on GaAs (100) grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, H. F.; Chua, S. J.; Xiang, N.

    2007-01-01

    The authors investigated the growth of Al thin films on GaAs (100) substrates by molecular beam epitaxy. It is found that the growth at 550 degree sign C results in a texture that consists of (100)Al[010](parallel sign)(100)GaAs[011] and (100)Al[010](parallel sign)(100)GaAs[010] rotated 45 degree sign with respect to each other, while the growth at 300 degree sign C leads to a mixture phase of (100)Al[010](parallel sign)(100)GaAs[011] and (110)Al[001](parallel sign)(100)GaAs[011]. In situ annealing of the Al film grown at 300 degree sign C causes a reorientation of the crystalline from (100)Al[010](parallel sign)(100)GaAs[011] to (110)Al[001](parallel sign)(100)GaAs[011]. The grain sizes of the Al film are increased by the increased growth temperature and in situ annealing; the ratio of the exposed to the covered surface is not changed significantly by changing the growth temperature but decreased by annealing; and the small islands in between the large ones are removed by annealing. These observations are explained based on island migration and coalescence

  8. Reflectance-anisotropy study of the dynamics of molecular beam epitaxy growth of GaAs and InGaAs on GaAs(001)

    Energy Technology Data Exchange (ETDEWEB)

    Ortega-Gallegos, J.; Lastras-Martinez, A.; Lastras-Martinez, L.F. [Instituto de Investigacion en Comunicacion Optica, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico); Balderas-Navarro, R.E. [Instituto de Investigacion en Comunicacion Optica, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico); Facultad de Ciencias, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico)

    2008-07-01

    Reflectance-Anisotropy (RA) observations during the Molecular Beam Epitaxy (MBE) growth of zincblende semiconductors films were carried out using the E{sub 1} optical transition as a probe. We follow the kinetics of the deposition of GaAs and In{sub 0.3}Ga{sub 0.7}As on GaAs(001) at growth rates of 0.2 and 0.25 ML/s, respectively. During growth we used a constant As{sub 4} or As{sub 2} flux pressure of 5 x 10{sup -6} Torr. Clear RA-oscillations were observed during growth with a period that nearly coincides with the growth period for a Ga-As bilayer. RHEED was used as an auxiliary technique in order to obtain a correlation between RHEED and RA oscillations. On the basis of our results, we argue that RAS oscillations are mainly associated to periodic changes in surface atomic structure. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Reflectance-anisotropy study of the dynamics of molecular beam epitaxy growth of GaAs and InGaAs on GaAs(001)

    International Nuclear Information System (INIS)

    Ortega-Gallegos, J.; Lastras-Martinez, A.; Lastras-Martinez, L.F.; Balderas-Navarro, R.E.

    2008-01-01

    Reflectance-Anisotropy (RA) observations during the Molecular Beam Epitaxy (MBE) growth of zincblende semiconductors films were carried out using the E 1 optical transition as a probe. We follow the kinetics of the deposition of GaAs and In 0.3 Ga 0.7 As on GaAs(001) at growth rates of 0.2 and 0.25 ML/s, respectively. During growth we used a constant As 4 or As 2 flux pressure of 5 x 10 -6 Torr. Clear RA-oscillations were observed during growth with a period that nearly coincides with the growth period for a Ga-As bilayer. RHEED was used as an auxiliary technique in order to obtain a correlation between RHEED and RA oscillations. On the basis of our results, we argue that RAS oscillations are mainly associated to periodic changes in surface atomic structure. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Electrical performance of phase change memory cells with Ge{sub 3}Sb{sub 2}Te{sub 6} deposited by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Boschker, Jos E.; Riechert, Henning; Calarco, Raffaella [Paul-Drude-Institut für Festkörperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany); Boniardi, Mattia; Redaelli, Andrea [Micron Semiconductor Italia S.r.l., Via C. Olivetti, 2, 20864, Agrate Brianza, MB (Italy)

    2015-01-12

    Here, we report on the electrical characterization of phase change memory cells containing a Ge{sub 3}Sb{sub 2}Te{sub 6} (GST) alloy grown in its crystalline form by Molecular Beam Epitaxy (MBE). It is found that the high temperature growth on the amorphous substrate results in a polycrystalline film exhibiting a rough surface with a grain size of approximately 80–150 nm. A detailed electrical characterization has been performed, including I-V characteristic curves, programming curves, set operation performance, crystallization activation at low temperature, and resistance drift, in order to determine the material related parameters. The results indicate very good alignment of the electrical parameters with the current state-of-the-art GST, deposited by physical vapor deposition. Such alignment enables a possible employment of the MBE deposition technique for chalcogenide materials in the phase change memory technology, thus leading to future studies of as-deposited crystalline chalcogenides as integrated in electrical vehicles.

  11. On plasma ion beam formation in the Advanced Plasma Source

    International Nuclear Information System (INIS)

    Harhausen, J; Foest, R; Hannemann, M; Ohl, A; Brinkmann, R P; Schröder, B

    2012-01-01

    The Advanced Plasma Source (APS) is employed for plasma ion-assisted deposition (PIAD) of optical coatings. The APS is a hot cathode dc glow discharge which emits a plasma ion beam to the deposition chamber at high vacuum (p ≲ 2 × 10 −4 mbar). It is established as an industrial tool but to date no detailed information is available on plasma parameters in the process chamber. As a consequence, the details of the generation of the plasma ion beam and the reasons for variations of the properties of the deposited films are barely understood. In this paper the results obtained from Langmuir probe and retarding field energy analyzer diagnostics operated in the plasma plume of the APS are presented, where the source was operated with argon. With increasing distance to the source exit the electron density (n e ) is found to drop by two orders of magnitude and the effective electron temperature (T e,eff ) drops by a factor of five. The parameters close to the source region read n e ≳ 10 11 cm −3 and T e,eff ≳ 10 eV. The electron distribution function exhibits a concave shape and can be described in the framework of the non-local approximation. It is revealed that an energetic ion population leaves the source region and a cold ion population in the plume is build up by charge exchange collisions with the background neutral gas. Based on the experimental data a scaling law for ion beam power is deduced, which links the control parameters of the source to the plasma parameters in the process chamber. (paper)

  12. Plasma assisted surface coating/modification processes: An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1986-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation). These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  13. Plasma assisted surface coating/modification processes - An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1987-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation. These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  14. Experimental investigation of molecular beam injection in HL-1 tokamak

    International Nuclear Information System (INIS)

    Yao Lianghua; Diao Guangyao; Wang Zhanhe; Deng Huichen; Luo Junlin; Duan Xuru; Cui Zhengying

    1993-07-01

    A new method of gas puffing is presented. The molecular beam, formed by high pressure deuterium gas through Larval nozzle and skimmer slit, is injected into the HL-1 vacuum vessel. The deuterium molecular current from the nozzle passing through the skimmer is about 3 x 10 20 /s. At the line average electron density of 5.2 x 10 19 m -3 , the beam velocity is about 100 m/s. As the plasma density and temperature increasing, the influxes of deuterium particles attenuate quickly. When the molecular beam injection (MBI) just returned to normal gas puffing, the D α emission rapidly decreases, meanwhile, the particles move toward plasma center, the electron density is continuously peaking. The line average electron density rising lasts 45 ms. The thermal energy of plasma and confinement time for particles and energy are also increasing. the MBI is a direct and efficient gas fuelling mode, and the injected particles can reach to inside about 8 cm of plasma and q ≅ 2 confinement region. Its efficiency of injection is about 50%. After the MBI, the particle recycling coefficient R on the wall is 0.6 which is 10% lower than that of normal gas puffing

  15. Fluorescence extended X-ray absorption fine structure analysis of half-metallic ferromagnet 'zinc-blende CrAs' grown on GaAs by molecular beam epitaxy

    CERN Document Server

    Ofuchi, H; Ono, K; Oshima, M; Akinaga, H; Manago, T

    2003-01-01

    In this work, geometric structures for a half-metallic ferromagnet 'zinc-blende CrAs', which showed ferromagnetic behavior beyond room temperature, were investigated using fluorescence extended X-ray absorption fine structure (EXAFS) measurement. The EXAFS measurements revealed that As atoms around Cr atoms in the 2 nm CrAs film grown on a GaAs(0 0 1) substrate were coordinated tetrahedrally, indicating formation of zinc-blende CrAs. The Cr-As bond length in the zinc-blende CrAs is 2.49 A. This value is close to that which was estimated from the lattice constant (5.82 A) of ferromagnetic zinc-blende CrAs calculated by full-potential linearized augmented-plane wave method. The EXAFS analysis show that the theoretically predicted zinc-blende CrAs can be fabricated on GaAs(0 0 1) substrate by low-temperature molecular-beam epitaxy.

  16. Fluorescence extended X-ray absorption fine structure analysis of half-metallic ferromagnet 'zinc-blende CrAs' grown on GaAs by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ofuchi, H.; Mizuguchi, M.; Ono, K.; Oshima, M.; Akinaga, H.; Manago, T.

    2003-01-01

    In this work, geometric structures for a half-metallic ferromagnet 'zinc-blende CrAs', which showed ferromagnetic behavior beyond room temperature, were investigated using fluorescence extended X-ray absorption fine structure (EXAFS) measurement. The EXAFS measurements revealed that As atoms around Cr atoms in the 2 nm CrAs film grown on a GaAs(0 0 1) substrate were coordinated tetrahedrally, indicating formation of zinc-blende CrAs. The Cr-As bond length in the zinc-blende CrAs is 2.49 A. This value is close to that which was estimated from the lattice constant (5.82 A) of ferromagnetic zinc-blende CrAs calculated by full-potential linearized augmented-plane wave method. The EXAFS analysis show that the theoretically predicted zinc-blende CrAs can be fabricated on GaAs(0 0 1) substrate by low-temperature molecular-beam epitaxy

  17. High-quality AlGaN/GaN grown on sapphire by gas-source molecular beam epitaxy using a thin low-temperature AlN layer

    Energy Technology Data Exchange (ETDEWEB)

    Jurkovic, M.J.; Li, L.K.; Turk, B.; Wang, W.I.; Syed, S.; Simonian, D.; Stormer, H.L.

    2000-07-01

    Growth of high-quality AlGaN/GaN heterostructures on sapphire by ammonia gas-source molecular beam epitaxy is reported. Incorporation of a thin AlN layer grown at low temperature within the GaN buffer is shown to result in enhanced electrical and structural characteristics for subsequently grown heterostructures. AlGaN/GaN structures exhibiting reduced background doping and enhanced Hall mobilities (2100, 10310 and 12200 cm{sup 2}/Vs with carrier sheet densities of 6.1 x 10{sup 12} cm{sup {minus}2}, and 5.8 x 10{sup 12} cm{sup {minus}2} at 300 K, 77 K, and 0.3 K, respectively) correlate with dislocation filtering in the thin AlN layer. Magnetotransport measurements at 0.3 K reveal well-resolved Shubnikov-de Haas oscillations starting at 3 T.

  18. Beam-plasma interaction in case of injection of the electron beam to the symmetrically open plasma system

    International Nuclear Information System (INIS)

    Opanasenko, A.V.; Romanyuk, L.I.

    1992-01-01

    A beam-plasma interaction at the entrance of the symmetrically open plasma system with an electron beam injected through it is investigated. An ignition of the plasma-beam discharge on waves of upper hybrid dispersion branch of a magnetoactive plasma is found in the plasma penetrating into the vacuum contrary to the beam. It is shown that the beam-plasma discharge is localized in the inhomogeneous penetrating plasma in the zone where only these waves exist. Regularities of the beam-plasma discharge ignition and manifestation are described. It is determined that the electron beam crossing the discharge zone leads to the strong energy relaxation of the beam. It is shown possible to control the beam-plasma discharge ignition by changing the potential of the electron beam collector. (author)

  19. Magnetic anisotropy basis sets for epitaxial (110) and (111) REFe2 nanofilms

    International Nuclear Information System (INIS)

    Bowden, G J; Martin, K N; Fox, A; Rainford, B D; Groot, P A J de

    2008-01-01

    Magnetic anisotropy basis sets for the cubic Laves phase rare earth intermetallic REFe 2 compounds are discussed in some detail. Such compounds can be either free standing, or thin films grown in either (110) or (111) mode using molecular beam epitaxy. For the latter, it is useful to rotate to a new coordinate system where the z-axis coincides with the growth axes of the film. In this paper, three symmetry adapted basis sets are given, for multi-pole moments up to n = 12. These sets can be used for free-standing compounds and for (110) and (111) epitaxial films. In addition, the distortion of REFe 2 films, grown on sapphire substrates, is also considered. The distortions are different for the (110) and (111) films. Strain-induced harmonic sets are given for both specific and general distortions. Finally, some predictions are made concerning the preferred direction of easy magnetization in (111) molecular beam epitaxy grown REFe 2 films

  20. Molecular beam epitaxial growth of Bi2Te3 and Sb2Te3 topological insulators on GaAs (111 substrates: a potential route to fabricate topological insulator p-n junction

    Directory of Open Access Journals (Sweden)

    Zhaoquan Zeng

    2013-07-01

    Full Text Available High quality Bi2Te3 and Sb2Te3 topological insulators films were epitaxially grown on GaAs (111 substrate using solid source molecular beam epitaxy. Their growth and behavior on both vicinal and non-vicinal GaAs (111 substrates were investigated by reflection high-energy electron diffraction, atomic force microscopy, X-ray diffraction, and high resolution transmission electron microscopy. It is found that non-vicinal GaAs (111 substrate is better than a vicinal substrate to provide high quality Bi2Te3 and Sb2Te3 films. Hall and magnetoresistance measurements indicate that p type Sb2Te3 and n type Bi2Te3 topological insulator films can be directly grown on a GaAs (111 substrate, which may pave a way to fabricate topological insulator p-n junction on the same substrate, compatible with the fabrication process of present semiconductor optoelectronic devices.

  1. Growth of high mobility GaN and AlGaN/GaN high electron mobility transistor structures on 4H-SiC by ammonia molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Webb, James B.; Tang, H.; Bardwell, J. A.; Coleridge, P.

    2001-01-01

    Ammonia molecular-beam epitaxy has been used to grow high-quality epilayers of GaN and AlGaN/GaN heterostructure field-effect transistor (HFET) structures on insulating 4H-SiC. The growth process, which used a magnetron sputter epitaxy deposited buffer layer of AlN, has been described previously. Ex situ pretreatment of the SiC substrate was found to be unnecessary. For a single 2.0 μm thick silicon doped epilayer, a room temperature (RT) electron mobility of 500 cm2/Vs was measured at a carrier density of 6.6x10 16 cm -3 . For the HFET structure, a room temperature mobility of 1300 cm2/Vs at a sheet carrier density of 3.3x10 12 cm -2 was observed, increasing to 11000 cm2/Vs at 77 K. The surface morphology of the layers indicated a coalesced mesa structure similar to what we observed for growth on sapphire, but with a lower overall defect density and correspondingly larger grain size. The observation of well-resolved Shubnikov de Haas oscillations at fields as low as 3 T indicated a relatively smooth interface. [copyright] 2001 American Institute of Physics

  2. Microstructural characterisation of zinc-blende Ga1-xMnxN grown by MBE as a function of Mn flux

    International Nuclear Information System (INIS)

    Han, Y; Fay, M W; Novikov, S V; Edmonds, K W; Gallagher, B L; Campion, R P; Staddon, C R; Foxon, C T; Brown, P D

    2006-01-01

    Zinc-blende Ga 1-x Mn x N epilayers grown by plasma assisted molecular beam epitaxy as a function of Mn flux have been assessed using a variety of structural characterisation techniques. Increasing Mn flux is associated with the build up of a Mn surfactant layer during the early states of growth and a transition from zinc-blende single phase growth to zincblende/ wurtzite mixed phase growth

  3. Atomic layer epitaxy of ZnO for applications in molecular beam epitaxy growth of GaN and InGaN

    International Nuclear Information System (INIS)

    Godlewski, M.; Szczerbakow, A.; Ivanov, V. Yu.; Barski, A.; Goldys, E.M.

    2000-01-01

    We report the successful atomic layer epitaxy growth of thin ZnO films and their use for GaN and InGaN epitaxy. The properties of ZnO epilayers, obtained by four different procedures, are analysed, as well as of GaN and InGaN films grown on ZnO-coated Si and GaAs by MBE. (author)

  4. InGaAs quantum dots grown by molecular beam epitaxy for light emission on Si substrates.

    Science.gov (United States)

    Bru-Chevallier, C; El Akra, A; Pelloux-Gervais, D; Dumont, H; Canut, B; Chauvin, N; Regreny, P; Gendry, M; Patriarche, G; Jancu, J M; Even, J; Noe, P; Calvo, V; Salem, B

    2011-10-01

    The aim of this study is to achieve homogeneous, high density and dislocation free InGaAs quantum dots grown by molecular beam epitaxy for light emission on silicon substrates. This work is part of a project which aims at overcoming the severe limitation suffered by silicon regarding its optoelectronic applications, especially efficient light emission device. For this study, one of the key points is to overcome the expected type II InGaAs/Si interface by inserting the InGaAs quantum dots inside a thin silicon quantum well in SiO2 fabricated on a SOI substrate. Confinement effects of the Si/SiO2 quantum well are expected to heighten the indirect silicon bandgap and then give rise to a type I interface with the InGaAs quantum dots. Band structure and optical properties are modeled within the tight binding approximation: direct energy bandgap is demonstrated in SiO2/Si/InAs/Si/SiO2 heterostructures for very thin Si layers and absorption coefficient is calculated. Thinned SOI substrates are successfully prepared using successive etching process resulting in a 2 nm-thick Si layer on top of silica. Another key point to get light emission from InGaAs quantum dots is to avoid any dislocations or defects in the quantum dots. We investigate the quantum dot size distribution, density and structural quality at different V/III beam equivalent pressure ratios, different growth temperatures and as a function of the amount of deposited material. This study was performed for InGaAs quantum dots grown on Si(001) substrates. The capping of InGaAs quantum dots by a silicon epilayer is performed in order to get efficient photoluminescence emission from quantum dots. Scanning transmission electronic microscopy images are used to study the structural quality of the quantum dots. Dislocation free In50Ga50As QDs are successfully obtained on a (001) silicon substrate. The analysis of QDs capped with silicon by Rutherford Backscattering Spectrometry in a channeling geometry is also presented.

  5. Direct observation of strain in InAs quantum dots and cap layer during molecular beam epitaxial growth using in situ X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Shimomura, Kenichi; Ohshita, Yoshio; Kamiya, Itaru, E-mail: kamiya@toyota-ti.ac.jp [Toyota Technological Institute, 2-12-1 Hisakata, Tempaku, Nagoya 468-8511 (Japan); Suzuki, Hidetoshi [Faculty of Engineering, University of Miyazaki, 1-1 Gakuen Kibanadai-nishi, Miyazaki 889-2192 (Japan); Sasaki, Takuo; Takahasi, Masamitu [Quantum Beam Science Center, Japan Atomic Energy Agency, Koto 1-1-1, Sayo-cho, Hyogo 679-5148 (Japan)

    2015-11-14

    Direct measurements on the growth of InAs quantum dots (QDs) and various cap layers during molecular beam epitaxy are performed by in situ X-ray diffraction (XRD). The evolution of strain induced both in the QDs and cap layers during capping is discussed based on the XRD intensity transients obtained at various lattice constants. Transients with different features are observed from those obtained during InGaAs and GaAs capping. The difference observed is attributed to In-Ga intermixing between the QDs and the cap layer under limited supply of In. Photoluminescence (PL) wavelength can be tuned by controlling the intermixing, which affects both the strain induced in the QDs and the barrier heights. The PL wavelength also varies with the cap layer thickness. A large redshift occurs by reducing the cap thickness. The in situ XRD observation reveals that this is a result of reduced strain. We demonstrate how such information about strain can be applied for designing and preparing novel device structures.

  6. Direct observation of strain in InAs quantum dots and cap layer during molecular beam epitaxial growth using in situ X-ray diffraction

    International Nuclear Information System (INIS)

    Shimomura, Kenichi; Ohshita, Yoshio; Kamiya, Itaru; Suzuki, Hidetoshi; Sasaki, Takuo; Takahasi, Masamitu

    2015-01-01

    Direct measurements on the growth of InAs quantum dots (QDs) and various cap layers during molecular beam epitaxy are performed by in situ X-ray diffraction (XRD). The evolution of strain induced both in the QDs and cap layers during capping is discussed based on the XRD intensity transients obtained at various lattice constants. Transients with different features are observed from those obtained during InGaAs and GaAs capping. The difference observed is attributed to In-Ga intermixing between the QDs and the cap layer under limited supply of In. Photoluminescence (PL) wavelength can be tuned by controlling the intermixing, which affects both the strain induced in the QDs and the barrier heights. The PL wavelength also varies with the cap layer thickness. A large redshift occurs by reducing the cap thickness. The in situ XRD observation reveals that this is a result of reduced strain. We demonstrate how such information about strain can be applied for designing and preparing novel device structures

  7. Self-Organized Ni Nanocrystal Embedded in BaTiO3 Epitaxial Film

    Directory of Open Access Journals (Sweden)

    Ge FF

    2010-01-01

    Full Text Available Abstract Ni nanocrystals (NCs were embedded in BaTiO3 epitaxial films using the laser molecular beam epitaxy. The processes involving the self-organization of Ni NCs and the epitaxial growth of BaTiO3 were discussed. With the in situ monitoring of reflection high-energy electron diffraction, the nanocomposite films were engineered controllably by the fine alternation of the self-organization of Ni NCs and the epitaxial growth of BaTiO3. The transmission electron microscopy and the X-ray diffraction characterization confirmed that the composite film consists of the Ni NCs layers alternating with the (001/(100-oriented epitaxial BaTiO3 separation layers.

  8. Structural and electrical properties of epitaxial Si layers prepared by E-beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Dogan, P. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)], E-mail: pinar.dogan@hmi.de; Rudigier, E.; Fenske, F.; Lee, K.Y.; Gorka, B.; Rau, B.; Conrad, E.; Gall, S. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)

    2008-08-30

    In this work, we present structural and electrical properties of thin Si films which are homoepitaxially grown at low substrate temperatures (T{sub s} 450-700 deg. C) by high-rate electron beam evaporation. As substrates, monocrystalline Si wafers with (100) and (111) orientations and polycrystalline Si (poly-Si) seed layers on glass were used. Applying Secco etching, films grown on Si(111) wafers exhibit a decreasing etch pit density with increasing T{sub s}. The best structural quality of the films was obtained on Si(100) wafers. Defect etching on epitaxially grown poly-Si absorbers reveal regions with different crystalline quality. Solar cells have been prepared on both wafers and seed layers. Applying Rapid Thermal Annealing (RTA) and Hydrogen plasma passivation an open circuit voltage of 570 mV for wafer based and 346 mV for seed layer based solar cells have been reached.

  9. Electromagnetic radiation from beam-plasma instabilities

    International Nuclear Information System (INIS)

    Stenzel, R.L.; Whelan, D.A.

    1982-01-01

    This chapter investigates the mechanism by which unstable electrostatic waves of an electron-beam plasma system are converted into observed electromagnetic waves. Electromagnetic radiation arises from both natural beam-plasma systems (e.g., type III solar bursts and kilometric radiation), and from man-made electron beams injected from rockets and spacecraft. A pulsed magnetized discharge plasma is produced with a 1 m diam. oxide-coated cathode and the discussed experiment is performed in the quiescent afterglow. The primary beam-plasma instability involves the excitation of electrostatic plasma waves. Electromagnetic radiation from the beam-plasma system is observed with microwave antennas outside the plasma (all probes removed) or with coax-fed dipoles which can be inserted radially and axially into the plasma. The physical process of mode coupling by which electromagnetic radiation is generated in an electrostatic beam-plasma instability is identified. The results are relevant to beam injection experiments from rockets or satellites into space plasmas. The limited penetration of the beam current into the plasma due to instabilities is demonstrated

  10. Deep levels in a-plane, high Mg-content MgxZn1−xO epitaxial layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gür, Emre; Tabares, G.; Hierro, A.; Arehart, A.; Ringel, S. A.; Chauveau, J. M.

    2012-01-01

    Deep level defects in n-type unintentionally doped a-plane Mg x Zn 1−x O, grown by molecular beam epitaxy on r-plane sapphire were fully characterized using deep level optical spectroscopy (DLOS) and related methods. Four compositions of Mg x Zn 1−x O were examined with x = 0.31, 0.44, 0.52, and 0.56 together with a control ZnO sample. DLOS measurements revealed the presence of five deep levels in each Mg-containing sample, having energy levels of E c − 1.4 eV, 2.1 eV, 2.6 V, and E v + 0.3 eV and 0.6 eV. For all Mg compositions, the activation energies of the first three states were constant with respect to the conduction band edge, whereas the latter two revealed constant activation energies with respect to the valence band edge. In contrast to the ternary materials, only three levels, at E c − 2.1 eV, E v + 0.3 eV, and 0.6 eV, were observed for the ZnO control sample in this systematically grown series of samples. Substantially higher concentrations of the deep levels at E v + 0.3 eV and E c − 2.1 eV were observed in ZnO compared to the Mg alloyed samples. Moreover, there is a general invariance of trap concentration of the E v + 0.3 eV and 0.6 eV levels on Mg content, while at least and order of magnitude dependency of the E c − 1.4 eV and E c − 2.6 eV levels in Mg alloyed samples.

  11. Microstructures of GaN1-xPx layers grown on (0001) GaN substrates by gas source molecular beam epitaxy

    Science.gov (United States)

    Seong, Tae-Yeon; Bae, In-Tae; Choi, Chel-Jong; Noh, D. Y.; Zhao, Y.; Tu, C. W.

    1999-03-01

    Transmission electron microscope (TEM), transmission electron diffraction (TED), and synchrotron x-ray diffraction (XRD) studies have been performed to investigate microstructural behavior of gas source molecular beam epitaxial GaN1-xPx layers grown on (0001) GaN/sapphire at temperatures (Tg) in the range 500-760 °C. TEM, TED, and XRD results indicate that the samples grown at Tg⩽600 °C undergo phase separation resulting in a mixture of GaN-rich and GaP-rich GaNP with zinc-blende structure. However, the samples grown at Tg⩾730 °C are found to be binary zinc-blende GaN(P) single crystalline materials. As for the 500 °C layer, the two phases are randomly oriented and distributed, whereas the 600 °C layer consists of phases that are elongated and inclined by 60°-70° clockwise from the [0001]α-GaN direction. The samples grown at Tg⩾730 °C are found to consist of two types of microdomains, namely, GaN(P)I and GaN(P)II; the former having twin relation to the latter.

  12. Effect of In_xGa_1_−_xAs interlayer on the properties of In_0_._3Ga_0_._7As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Shuguang; Li, Jingling; Zhang, Xiaona; Li, Guoqiang; Liu, Ying

    2015-01-01

    High-quality In_0_._3Ga_0_._7As films have been epitaxially grown on Si (111) substrate by inserting an In_xGa_1_−_xAs interlayer with various In compositions by molecular beam epitaxy. The effect of In_xGa_1_−_xAs interlayer on the surface morphology and structural properties of In_0_._3Ga_0_._7As films is studied in detail. It reveals that In_0_._3Ga_0_._7As films grown at appropriate In composition in In_xGa_1_−_xAs interlayer exhibit smooth surface with a surface root-mean-square roughness of 1.7 nm; while In_0_._3Ga_0_._7As films grown at different In composition of In_xGa_1_−_xAs interlayer show poorer properties. This work demonstrates a simple but effective method to grow high-quality In_0_._3Ga_0_._7As epilayers on Si substrates, and brings up a broad prospect for the application of InGaAs-based optoelectronic devices on Si substrates. - Highlights: • We provide a simple approach to achieve high-quality In_0_._3Ga_0_._7As films on Si. • An In_0_._2_8Ga_0_._7_2As interlayer can release mismatch strain. • High-quality In_0_._3Ga_0_._7As film is grown on Si using 10-nm-thick interlayer. • Smooth surface In_0_._3Ga_0_._7As film is grown on Si using 10-nm-thick interlayer.

  13. Vertical transport in isotype InAlN/GaN dipole induced diodes grown by molecular beam epitaxy

    Science.gov (United States)

    Fireman, M. N.; Li, Haoran; Keller, Stacia; Mishra, Umesh K.; Speck, James S.

    2017-05-01

    InAlN dipole diodes were developed and fabricated on both (0001) Ga-Face and (" separators="| 000 1 ¯) N-face oriented GaN on sapphire templates by molecular beam epitaxy. The orientation and direction of the InAlN polarization dipole are functions of the substrate orientation and composition, respectively. Special consideration was taken to minimize growth differences and impurity uptake during growth on these orientations of opposite polarity. Comparison of devices on similarly grown structures with In compositions in excess of 50% reveals that dipole diodes shows poorer forward bias performance and exhibited an increase in reverse bias leakage, regardless of orientation. Similarly, (0001) Ga-face oriented InAlN at a lowered 40% In composition had poor device characteristics, namely, the absence of expected exponential turn on in forward bias. By contrast, at In compositions close to 40%, (" separators="| 000 1 ¯) N-face oriented InAlN devices had excellent performance, with over five orders of magnitude of rectification and extracted barrier heights of 0.53- 0.62 eV; these values are in close agreement with simulation. Extracted ideality factors ranging from 1.08 to 1.38 on these devices are further evidence of their optimal performance. Further discussion focuses on the growth and orientation conditions that may lead to this discrepancy yet emphasizes that with proper design and growth strategy, the rectifying dipole diodes can be achieved with InAlN nitride dipole layers.

  14. Self-excitation of microwave oscillations in plasma-assisted slow-wave oscillators by an electron beam with a movable focus

    Science.gov (United States)

    Bliokh, Yu. P.; Nusinovich, G. S.; Shkvarunets, A. G.; Carmel, Y.

    2004-10-01

    Plasma-assisted slow-wave oscillators (pasotrons) operate without external magnetic fields, which makes these devices quite compact and lightweight. Beam focusing in pasotrons is provided by ions, which appear in the device due to the impact ionization of a neutral gas by beam electrons. Typically, the ionization time is on the order of the rise time of the beam current. This means that, during the rise of the current, beam focusing by ions becomes stronger. Correspondingly, a beam of electrons, which was initially diverging radially due to the self-electric field, starts to be focused by ions, and this focus moves towards the gun as the ion density increases. This feature makes the self-excitation of electromagnetic (em) oscillations in pasotrons quite different from practically all other microwave sources where em oscillations are excited by a stationary electron beam. The process of self-excitation of em oscillations has been studied both theoretically and experimentally. It is shown that in pasotrons, during the beam current rise the amount of current entering the interaction space and the beam coupling to the em field vary. As a result, the self-excitation can proceed faster than in conventional microwave sources with similar operating parameters such as the operating frequency, cavity quality-factor and the beam current and voltage.

  15. All-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayers fabricated by Sn-induced low-temperature epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kawano, M.; Ikawa, M.; Arima, K.; Yamada, S.; Kanashima, T.; Hamaya, K., E-mail: hamaya@ee.es.osaka-u.ac.jp [Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama, Toyonaka 560-8531 (Japan)

    2016-01-28

    We demonstrate low-temperature growth of all-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayer structures by developing Sn-induced surfactant-mediated molecular beam epitaxy (SMBE) of Ge on Co{sub 2}FeSi. Despite the growth of a semiconductor on a metal, we verify that the inserted Sn monolayers between Ge and Co{sub 2}FeSi enable to promote the 2D epitaxial growth of Ge up to 5 nm at a T{sub G} of 250 °C. An understanding of the mechanism of the Sn-induced SMBE leads to the achievement of all-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayer structures with spin-valve-like magnetization reversals. This study will open a way for vertical-type and high-performance Ge-based spintronics devices.

  16. Plasma waves in hot relativistic beam-plasma systems: Pt. 1

    International Nuclear Information System (INIS)

    Magneville, A.

    1990-01-01

    Dispersion relations of plasma waves in a beam-plasma system are computed in the general case where the plasma and beam temperatures, and the velocity of the beam, may be relativistic. The two asymptotic temperature cases, and different contributions of plasma or beam particles to wave dispersion are considered. (author)

  17. Angle-resolved photoemission spectroscopy of strontium lanthanum copper oxide thin films grown by molecular-beam epitaxy

    Science.gov (United States)

    Harter, John Wallace

    Among the multitude of known cuprate material families and associated structures, the archetype is "infinite-layer" ACuO2, where perfectly square and flat CuO2 planes are separated by layers of alkaline earth atoms. The infinite-layer structure is free of magnetic rare earth ions, oxygen chains, orthorhombic distortions, incommensurate superstructures, ordered vacancies, and other complications that abound among the other material families. Furthermore, it is the only cuprate that can be made superconducting by both electron and hole doping, making it a potential platform for decoding the complex many-body interactions responsible for high-temperature superconductivity. Research on the infinite-layer compound has been severely hindered by the inability to synthesize bulk single crystals, but recent progress has led to high-quality superconducting thin film samples. Here we report in situ angle-resolved photoemission spectroscopy measurements of epitaxially-stabilized Sr1-chiLa chiCuO2 thin films grown by molecular-beam epitaxy. At low doping, the material exhibits a dispersive lower Hubbard band typical of other cuprate parent compounds. As carriers are added to the system, a continuous evolution from Mott insulator to superconducting metal is observed as a coherent low-energy band develops on top of a concomitant remnant lower Hubbard band, gradually filling in the Mott gap. For chi = 0.10, our results reveal a strong coupling between electrons and (pi,pi) anti-ferromagnetism, inducing a Fermi surface reconstruction that pushes the nodal states below the Fermi level and realizing nodeless superconductivity. Electron diffraction measurements indicate the presence of a surface reconstruction that is consistent with the polar nature of Sr1-chiLachiCuO2. Most knowledge about the electron-doped side of the cuprate phase diagram has been deduced by generalizing from a single material family, Re2-chi CechiCuO4, where robust antiferromagnetism has been observed past chi

  18. Temperature dependence of carrier transfer and exciton localization in ZnO/MgZnO heterostructure

    Energy Technology Data Exchange (ETDEWEB)

    Zhao Dongxu [Key Laboratory of Excited State Process, Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, 16 East Nan-Hu Road, Open Economic Zone, Changchun 130033 (China)]. E-mail: dxzhao2000@yahoo.com.cn; Li Binghui [Key Laboratory of Excited State Process, Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, 16 East Nan-Hu Road, Open Economic Zone, Changchun 130033 (China); Center for Advanced Optoelectronic Functional Material Research, Northeast Normal University, Changchun 130024 (China); Wu Chunxia [Key Laboratory of Excited State Process, Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, 16 East Nan-Hu Road, Open Economic Zone, Changchun 130033 (China); Graduate School of the Chinese Academy of Sciences (China); Lu Youming [Key Laboratory of Excited State Process, Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, 16 East Nan-Hu Road, Open Economic Zone, Changchun 130033 (China); Shen Dezhen [Key Laboratory of Excited State Process, Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, 16 East Nan-Hu Road, Open Economic Zone, Changchun 130033 (China); Zhang Jiying [Key Laboratory of Excited State Process, Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, 16 East Nan-Hu Road, Open Economic Zone, Changchun 130033 (China); Fan Xiwu [Key Laboratory of Excited State Process, Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, 16 East Nan-Hu Road, Open Economic Zone, Changchun 130033 (China)

    2006-07-15

    MgZnO/ZnO heterostructure was fabricated on sapphire substrate by plasma assistant molecular beam epitaxy. The micro-photoluminescence spectra of sample are reported, which shows that different emission peaks would appear when the laser beam focuses different deepness in the film. A carrier tunneling process from the MgZnO capping layer to ZnO layer was observed by the measured temperature dependence of photoluminescence spectra. This induces the emission intensity of the ZnO grew monotonically from 81 to 103 K.

  19. Photoluminescence of heterostructures with GaP1−xNx and GaP1−x−yNxAsy layers grown on GaP and Si substrates by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Lazarenko, A. A.; Nikitina, E. V.; Sobolev, M. S.; Pirogov, E. V.; Denisov, D. V.; Egorov, A. Yu.

    2015-01-01

    The structural and optical properties of heterostructures containing GaP 1−x N x ternary and GaP 1−x−y N x As y quaternary alloy layers are discussed. The heterostructures are grown by molecular-beam epitaxy on GaP and Si substrates. The structures are studied by the high-resolution X-ray diffraction technique and photoluminescence measurements in a wide temperature range from 10 to 300 K. In the low-temperature photoluminescence spectra of the alloys with a low nitrogen fraction (x < 0.007), two clearly resolved narrow lines attributed to the localized states of nitrogen pairs and the phonon replicas of these lines are observed

  20. Experimental studies on beam-plasma interaction

    International Nuclear Information System (INIS)

    Kiwamoto, Y.

    1977-01-01

    Beam-handling technology has reached now at such a level as to enable highly controlled experiments of beam-plasma interaction. Varieties of hypotheses and suppositions about the beam propagation and interaction in space plasma can be proved and often be corrected by examining the specific processes in laboratory plasma. The experiments performed in this way by the author are briefed: ion beam instability in unmagnetized plasma; ion beam instability perpendicular to magnetic field; and electron beam instability. (Mori, K.)

  1. Substrate Misorientation Effects On (A1,Ga)As And (Al,Ga)As/GaAs Structures Grown By Molecular Beam Epitaxy

    Science.gov (United States)

    Tsui, Raymond K.; Kramer, Gary D.; Curless, J. A.; Peffley, Marilyn S.

    1987-04-01

    (Al,Ga)As layers have rough surface morphologies when deposited under certain growth conditions in molecular beam epitaxy (MBE). This leads to poor interfaces between (A1,Ga)- As and GaAs and degraded performance in heterojunction devices. We have observed that by misorienting the substrate slightly from (100), in a manner specific to the growth conditions, smooth (Al,Ga)As layers 3-4 μm thick can be grown at a rate of ≍ 1 μm/h for various AlAs mole fractions, x. Similar conditions for nominal (100) result in a rough, textured morphology. Experiments were carried out using flat substrates of specific misorientations as well as lens-shaped substrates. The lenticular substrates allowed all orientations within 14° of (100) [i.e., out to (511)] to be evaluated in one growth run. Deposition conditions that were varied included x, substrate temperature, and V/III beam flux ratio. Smooth layers obtained using optimal misorientations showed superior optical characteris-tics as determined from low-temperature photoluminescence (PL) measurements. The 4.2K PL spectra of smooth layers exhibit well-resolved exciton-related peaks, and do not have the deeper-level defect-related peaks observed in the spectra of rough layers. Single quantum well structures with A10.3Ga0.7As barriers and a 100 A-wide GaAs well deposited on mis-oriented substrates also have superior optical properties compared to a structure grown on nominal (100). Such findings may have significant implications for the performance of heterojunction device structures grown by MBE.

  2. Beam--plasma instabilities and the beam--plasma discharge

    International Nuclear Information System (INIS)

    Kellogg, P.J.; Boswell, R.W.

    1986-01-01

    Using a new electron gun, a number of measurements bearing on the generation of beam--plasma discharge (BPD) in WOMBAT (waves on magnetized beams and turbulence) [R. W. Boswell and P. J. Kellogg, Geophys. Res. Lett. 10, 565 (1983)] have been made. A beam--plasma discharge is an rf discharge in which the rf fields are provided by instabilities [W. D. Getty and L. D. Smullin, J. Appl. Phys. 34, 3421 (1963)]. The new gun has a narrower divergence angle than the old, and comparison of the BPD thresholds for the two guns verifies that the BPD ignition current is proportional to the cross-sectional area of the plasma. The high-frequency instabilities, precursors to the BPD, are identified with the two Trivelpiece--Gould modes [A. W. Trivelpiece and R. W. Gould, J. Appl. Phys. 30, 1784 (1959)]. Which frequency appears depends on the neutral pressure. The measured frequencies are not consistent with the simple interpretation of the lower frequency as a Cerenkov resonance with the low-Trivelpiece--Gould mode; it must be a cyclotron resonance. As is generally true in such beam--plasma interaction experiments, strong low-frequency waves appear at currents far below those necessary for BPD ignition. These low-frequency waves are shown to control the onset of the high-frequency precursors to the BPD. A mechanism for this control is suggested, which involves the conversion of a convective instability to an absolute one by trapping of the unstable waves in the density perturbations of the low-frequency waves. This process greatly reduces the current necessary for BPD ignition

  3. Epitaxial Ni films, e-beam nano-patterning and BMR

    Science.gov (United States)

    Lukaszew, R. Alejandra; Zhang, Zhengdong; Pearson, Dave; Zambano, Antonio

    2004-05-01

    We have attempted to clarify possible domain-wall processes present in the recently reported large ballistic magnetoresistance effects in nano-contacts. To that effect we have used e-beam lithography applied to epitaxial Ni films to fabricate nano-bridges in more controlled geometry than electrochemical deposition. Our preliminary results indicate that magnetic domains do play a role in the magneto-resistance of these nano-bridges but the order of magnitude of the observed effect is considerably smaller than the reported observations in electrochemically prepared nano-contacts.

  4. Epitaxial Ni films, e-beam nano-patterning and BMR

    International Nuclear Information System (INIS)

    Lukaszew, R.A.; Zhang Zhengdong; Pearson, Dave; Zambano, Antonio

    2004-01-01

    We have attempted to clarify possible domain-wall processes present in the recently reported large ballistic magnetoresistance effects in nano-contacts. To that effect we have used e-beam lithography applied to epitaxial Ni films to fabricate nano-bridges in more controlled geometry than electrochemical deposition. Our preliminary results indicate that magnetic domains do play a role in the magneto-resistance of these nano-bridges but the order of magnitude of the observed effect is considerably smaller than the reported observations in electrochemically prepared nano-contacts

  5. Plasma/Neutral-Beam Etching Apparatus

    Science.gov (United States)

    Langer, William; Cohen, Samuel; Cuthbertson, John; Manos, Dennis; Motley, Robert

    1989-01-01

    Energies of neutral particles controllable. Apparatus developed to produce intense beams of reactant atoms for simulating low-Earth-orbit oxygen erosion, for studying beam-gas collisions, and for etching semiconductor substrates. Neutral beam formed by neutralization and reflection of accelerated plasma on metal plate. Plasma ejected from coaxial plasma gun toward neutralizing plate, where turned into beam of atoms or molecules and aimed at substrate to be etched.

  6. Photoacoustic study of the effect of doping concentration on the transport properties of GaAs epitaxial layers

    NARCIS (Netherlands)

    George, S.D.; Dilna, S.; Prasanth, R.; Radhakrishnan, P.; Vallabhan, C.P.G.; Nampoori, V.P.N.

    2003-01-01

    We report a photoacoustic (PA) study of the thermal and transport properties of a GaAs epitaxial layer doped with Si at varying doping concentration, grown on GaAs substrate by molecular beam epitaxy. The data are analyzed on the basis of Rosencwaig and Gersho's theory of the PA effect. The

  7. Growth of pseudomorphic structures through organic epitaxy

    International Nuclear Information System (INIS)

    Kaviyil, Sreejith Embekkat; Sassella, Adele; Borghesi, Alessandro; Campione, Marcello; Su Genbo; He Youping; Chen Chenjia

    2012-01-01

    The control of molecular orientation in thin solid film phases of organic semiconductors is a basic factor for the exploitation of their physical properties for optoelectronic devices. We compare structural and optical properties of thin films of the organic semiconductor α-quarterthiophene grown by molecular beam epitaxy on different organic substrates. We show how epitactic interactions, characteristic of the surface of organic crystals, can drive the orientation of the crystalline overlayer and the selection of specific polymorphs and new pseudomorphic phases. We identify a key role in this phenomenon played by the marked groove-like corrugations present in some organic crystal surfaces. Since different polymorphs possess rather different performance in terms of, e.g., charge carrier mobility, this strategy is demonstrated to allow for the growth of oriented phases with enhanced physical properties, while keeping the substrate at room temperature. These results provide useful guidelines for the design of technological substrates for organic epitaxy and they substantiate the adoption of an organic epitaxy approach for the fabrication of optoelectronic devices based on thin films of organic semiconductors.

  8. Epitaxial growth with pulsed deposition: Submonolayer scaling and Villain instability

    DEFF Research Database (Denmark)

    Hinnemann, Berit; Hinrichsen, H.; Wolf, D.E.

    2003-01-01

    It has been observed experimentally that under certain conditions, pulsed laser deposition (PLD) produces smoother surfaces than ordinary molecular beam epitaxy (MBE). So far, the mechanism leading to the improved quality of surfaces in PLD is not yet fully understood. In the present work, we...

  9. Growth of BaSi2 continuous films on Ge(111) by molecular beam epitaxy and fabrication of p-BaSi2/n-Ge heterojunction solar cells

    Science.gov (United States)

    Takabe, Ryota; Yachi, Suguru; Tsukahara, Daichi; Toko, Kaoru; Suemasu, Takashi

    2017-05-01

    We grew BaSi2 films on Ge(111) substrates by various growth methods based on molecular beam epitaxy (MBE). First, we attempted to form BaSi2 films directly on Ge(111) by MBE without templates. We next formed BaSi2 films using BaGe2 templates as commonly used for MBE growth of BaSi2 on Si substrates. Contrary to our prediction, the lateral growth of BaSi2 was not promoted by these two methods; BaSi2 formed not into a continuous film but into islands. Although streaky patterns of reflection high-energy electron diffraction were observed inside the growth chamber, no X-ray diffraction lines of BaSi2 were observed in samples taken out from the growth chamber. Such BaSi2 islands were easily to get oxidized. We finally attempted to form a continuous BaSi2 template layer on Ge(111) by solid phase epitaxy, that is, the deposition of amorphous Ba-Si layers onto MBE-grown BaSi2 epitaxial islands, followed by post annealing. We achieved the formation of an approximately 5-nm-thick BaSi2 continuous layer by this method. Using this BaSi2 layer as a template, we succeeded in forming a-axis-oriented 520-nm-thick BaSi2 epitaxial films on Ge substrates, although (111)-oriented Si grains were included in the grown layer. We next formed a B-doped p-BaSi2(20 nm)/n-Ge(111) heterojunction solar cell. A wide-spectrum response from 400 to 2000 nm was achieved. At an external bias voltage of 1 V, the external quantum efficiency reached as high as 60%, demonstrating the great potential of BaSi2/Ge combination. However, the efficiency of a solar cell under AM1.5 illumination was quite low (0.1%). The origin of such a low efficiency was examined.

  10. Electron Beam Diagnostics in Plasmas Based on Electron Beam Ionization

    Science.gov (United States)

    Leonhardt, Darrin; Leal-Quiros, Edbertho; Blackwell, David; Walton, Scott; Murphy, Donald; Fernsler, Richard; Meger, Robert

    2001-10-01

    Over the last few years, electron beam ionization has been shown to be a viable generator of high density plasmas with numerous applications in materials modification. To better understand these plasmas, we have fielded electron beam diagnostics to more clearly understand the propagation of the beam as it travels through the background gas and creates the plasma. These diagnostics vary greatly in sophistication, ranging from differentially pumped systems with energy selective elements to metal 'hockey pucks' covered with thin layers of insulation to electrically isolate the detector from the plasma but pass high energy beam electrons. Most importantly, absolute measurements of spatially resolved beam current densities are measured in a variety of pulsed and continuous beam sources. The energy distribution of the beam current(s) will be further discussed, through experiments incorporating various energy resolving elements such as simple grids and more sophisticated cylindrical lens geometries. The results are compared with other experiments of high energy electron beams through gases and appropriate disparities and caveats will be discussed. Finally, plasma parameters are correlated to the measured beam parameters for a more global picture of electron beam produced plasmas.

  11. Particle beams and plasmas

    International Nuclear Information System (INIS)

    Hofmann, A.; Messerschmid, E.; Lawson, J.D.

    1976-01-01

    These lectures present a survey of some of the concepts of plasma physics and look at some situations familiar to particle-accelerator physicists from the point of view of a plasma physicist, with the intention of helping to link together the two fields. At the outset, basic plasma concepts are presented, including definitions of a plasma, characteristic parameters, magnetic pressure and confinement. This is followed by a brief discussion on plasma kinetic theory, non-equilibrium plasma, and the temperature of moving plasmas. Examples deal with beams in the CERN Intersecting Storage Rings as well as with non-steady beams in cyclic accelerators and microwave tubes. In the final chapters, time-varying systems are considered: waves in free space and the effect of cylinder bounds, wave motion in cold stationary plasmas, and waves in plasmas with well-defined streams. The treatment throughout is informal, with emphasis on the essential physical properties of continuous beams in accelerators and storage rings in relation to the corresponding problems in plasma physics and microwave tubes. (Author)

  12. High density of (pseudo) periodic twin-grain boundaries in molecular beam epitaxy-grown van der Waals heterostructure: MoTe{sub 2}/MoS{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Diaz, Horacio Coy; Ma, Yujing; Chaghi, Redhouane; Batzill, Matthias [Department of Physics, University of South Florida, Tampa, Florida 33620 (United States)

    2016-05-09

    Growth of transition metal dichalcogenide heterostructures by molecular beam epitaxy (MBE) promises synthesis of artificial van der Waals materials with controllable layer compositions and separations. Here, we show that MBE growth of 2H-MoTe{sub 2} monolayers on MoS{sub 2} substrates results in a high density of mirror-twins within the films. The grain boundaries are tellurium deficient, suggesting that Te-deficiency during growth causes their formation. Scanning tunneling microscopy and spectroscopy reveal that the grain boundaries arrange in a pseudo periodic “wagon wheel” pattern with only ∼2.6 nm repetition length. Defect states from these domain boundaries fill the band gap and thus give the monolayer an almost metallic property. The band gap states pin the Fermi-level in MoTe{sub 2} and thus determine the band-alignment in the MoTe{sub 2}/MoS{sub 2} interface.

  13. Plasma properties of a modified beam-plasma type ion source

    International Nuclear Information System (INIS)

    Ishikawa, Junzo; Sano, Fumimichi; Tsuji, Hiroshi; Ektessabi, A.M.; Takagi, Toshinori

    1978-01-01

    The properties of the plasma produced by beam-plasma discharge were experimentally investigated. The ion source used for this work consists of three parts, that is, the ion-extracting region with an electron gun, the drift space and the collector region. Primary and secondary electron beams are injected in to the drift tube. The interaction between plasma and these electron beams causes production of high density plasma by virtue of the beam-plasma discharge. The gas inlet is located in the middle of the drift tube, so that the gas conductance is high. The energy of the primary and secondary electron beams is transferred to that microwaves through beam-plasma interaction. The microwaves heat the plasma electrons by the cyclotron resonance or other mechanism. The amount of the energetic plasma electrons is much larger than that of the beam electrons, so that neutral gas is ionized. The density of the produced plasma is 10 2 or 10 3 times as large as the plasma produced by impact ionization. With a probe located in the middle of the drift tube, the plasma density and the electron temperature can be measured, and the power and spectra of the microwaves can be detected. The microwave oscillation, the primary electron beam characteristics, and the gas pressure characteristics were studied. Larger current of the high energy primary of secondary electron beam is required for the effective discharge. The ion source has to be operated at the minimum gas pressure. The length of beam-plasma interaction and the magnetic field intensity in the drift tube are also important parameters. (Kato, T.)

  14. A high resolution cross section transmission electron microscopy study of epitaxial rare earth fluoride/GaAs(111) interfaces prepared by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chien, C.J.; Bravman, J.C.

    1990-01-01

    The authors report the HRXTEM study of epitaxial rare earth fluoride/GaAs(111) interfaces. Such interfaces are of interest because they are the starting point for growth of buried epitaxial rare earth/rare earth fluoride sandwich structures which exhibit interesting and non bulk-like magnetic properties. Also, the optical transitions in ultrathin epitaxial NdF 3 films may be influenced by strain and defects in the NdF 3 film and the nature of the interface to GaAs. The authors find that the rare earth fluoride/GaAs interfaces are semi-coherent but chemically abrupt with the transition taking place within 3 Angstrom. However, the interface is physically rough and multiple monolayer steps in the GaAs surface tend to tilt boundaries in the fluoride. The origin of these steps is believed to be thermal etching of the GaAs during the heat- cleaning stage prior to epitaxy. The surface of the fluoride film is much smoother than the initial GaAs surface indicating planarization during epitaxy

  15. Growth modes and epitaxy of FeAl thin films on a-cut sapphire prepared by pulsed laser and ion beam assisted deposition

    Energy Technology Data Exchange (ETDEWEB)

    Yao, Xiang; Trautvetter, Moritz; Ziemann, Paul [Institut für Festkörperphysik, Universität Ulm, Albert-Einstein-Allee 11, 89069 Ulm (Germany); Wiedwald, Ulf [Institut für Festkörperphysik, Universität Ulm, Albert-Einstein-Allee 11, 89069 Ulm (Germany); Fakultät für Physik, Universität Duisburg-Essen, Lotharstraße 1, 47057 Duisburg (Germany)

    2014-01-14

    FeAl films around equiatomic composition are grown on a-cut (112{sup ¯}0) sapphire substrates by ion beam assisted deposition (IBAD) and pulsed laser deposition (PLD) at ambient temperature. Subsequent successive annealing is used to establish chemical order and crystallographic orientation of the films with respect to the substrate. We find a strongly [110]-textured growth for both deposition techniques. Pole figures prove the successful preparation of high quality epitaxial films by PLD with a single in-plane orientation. IBAD-grown films, however, exhibit three in-plane orientations, all of them with broad angular distributions. The difference of the two growth modes is attributed to the existence of a metastable intermediate crystalline orientation as concluded from nonassisted sputter depositions at different substrate temperatures. The formation of the chemically ordered crystalline B2 phase is accompanied by the expected transition from ferromagnetic to paramagnetic behavior of the films. In accordance with the different thermally induced structural recovery, we find a step-like magnetic transition to paramagnetic behavior after annealing for 1 h at T{sub A} = 300 °C for IBAD deposition, while PLD-grown films show a gradual decrease of ferromagnetic signals with rising annealing temperatures.

  16. Investigation of plasma–surface interaction at plasma beam facilities

    Energy Technology Data Exchange (ETDEWEB)

    Kurnaev, V., E-mail: kurnaev@plasma.mephi.ru [National Research Nuclear University MEPhI (Moscow Engineering Physics Institute), Kashirskoe sh. 31, 115409 Moscow (Russian Federation); Vizgalov, I.; Gutorov, K. [National Research Nuclear University MEPhI (Moscow Engineering Physics Institute), Kashirskoe sh. 31, 115409 Moscow (Russian Federation); Tulenbergenov, T.; Sokolov, I.; Kolodeshnikov, A.; Ignashev, V.; Zuev, V.; Bogomolova, I. [Institute of Atomic Energy, National Nuclear Center the Republic of Kazakhstan, Street Krasnoarmejsky, 10, 071100 Kurchatov (Kazakhstan); Klimov, N. [SRC RF TRINITI, ul. Pushkovykh, vladenie 12, Troitsk, 142190 Moscow (Russian Federation)

    2015-08-15

    The new Plasma Beam Facility (PBF) has been put into operation for assistance in testing of plasma faced components at Material Science Kazakhstan Tokamak (KTM). PBF includes a powerful electron gun (up to 30 kV, 1 A) and a high vacuum chamber with longitudinal magnetic field coils (up to 0.2 T). The regime of high vacuum electron beam transportation is used for thermal tests with power density at the target surface up to 10 GW/m{sup 2}. The beam plasma discharge (BPD) regime with a gas-puff is used for generation of intensive ion fluxes up to 3 ⋅ 10{sup 22} m{sup −2} s{sup −1}. Initial tests of the KTM PBF’s capabilities were carried out: various discharge regimes, carbon deposits cleaning, simultaneous thermal and ion impacts on radiation cooled refractory targets. With a water-cooled target the KTM PBF could be used for high heat flux tests of materials (validated by the experiment with W mock-up at the PR-2 PBF)

  17. Atmospheric processes on ice nanoparticles in molecular beams

    Czech Academy of Sciences Publication Activity Database

    Fárník, Michal; Poterya, Viktoriya

    2014-01-01

    Roč. 2, č. 2014 (2014), s. 4 ISSN 2296-2646 R&D Projects: GA ČR GA203/09/0422; GA ČR GAP208/11/0161 Institutional support: RVO:61388955 Keywords : molecular beams * photodissociation * water clusters Subject RIV: BL - Plasma and Gas Discharge Physics

  18. Lattice-Symmetry-Driven Epitaxy of Hierarchical GaN Nanotripods

    KAUST Repository

    Wang, Ping

    2017-01-18

    Lattice-symmetry-driven epitaxy of hierarchical GaN nanotripods is demonstrated. The nanotripods emerge on the top of hexagonal GaN nanowires, which are selectively grown on pillar-patterned GaN templates using molecular beam epitaxy. High-resolution transmission electron microscopy confirms that two kinds of lattice-symmetry, wurtzite (wz) and zinc-blende (zb), coexist in the GaN nanotripods. Periodical transformation between wz and zb drives the epitaxy of the hierarchical nanotripods with N-polarity. The zb-GaN is formed by the poor diffusion of adatoms, and it can be suppressed by improving the ability of the Ga adatoms to migrate as the growth temperature increased. This controllable epitaxy of hierarchical GaN nanotripods allows quantum dots to be located at the phase junctions of the nanotripods and nanowires, suggesting a new recipe for multichannel quantum devices.

  19. Fabrication and characterization of silicon nanowires by means of molecular beam epitaxy; Herstellung und Charakterisierung von Silizium-Nanodraehten mittels Molekularstrahlepitaxie

    Energy Technology Data Exchange (ETDEWEB)

    Schubert, Luise

    2007-06-19

    In this work, basic processes of silicon whisker growth were examined. For the first time, Si nanowhiskers were produced under UHV conditions by Molecular Beam Epitaxy (MBE) and characterized by different analysis methods afterwards. The existence of Au/Si droplets on a Si(111) substrate surface is a precondition of this growth method. Analyses of the temporal development of the Au/Si droplets during the whisker growth show a decrease of the number of small droplets resp. whiskers during the whisker growth with increasing growth time. This behaviour, i.e. the dissolution of smaller droplets/whiskers and the growth of larger ones in parallel can be explained by Ostwald ripenning. The diffusion-determined material transition of gold, which occurs during this process, is theoretically described by the Lifshitz-Slyozov-Wagner (LSW)-Theory. After this theory only whiskers grow which radii are larger than the critical radius. The whisker radii are temperature dependend whereas analogous whisker radii exist for identical growth times. Electron microscopy analysis show that all whiskers possess a hexagonal but no cylindrical habitus. The planes that form during the growth are crystallographic (111) planes. The growth of Si nanowhiskers under MBE conditions is determined by the Vapour Liquid Solid (VLS) mechanism and by surface diffusion of Si atoms. (orig.)

  20. Consideration of beam plasma ion-source

    International Nuclear Information System (INIS)

    Sano, Fumimichi; Kusano, Norimasa; Ishida, Yoshihiro; Ishikawa, Junzo; Takagi, Toshinori

    1976-01-01

    Theoretical and experimental analyses and their comparison were made on the plasma generation and on the beam extraction for the beam plasma ion-source. The operational principle and the structure of the ion-source are explained in the first part. Considerations are given on the electron beam-plasma interaction and the resulting generation of high frequency or microwaves which in turn increases the plasma density. The flow of energy in this system is also explained in the second part. The relation between plasma density and the imaginary part of frequency is given by taking the magnetic flux density, the electron beam energy, and the electron beam current as parameters. The relations between the potential difference between collector and drift tube and the plasma density or the ion-current are also given. Considerations are also given to the change of the plasma density due to the change of the magnetic flux density at drift tube, the change of the electron beam energy, and the change of the electron beam current. The third part deals with the extraction characteristics of the ion beam. The structure of the multiple-aperture electrode and the relation between plasma density and the extracted ion current are explained. (Aoki, K.)

  1. Epitaxial Gd2O3 on GaN and AlGaN: a potential candidate for metal oxide semiconductor based transistors on Si for high power application

    Science.gov (United States)

    Ghosh, Kankat; Das, S.; Khiangte, K. R.; Choudhury, N.; Laha, Apurba

    2017-11-01

    We report structural and electrical properties of hexagonal Gd2O3 grown epitaxially on GaN/Si (1 1 1) and AlGaN/GaN/Si(1 1 1) virtual substrates. GaN and AlGaN/GaN heterostructures were grown on Si(1 1 1) substrates by plasma assisted molecular beam epitaxy (PA-MBE), whereas the Gd2O3 layer was grown by the pulsed laser ablation (PLA) technique. Initial structural characterizations show that Gd2O3 grown on III-nitride layers by PLA, exhibit a hexagonal structure with an epitaxial relationship as {{≤ft[ 0 0 0 1 \\right]}G{{d2}{{O}3}}}||{{≤ft[ 0 0 0 1 \\right]}GaN} and {{≤ft[ 1 \\bar{1} 0 0 \\right]}G{{d2}{{O}3}}}||{{≤ft[ 1 \\bar{1} 0 0 \\right]}GaN} . X-ray photoelectron measurements of the valence bands revealed that Gd2O3 exhibits band offsets of 0.97 eV and 0.4 eV, for GaN and Al0.3Ga0.7N, respectively. Electrical measurements such as capacitance-voltage and leakage current characteristics further confirm that epi-Gd2O3 on III-nitrides could be a potential candidate for future metal-oxide-semiconductor (MOS)-based transistors also for high power applications in radio frequency range.

  2. Changes in the electro-physical properties of MCT epitaxial films affected by a plasma volume discharge induced by an avalanche beam in atmospheric-pressure air

    Science.gov (United States)

    Grigoryev, D. V.; Voitsekhovskii, A. V.; Lozovoy, K. A.; Tarasenko, V. F.; Shulepov, M. A.

    2015-11-01

    In this paper the influence of the plasma volume discharge of nanosecond duration formed in a non-uniform electric field at atmospheric pressure on samples of epitaxial films HgCdTe (MCT) films are discussed. The experimental data show that the action of pulses of nanosecond volume discharge in air at atmospheric pressure leads to changes in the electrophysical properties of MCT epitaxial films due to formation of a near-surface high- conductivity layer of the n-type conduction. The preliminary results show that it is possible to use such actions in the development of technologies for the controlled change of the properties of MCT.

  3. Physics with fast molecular-ion beams

    International Nuclear Information System (INIS)

    Kanter, E.P.

    1980-01-01

    Fast (MeV) molecular-ion beams provide a unique source of energetic projectile nuclei which are correlated in space and time. The recognition of this property has prompted several recent investigations of various aspects of the interactions of these ions with matter. High-resolution measurements on the fragments resulting from these interactions have already yielded a wealth of new information on such diverse topics as plasma oscillations in solids and stereochemical structures of molecular ions as well as a variety of atomic collision phenomena. The general features of several such experiments will be discussed and recent results will be presented

  4. Impact of substrate temperature on the incorporation of carbon-related defects and mechanism for semi-insulating behavior in GaN grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Armstrong, A.; Poblenz, C.; Green, D.S.; Mishra, U.K.; Speck, J.S.; Ringel, S.A.

    2006-01-01

    The electrical conductivity and deep level spectrum of GaN grown by molecular beam epitaxy and codoped with carbon and silicon were investigated for substrate temperatures T s of 650 and 720 deg. C as a function relative carbon and silicon doping levels. With sufficiently high carbon doping, semi-insulating behavior was observed for films grown at both temperatures, and growth at T s =720 deg. C enhanced the carbon compensation ratio. Similar carbon-related band gap states were observed via deep level optical spectroscopy for films grown at both substrate temperatures. Due to the semi-insulating nature of the films, a lighted capacitance-voltage technique was required to determine individual deep level concentrations. Carbon-related band gap states underwent substantial redistribution between deep level and shallow acceptor configurations with change in T s . In light of a T s dependence for the preferential site of carbon incorporation, a model of semi-insulating behavior in terms of carbon impurity state incorporation mediated by substrate temperature is proposed

  5. Plasma lenses for focusing relativistic electron beams

    International Nuclear Information System (INIS)

    Govil, R.; Wheeler, S.; Leemans, W.

    1997-01-01

    The next generation of colliders require tightly focused beams with high luminosity. To focus charged particle beams for such applications, a plasma focusing scheme has been proposed. Plasma lenses can be overdense (plasma density, n p much greater than electron beam density, n b ) or underdense (n p less than 2 n b ). In overdense lenses the space-charge force of the electron beam is canceled by the plasma and the remaining magnetic force causes the electron beam to self-pinch. The focusing gradient is nonlinear, resulting in spherical aberrations. In underdense lenses, the self-forces of the electron beam cancel, allowing the plasma ions to focus the beam. Although for a given beam density, a uniform underdense lens produces smaller focusing gradients than an overdense lens, it produces better beam quality since the focusing is done by plasma ions. The underdense lens can be improved by tapering the density of the plasma for optimal focusing. The underdense lens performance can be enhanced further by producing adiabatic plasma lenses to avoid the Oide limit on spot size due to synchrotron radiation by the electron beam. The plasma lens experiment at the Beam Test Facility (BTF) is designed to study the properties of plasma lenses in both overdense and underdense regimes. In particular, important issues such as electron beam matching, time response of the lens, lens aberrations and shot-to-shot reproducibility are being investigated

  6. Preparation of ZnO:N films by radical beam gettering epitaxy

    International Nuclear Information System (INIS)

    Rogozin, I. V.

    2007-01-01

    ZnO:N epitaxial films are obtained by radical beam gettering epitaxy. The properties of the films are studied using X-ray diffraction, atomic-force microscopy, secondary-ion mass spectroscopy, and photoluminescence. A narrow (002) peak is observed in the X-ray diffraction spectra, which indicates that the ZnO:N films are oriented along the c axis. Secondary-ion mass spectroscopy indicates that N is present in the ZnO films. In the low-energy luminescence spectrum of the ZnO:N films, a peak at 3.31 eV is observed. This peak is presumably attributed to the exciton bound at the neutral acceptor N O . The postannealing of the ZnO:N films was carried out in atomic oxygen. The nature of the donor-acceptor (3.23 eV) and green (2.56 eV) luminescence bands is discussed

  7. Beam-plasma instability in ion beam systems used in neutral beam generation

    International Nuclear Information System (INIS)

    Hooper, E.B. Jr.

    1977-02-01

    The beam-plasma instability is analyzed for the ion beams used for neutral beam generation. Both positive and negative ion beams are considered. Stability is predicted when the beam velocity is less than the electron thermal velocity; the only exception occurs when the electron density accompanying a negative ion beam is less than the ion density by nearly the ratio of electron to ion masses. For cases in which the beam velocity is greater than the electron thermal velocity, instability is predicted near the electron plasma frequency

  8. Molecular beam epitaxial growth of Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} topological insulators on GaAs (111) substrates: a potential route to fabricate topological insulator p-n junction

    Energy Technology Data Exchange (ETDEWEB)

    Zeng, Zhaoquan; Morgan, Timothy A.; Li, Chen; Hirono, Yusuke; Hu, Xian; Hawkridge, Michael E.; Benamara, Mourad; Salamo, Gregory J. [Arkansas Institute for Nanoscale Material Sciences and Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); Fan, Dongsheng; Yu, Shuiqing [Arkansas Institute for Nanoscale Material Sciences and Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); Department of Electrical Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); Zhao, Yanfei [International Center for Quantum Materials, School of Physics, Peking University, Beijing, 100871 (China); Lee, Joon Sue [The Center for Nanoscale Science and Department of Physics, The Pennsylvania State University, University Park, PA 16802 (United States); Wang, Jian [International Center for Quantum Materials, School of Physics, Peking University, Beijing, 100871 (China); The Center for Nanoscale Science and Department of Physics, The Pennsylvania State University, University Park, PA 16802 (United States); Wang, Zhiming M. [Arkansas Institute for Nanoscale Material Sciences and Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Engineering Research Center for Semiconductor Integrated Technology, Institute of Semiconductors, Chinese Academy of Science, Beijing 100083 (China)

    2013-07-15

    High quality Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} topological insulators films were epitaxially grown on GaAs (111) substrate using solid source molecular beam epitaxy. Their growth and behavior on both vicinal and non-vicinal GaAs (111) substrates were investigated by reflection high-energy electron diffraction, atomic force microscopy, X-ray diffraction, and high resolution transmission electron microscopy. It is found that non-vicinal GaAs (111) substrate is better than a vicinal substrate to provide high quality Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} films. Hall and magnetoresistance measurements indicate that p type Sb{sub 2}Te{sub 3} and n type Bi{sub 2}Te{sub 3} topological insulator films can be directly grown on a GaAs (111) substrate, which may pave a way to fabricate topological insulator p-n junction on the same substrate, compatible with the fabrication process of present semiconductor optoelectronic devices.

  9. Nonlinear Plasma Waves Excitation by Intense Ion Beams in Background Plasma

    International Nuclear Information System (INIS)

    Kaganovich, Igor D.; Startsev, Edward A.; Davidson, Ronald C.

    2004-01-01

    Plasma neutralization of an intense ion pulse is of interest for many applications, including plasma lenses, heavy ion fusion, cosmic ray propagation, etc. An analytical electron fluid model has been developed to describe the plasma response to a propagating ion beam. The model predicts very good charge neutralization during quasi-steady-state propagation, provided the beam pulse duration τ b is much longer than the electron plasma period 2π/ω p , where ω p = (4πe 2 n p /m) 1/2 is the electron plasma frequency and n p is the background plasma density. In the opposite limit, the beam pulse excites large-amplitude plasma waves. If the beam density is larger than the background plasma density, the plasma waves break. Theoretical predictions are compared with the results of calculations utilizing a particle-in-cell (PIC) code. The cold electron fluid results agree well with the PIC simulations for ion beam propagation through a background plasma. The reduced fluid description derived in this paper can provide an important benchmark for numerical codes and yield scaling relations for different beam and plasma parameters. The visualization of numerical simulation data shows complex collective phenomena during beam entry and exit from the plasma

  10. Nonlinear plasma waves excitation by intense ion beams in background plasma

    International Nuclear Information System (INIS)

    Kaganovich, Igor D.; Startsev, Edward A.; Davidson, Ronald C.

    2004-01-01

    Plasma neutralization of an intense ion pulse is of interest for many applications, including plasma lenses, heavy ion fusion, cosmic ray propagation, etc. An analytical electron fluid model has been developed to describe the plasma response to a propagating ion beam. The model predicts very good charge neutralization during quasi-steady-state propagation, provided the beam pulse duration τ b is much longer than the electron plasma period 2π/ω p , where ω p =(4πe 2 n p /m) 1/2 is the electron plasma frequency, and n p is the background plasma density. In the opposite limit, the beam pulse excites large-amplitude plasma waves. If the beam density is larger than the background plasma density, the plasma waves break. Theoretical predictions are compared with the results of calculations utilizing a particle-in-cell (PIC) code. The cold electron fluid results agree well with the PIC simulations for ion beam propagation through a background plasma. The reduced fluid description derived in this paper can provide an important benchmark for numerical codes and yield scaling relations for different beam and plasma parameters. The visualization of numerical simulation data shows complex collective phenomena during beam entry and exit from the plasma

  11. Use of molecular beams to support microspheres during plasma coating

    International Nuclear Information System (INIS)

    Crane, J.K.; Smith, R.D.; Johnson, W.L.; Jordan, C.W.; Letts, S.A.; Korbel, G.R.; Krenik, R.M.

    1980-01-01

    Spherical targets can be levitated on beams of Ar or other gas atoms. This is an especially useful technique for supporting microspheres during plasma coating and processing. Measurements of gas flow and pressure indicate that the levitation device operates in the regime of Knudsen's flow. This device is currently being used in the development of future generation laser targets

  12. Spectroscopic and magnetic properties of Mn doped GaN epitaxial films grown by plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Vidyasagar, R.; Lin, Y.-T.; Tu, L.-W.

    2012-01-01

    Graphical abstract: We report here that micro-Raman scattering spectrum for Mn doped GaN thin film has displayed a new peak manifested at 578 cm −1 , by which it is attributed to interior LVM originated by the incorporation of Mn ions in place of Ga sites. Mn doped GaN thin film also showed the typical negative magnetoresistance up to ∼50 K, revealing that the film showed magnetic ordering of spins below 50 K. Display Omitted Highlights: ► GaN and Mn doped GaN single phase wurtzite structures grown by PAMBE. ► The phase purity of the epilayers investigated by HRXRD, HRSEM and EDX. ► The red shift in near band edge emission has been observed using micro-PL. ► A new peak related LVM at 578 cm −1 in micro-Raman scattering measurements confirmed Mn doped into GaN. ► Negative-magnetoresistance investigations have showed that the film has T c −1 , which is attributed to the vacancy-related local vibrational mode of Mn occupying the Ga site. Temperature dependent negative magnetoresistance measurements provide a direct evidence of magnetic ordering below 50 K for the Mn doped GaN thin film.

  13. Plasma fluctuation measurements in tokamaks using beam-plasma interactions

    International Nuclear Information System (INIS)

    Fonck, R.J.; Duperrex, P.A.; Paul, S.F.

    1990-01-01

    High-frequency observations of light emitted from the interactions between plasma ions and injected neutral beam atoms allow the measurement of moderate-wavelength fluctuations in plasma and impurity ion densities. To detect turbulence in the local plasma ion density, the collisionally excited fluorescence from a neutral beam is measured either separately at several spatial points or with a multichannel imaging detector. Similarly, the role of impurity ion density fluctuations is measured using charge exchange recombination excited transitions emitted by the ion species of interest. This technique can access the relatively unexplored region of long-wavelength plasma turbulence with k perpendicular ρ i much-lt 1, and hence complements measurements from scattering experiments. Optimization of neutral beam geometry and optical sightlines can result in very good localization and resolution (Δx≤1 cm) in the hot plasma core region. The detectable fluctuation level is determined by photon statistics, atomic excitation processes, and beam stability, but can be as low as 0.2% in a 100 kHz bandwidth over the 0--1 MHz frequency range. The choices of beam species (e.g., H 0 , He 0 , etc.), observed transition (e.g., H α , L α , He I singlet or triplet transitions, C VI Δn=1, etc.) are dictated by experiment-specific factors such as optical access, flexibility of beam operation, plasma conditions, and detailed experimental goals. Initial tests on the PBX-M tokamak using the H α emissions from a heating neutral beam show low-frequency turbulence in the edge plasma region

  14. Comparison of blue-green response between transmission-mode GaAsP- and GaAs-based photocathodes grown by molecular beam epitaxy

    Science.gov (United States)

    Gang-Cheng, Jiao; Zheng-Tang, Liu; Hui, Guo; Yi-Jun, Zhang

    2016-04-01

    In order to develop the photodetector for effective blue-green response, the 18-mm-diameter vacuum image tube combined with the transmission-mode Al0.7Ga0.3As0.9 P 0.1/GaAs0.9 P 0.1 photocathode grown by molecular beam epitaxy is tentatively fabricated. A comparison of photoelectric property, spectral characteristic and performance parameter between the transmission-mode GaAsP-based and blue-extended GaAs-based photocathodes shows that the GaAsP-based photocathode possesses better absorption and higher quantum efficiency in the blue-green waveband, combined with a larger surface electron escape probability. Especially, the quantum efficiency at 532 nm for the GaAsP-based photocathode achieves as high as 59%, nearly twice that for the blue-extended GaAs-based one, which would be more conducive to the underwater range-gated imaging based on laser illumination. Moreover, the simulation results show that the favorable blue-green response can be achieved by optimizing the emission-layer thickness in a range of 0.4 μm-0.6 μm. Project supported by the National Natural Science Foundation of China (Grant No. 61301023) and the Science and Technology on Low-Light-Level Night Vision Laboratory Foundation, China (Grant No. BJ2014001).

  15. Use of molecular beams to support microspheres during plasma coating

    International Nuclear Information System (INIS)

    Crane, J.K.; Smith, R.D.; Johnson, W.L.; Letts, S.A.; Korbel, G.R.; Krenick, R.M.

    1980-01-01

    Spherical laser fusion targets can be levitated on beams of Ar or other gas atoms. This is an especially useful and reliable technique for supporting microspheres during plasma coating or plasma etching. The reliability of this technique is principally the result of two things: the success of a special centering device which provides a lateral, stabilizing force on the levitated microspheres; and a gas handling system which is capable of controlling levitation gas flow in the microtorr liter/sec range. We have determined that the operational regime of this device is that of Knudsen's flow. This knowledge of the flow characteristics has been important in developing this device

  16. Electron Beam Propagation in a Plasma

    Directory of Open Access Journals (Sweden)

    Kyoung W. Min

    1988-06-01

    Full Text Available Electron beam propagation in a fully ionized plasma has been studied using a one-dimensional particle simulation model. We compare the results of electrostatic simulations to those of electromagnetic simulations. The electrostatic results show the essential features of beam-plasma instability which accelerates ambient plasmas. The results also show the heating of ambient plasmas and the trapping of plasmas due to the locally generated electric field. The level of the radiation generated by the same non-relativistic beam is slightly higher than the noise level. We discuss the results in context of the heating of coronal plasma during solar flares.

  17. Quiescent plasma machine for beam-plasma interaction and wave studies

    International Nuclear Information System (INIS)

    Ferreira, J.L.

    1994-01-01

    A quiescent double plasma machine for beam-plasma interaction wave studies is described. A detailed description of several plasma diagnostics used for plasma and wave excitation detection is given. A beam-plasma wave dispersion relation is used to compare theoretical values with the experimentally measured Langmuir wave frequencies and wavelengths. (author). 14 refs, 10 figs

  18. Ion beam assisted film growth

    CERN Document Server

    Itoh, T

    2012-01-01

    This volume provides up to date information on the experimental, theoretical and technological aspects of film growth assisted by ion beams.Ion beam assisted film growth is one of the most effective techniques in aiding the growth of high-quality thin solid films in a controlled way. Moreover, ion beams play a dominant role in the reduction of the growth temperature of thin films of high melting point materials. In this way, ion beams make a considerable and complex contribution to film growth. The volume will be essential reading for scientists, engineers and students working in thi

  19. High-Temperature Growth of GaN and Al x Ga1- x N via Ammonia-Based Metalorganic Molecular-Beam Epitaxy

    Science.gov (United States)

    Billingsley, Daniel; Henderson, Walter; Doolittle, W. Alan

    2010-05-01

    The effect of high-temperature growth on the crystalline quality and surface morphology of GaN and Al x Ga1- x N grown by ammonia-based metalorganic molecular-beam epitaxy (NH3-MOMBE) has been investigated as a means of producing atomically smooth films suitable for device structures. The effects of V/III ratio on the growth rate and surface morphology are described herein. The crystalline quality of both GaN and AlGaN was found to mimic that of the GaN templates, with (002) x-ray diffraction (XRD) full-widths at half- maximum (FWHMs) of ~350 arcsec. Nitrogen-rich growth conditions have been found to provide optimal surface morphologies with a root-mean-square (RMS) roughness of ~0.8 nm, yet excessive N-rich environments have been found to reduce the growth rate and result in the formation of faceted surface pitting. AlGaN exhibits a decreased growth rate, as compared with GaN, due to increased N recombination as a result of the increased pyrolysis of NH3 in the presence of Al. AlGaN films grown directly on GaN templates exhibited Pendellösung x-ray fringes, indicating an abrupt interface and a planar AlGaN film. AlGaN films grown for this study resulted in an optimal RMS roughness of ~0.85 nm with visible atomic steps.

  20. Growth and characterization of metamorphic InAs/GaSb tunnel heterojunction on GaAs by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Jheng-Sin; Clavel, Michael B.; Hudait, Mantu K., E-mail: mantu.hudait@vt.edu [Advanced Devices and Sustainable Energy Laboratory (ADSEL), Bradley Department of Electrical and Computer Engineering, Virginia Tech, Blacksburg, Virginia 24061 (United States); Pandey, Rahul [Electrical Engineering, The Pennsylvania State University, University Park, Pennsylvania 16802 (United States); Datta, Suman [Electrical Engineering, University of Notre Dame, Notre Dame, Indiana 46556 (United States); Meeker, Michael; Khodaparast, Giti A. [Department of Physics, Virginia Tech, Blacksburg, Virginia 24061 (United States)

    2016-06-28

    The structural, morphological, optical, and electrical transport characteristics of a metamorphic, broken-gap InAs/GaSb p-i-n tunnel diode structure, grown by molecular beam epitaxy on GaAs, were demonstrated. Precise shutter sequences were implemented for the strain-balanced InAs/GaSb active layer growth on GaAs, as corroborated by high-resolution X-ray analysis. Cross-sectional transmission electron microscopy and detailed micrograph analysis demonstrated strain relaxation primarily via the formation of 90° Lomer misfit dislocations (MDs) exhibiting a 5.6 nm spacing and intermittent 60° MDs at the GaSb/GaAs heterointerface, which was further supported by a minimal lattice tilt of 180 arc sec observed during X-ray analysis. Selective area diffraction and Fast Fourier Transform patterns confirmed the full relaxation of the GaSb buffer layer and quasi-ideal, strain-balanced InAs/GaSb heteroepitaxy. Temperature-dependent photoluminescence measurements demonstrated the optical band gap of the GaSb layer. Strong optical signal at room temperature from this structure supports a high-quality material synthesis. Current–voltage characteristics of fabricated InAs/GaSb p-i-n tunnel diodes measured at 77 K and 290 K demonstrated two bias-dependent transport mechanisms. The Shockley–Read–Hall generation–recombination mechanism at low bias and band-to-band tunneling transport at high bias confirmed the p-i-n tunnel diode operation. This elucidated the importance of defect control in metamorphic InAs/GaSb tunnel diodes for the implementation of low-voltage and high-performance tunnel field effect transistor applications.