WorldWideScience

Sample records for plasma thin film

  1. Immobilization and controlled release of drug using plasma polymerized thin film

    Energy Technology Data Exchange (ETDEWEB)

    Myung, Sung-Woon [Department of Dental Materials, School of Dentistry, MRC Center, Chosun University, 309 Pilmun-daero, Dong-gu, Gwangju (Korea, Republic of); Jung, Sang-Chul [Department of Environmental Engineering, Sunchon National University, Sunchon 540-742 (Korea, Republic of); Kim, Byung-Hoon, E-mail: kim5055@chosun.ac.kr [Department of Dental Materials, School of Dentistry, MRC Center, Chosun University, 309 Pilmun-daero, Dong-gu, Gwangju (Korea, Republic of)

    2015-06-01

    In this study, plasma polymerization of acrylic acid was employed to immobilize drug and control its release. Doxorubicin (DOX) was immobilized covalently on the glass surface deposited with plasma polymerized acrylic acid (PPAAc) thin film containing the carboxylic group. At first, the PPAAc thin film was coated on a glass surface at a pressure of 1.33 Pa and radio frequency (RF) discharge power of 20 W for 10 min. DOX was immobilized on the PPAAc deposition in a two environment of phosphate buffer saline (PBS) and dimethyl sulfoxide (DMSO) solutions. The DOX immobilized surface was characterized by scanning electron microscope, atomic force microscope and attenuated total reflection Fourier transform infrared spectroscopy. The DOX molecules were more immobilized in PBS than DMSO solution. The different immobilization and release profiles of DOX result from the solubility of hydrophobic DOX in aqueous and organic solutions. Second, in order to control the release of the drug, PPAAc thin film was covered over DOX dispersed layer. Different thicknesses and cross-linked PPAAc thin films by adjusting deposition time and RF discharge power were covered on the DOX layer dispersed. PPAAc thin film coated DOX layer reduced the release rate of DOX. The thickness control of plasma deposition allows controlling the release rate of drug. - Highlights: • Doxorubicin was immobilized on the surface of plasma polymerized acrylic acid thin film. • Release profile of doxorubicin was affected by aqueous and organic solutions. • Plasma polymerized acrylic acid thin film can be used to achieve controlled release.

  2. Immobilization and controlled release of drug using plasma polymerized thin film

    International Nuclear Information System (INIS)

    Myung, Sung-Woon; Jung, Sang-Chul; Kim, Byung-Hoon

    2015-01-01

    In this study, plasma polymerization of acrylic acid was employed to immobilize drug and control its release. Doxorubicin (DOX) was immobilized covalently on the glass surface deposited with plasma polymerized acrylic acid (PPAAc) thin film containing the carboxylic group. At first, the PPAAc thin film was coated on a glass surface at a pressure of 1.33 Pa and radio frequency (RF) discharge power of 20 W for 10 min. DOX was immobilized on the PPAAc deposition in a two environment of phosphate buffer saline (PBS) and dimethyl sulfoxide (DMSO) solutions. The DOX immobilized surface was characterized by scanning electron microscope, atomic force microscope and attenuated total reflection Fourier transform infrared spectroscopy. The DOX molecules were more immobilized in PBS than DMSO solution. The different immobilization and release profiles of DOX result from the solubility of hydrophobic DOX in aqueous and organic solutions. Second, in order to control the release of the drug, PPAAc thin film was covered over DOX dispersed layer. Different thicknesses and cross-linked PPAAc thin films by adjusting deposition time and RF discharge power were covered on the DOX layer dispersed. PPAAc thin film coated DOX layer reduced the release rate of DOX. The thickness control of plasma deposition allows controlling the release rate of drug. - Highlights: • Doxorubicin was immobilized on the surface of plasma polymerized acrylic acid thin film. • Release profile of doxorubicin was affected by aqueous and organic solutions. • Plasma polymerized acrylic acid thin film can be used to achieve controlled release

  3. A new lithography of functional plasma polymerized thin films

    International Nuclear Information System (INIS)

    Kim, Sung-O

    2001-01-01

    The preparation of the resist for the vacuum lithography was carried out by plasma polymerization. The resist manufactured by plasma polymerization is a monomer produced by MMA (Methyl methacrylate). The functional groups of MMA appeared in the PPMMA (Plasma Polymerized Methyl methacrylate) as well, and this was confirmed through an analysis using FT-IR. The polymerization rate increased as a function of the plasma power and decreased as a function of the system pressure. The sensitivity and contrast of the plasma polymerized thin films were 15 μC/cm2 and 4.3 respectively. The size of the pattern manufactured by Vacuum Lithography using the plasma polymerized thin films was 100 nm

  4. Atmospheric Pressure Plasma Jet-Assisted Synthesis of Zeolite-Based Low-k Thin Films.

    Science.gov (United States)

    Huang, Kai-Yu; Chi, Heng-Yu; Kao, Peng-Kai; Huang, Fei-Hung; Jian, Qi-Ming; Cheng, I-Chun; Lee, Wen-Ya; Hsu, Cheng-Che; Kang, Dun-Yen

    2018-01-10

    Zeolites are ideal low-dielectric constant (low-k) materials. This paper reports on a novel plasma-assisted approach to the synthesis of low-k thin films comprising pure-silica zeolite MFI. The proposed method involves treating the aged solution using an atmospheric pressure plasma jet (APPJ). The high reactivity of the resulting nitrogen plasma helps to produce zeolite crystals with high crystallinity and uniform crystal size distribution. The APPJ treatment also remarkably reduces the time for hydrothermal reaction. The zeolite MFI suspensions synthesized with the APPJ treatment are used for the wet deposition to form thin films. The deposited zeolite thin films possessed dense morphology and high crystallinity, which overcome the trade-off between crystallinity and film quality. Zeolite thin films synthesized using the proposed APPJ treatment achieve low leakage current (on the order of 10 -8 A/cm 2 ) and high Young's modulus (12 GPa), outperforming the control sample synthesized without plasma treatment. The dielectric constant of our zeolite thin films was as low as 1.41. The overall performance of the low-k thin films synthesized with the APPJ treatment far exceed existing low-k films comprising pure-silica MFI.

  5. The optical properties of plasma polymerized polyaniline thin films

    Energy Technology Data Exchange (ETDEWEB)

    Goktas, Hilal, E-mail: hilal_goktas@yahoo.com [Canakkale Onsekiz Mart University, Physics Department, 17020 Canakkale (Turkey); Demircioglu, Zahide; Sel, Kivanc [Canakkale Onsekiz Mart University, Physics Department, 17020 Canakkale (Turkey); Gunes, Taylan [Yalova University, Energy Systems Engineering Department, 77100 Yalova (Turkey); Kaya, Ismet [Canakkale Onsekiz Mart University, Chemistry Department, 17020 Canakkale (Turkey)

    2013-12-02

    We report herein the characterizations of polyaniline thin films synthesized using double discharge plasma system. Quartz glass substrates were coated at a pressure of 80 Pa, 19.0 kV pulsed and 1.5 kV dc potential. The substrates were located at different regions in the reactor to evaluate the influence of the position on the morphological and molecular structure of the obtained thin films. The molecular structure of the thin films was investigated by Fourier transform infrared (FTIR) and UV–visible photospectrometers (UV–vis), and the morphological studies were carried out by scanning electron microscope. The FTIR and UV–vis data revealed that the molecular structures of the synthesized thin films were in the form of leuocoemeraldine and exhibited similar structures with the films produced via chemical or electrochemical methods. The optical energy band gap values of the as-grown samples ranged from 2.5 to 3.1 eV, which indicated that these materials have potential applications in semiconductor devices. The refractive index in the transparent region (from 650 to 1000 nm) steadily decreased from 1.9 to 1.4 and the extinction coefficient was found to be on order of 10{sup −4}. The synthesized thin films showed various degrees of granular morphologies depending on the location of the substrate in the reactor. - Highlights: • Polyaniline thin films were synthesized for the first time via double discharge plasma system. • The films have similar structure to that of the chemically synthesized films. • The morphology of the films could be tuned by this technique. • These materials would have potential applications at semiconductor devices.

  6. Quantum effects on propagation of bulk and surface waves in a thin quantum plasma film

    International Nuclear Information System (INIS)

    Moradi, Afshin

    2015-01-01

    The propagation of bulk and surface plasma waves in a thin quantum plasma film is investigated, taking into account the quantum effects. The generalized bulk and surface plasma dispersion relation due to quantum effects is derived, using the quantum hydrodynamic dielectric function and applying appropriate additional boundary conditions. The quantum mechanical and film geometric effects on the bulk and surface modes are discussed. It is found that quantum effects become important for a thin film of small thickness. - Highlights: • New bulk and surface plasma dispersion relations due to quantum effects are derived, in a thin quantum plasma film. • It is found that quantum effects become important for a thin quantum film of small thickness

  7. Preparation of Pb(Zr, Ti)O3 Thin Films by Plasma-Assisted Sputtering

    Science.gov (United States)

    Hioki, Tsuyoshi; Akiyama, Masahiko; Ueda, Tomomasa; Onozuka, Yutaka; Suzuki, Kouji

    1999-09-01

    A novel plasma-assisted RF magnetron sputtering system with an immersed coil antenna between a target and a substrate was applied for preparing Pb(Zr, Ti)O3 (PZT) thin films. The antenna enabled the generation of inductively coupled plasma (ICP) independently of the target RF source. The plasma assisted by the antenna resulted in the changes of ion fluxes and these energy distributions irradiating to the substrate. The crystalline phase of the deposited PZT thin films was occupied by the perovskite phase depending on the antenna power. In addition, a high deposition rate, modified uniformity of film thickness, and a dense film structure with large columnar grains were obtained as a result of effects of the assisted plasma. The application of the plasma-assisted sputtering method may enable the preparation of PZT thin films that haveexcellent properties.

  8. AC plasma induced modifications in Sb{sub 2}S{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Calixto-Rodriguez, M; Martinez, H [Instituto de Ciencias Fisicas, Universidad Nacional Autonoma de Mexico, Apartado Postal 48-3, 62210, Cuernavaca, Morelos (Mexico); Castillo, F [Instituto de Ciencias Nucleares, Universidad Nacional Autonoma de Mexico, Apartado Postal 70-543, 04510, Mexico D. F. (Mexico); Pena, Y [Universidad Autonoma de Nuevo Leon, Facultad de Ciencias Quimicas, Pedro de Alba s/n, Cd. Universitaria, San Nicolas de los Garza, N.L (Mexico); Sanchez-Juarez, A, E-mail: ciro@nucleares.unam.m [Centro de Investigacion en EnergIa, Universidad Nacional Autonoma de Mexico, Privada Xochicalco s/n Col. Centro, Temixco, Morelos, C.P. 62580 (Mexico)

    2010-01-01

    Sb{sub 2}S{sub 3} thin films, deposited by the chemical bath deposition method, were treated with N{sub 2} plasma at 3.0 Torr during several minutes. The as-prepared Sb{sub 2}S{sub 3} thin films and films treated with N{sub 2} plasma have been characterized using several techniques. X-ray diffraction studies have shown that plasma treatment induced recrystallization on the as-prepared Sb{sub 2}S{sub 3}thin films. The band gap values decreased from 2.37 to 1.82 eV after plasma treatment, and the electrical conductivity increased from 10{sup 9} to 10{sup 7} ({Omega}cm){sup -1} due to the annealing effect.

  9. Experimental study of the plasma fluorination of Y-Ba-Cu-O thin films

    CERN Document Server

    Li Qi; Ji Zheng Ming; Feng Yi Jun; Kang Lin; Yang Sen Zu; Wu Pei Heng; Wang Xiao Shu; Ye Yuda

    2002-01-01

    The authors have experimentally studied the surface modifications of Y-Ba-Cu-O (YBCO) thin films using CF sub 4 plasma. The intensity of the plasma fluorination was controlled by changing the biasing voltage and the time of the plasma treatment. Microstructural analyses reveal that the oxygen content of the YBCO thin films was changed. Transport measurements of sufficient fluorinated YBCO films imply that the films changed totally into an oxygen-deficient semi-conducting state. From these experimental results, the authors believe that plasma fluorination is quite a useful method to form controllable a thin barrier layer in fabricating interface engineered junctions and to form a stable narrow weak-link region in fabricating planar superconductor-normal-superconductor junctions

  10. Properties of nanostructured undoped ZrO{sub 2} thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Gu Young; Noh, Seungtak; Lee, Yoon Ho; Cha, Suk Won, E-mail: ybkim@hanyang.ac.kr, E-mail: swcha@snu.ac.kr [Department of Mechanical and Aerospace Engineering, Seoul National University, 1 Gwanak-ro, Gwanak-gu, Seoul 151-744 (Korea, Republic of); Ji, Sanghoon [Graduate School of Convergence Science and Technology, Seoul National University, Iui-dong, Yeongtong-gu, Suwon 443-270 (Korea, Republic of); Hong, Soon Wook; Koo, Bongjun; Kim, Young-Beom, E-mail: ybkim@hanyang.ac.kr, E-mail: swcha@snu.ac.kr [Department of Mechanical Engineering, Hanyang University, 222 Wangsimni-ro, Seongdong-gu, Seoul 133-791 (Korea, Republic of); An, Jihwan [Manufacturing Systems and Design Engineering Programme, Seoul National University of Science and Technology, 232 Gongneung-ro, Nowon-gu, Seoul 139-743 (Korea, Republic of)

    2016-01-15

    Nanostructured ZrO{sub 2} thin films were prepared by thermal atomic layer deposition (ALD) and by plasma-enhanced atomic layer deposition (PEALD). The effects of the deposition conditions of temperature, reactant, plasma power, and duration upon the physical and chemical properties of ZrO{sub 2} films were investigated. The ZrO{sub 2} films by PEALD were polycrystalline and had low contamination, rough surfaces, and relatively large grains. Increasing the plasma power and duration led to a clear polycrystalline structure with relatively large grains due to the additional energy imparted by the plasma. After characterization, the films were incorporated as electrolytes in thin film solid oxide fuel cells, and the performance was measured at 500 °C. Despite similar structure and cathode morphology of the cells studied, the thin film solid oxide fuel cell with the ZrO{sub 2} thin film electrolyte by the thermal ALD at 250 °C exhibited the highest power density (38 mW/cm{sup 2}) because of the lowest average grain size at cathode/electrolyte interface.

  11. Morphological, elemental, and optical characterization of plasma polymerized n-butyl methacrylate thin films

    Science.gov (United States)

    Nasrin, Rahima; Hossain, Khandker S.; Bhuiyan, A. H.

    2018-05-01

    Plasma polymerized n-butyl methacrylate (PPnBMA) thin films of varying thicknesses were prepared at room temperature by AC plasma polymerization system using a capacitively coupled parallel plate reactor. Field-emission scanning electron microscopy (FESEM), atomic force microscopy (AFM), energy-dispersive X-ray (EDX) analysis, and ultraviolet-visible (UV-Vis) spectroscopic investigation have been performed to study the morphological, elemental, and optical properties of the PPnBMA thin films, respectively. The flat and defect-free nature of thin films were confirmed by FESEM and AFM images. With declining plasma power, average roughness and root mean square roughness increase. Allowed direct transition ( E gd) and indirect transition ( E gi) energy gaps were found to be 3.64-3.80 and 3.38-3.45 eV, respectively, for PPnBMA thin films of different thicknesses. Values of E gd as well as E gi increase with the increase of thickness. The extinction coefficient, Urbach energy, and steepness parameter were also determined for these thin films.

  12. Modifications in SnS thin films by plasma treatments

    Energy Technology Data Exchange (ETDEWEB)

    Martinez, H., E-mail: hm@fis.unam.mx [Instituto de Ciencias Fisicas, Universidad Nacional Autonoma de Mexico, Apartado Postal 48-3, 62210 Cuernavaca, Morelos (Mexico); Avellaneda, D. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon (Mexico)

    2012-02-01

    The present study shows the modifications of structural, optical and electrical characteristics that occur in tin sulfide (SnS) thin films treated in air and in nitrogen plasma at different pressure conditions. The films were obtained by the chemical bath deposition method, which results in SnS thin films with an orthorhombic crystalline structure, band gap (E{sub g}) of 1.1-1.2 eV, and electrical conductivities ({sigma}) in the order of 10{sup -6} {Omega}{sup -1}cm{sup -1}. The films treated with air plasma at pressures between 1 and 4 Torr, showed the presence of SnS{sub 2}, Sn{sub 2}S{sub 3}, and SnO{sub 2} phases, within the band gap values ranging from 0.9 to 1.5 eV. On the other hand, the films treated with nitrogen plasma presented the same phases, but showed a significant modification in the electrical conductivity, increasing from 10{sup -6} {Omega}{sup -1}cm{sup -1} (as-deposited) up to 10{sup -2}-10{sup -3} {Omega}{sup -1}cm{sup -1} (plasma treated). This result is a suitable range of conductivity for the improvement of the solar cells with SnS as an absorber material. Also, emission spectroscopy measurements were carried out in both air and nitrogen plasma treatments.

  13. Deposition of thin films and surface modification by pulsed high energy density plasma

    International Nuclear Information System (INIS)

    Yan Pengxun; Yang Size

    2002-01-01

    The use of pulsed high energy density plasma is a new low temperature plasma technology for material surface treatment and thin film deposition. The authors present detailed theoretical and experimental studies of the production mechanism and physical properties of the pulsed plasma. The basic physics of the pulsed plasma-material interaction has been investigated. Diagnostic measurements show that the pulsed plasma has a high electron temperature of 10-100 eV, density of 10 14 -10 16 cm -3 , translation velocity of ∼10 -7 cm/s and power density of ∼10 4 W/cm 2 . Its use in material surface treatment combines the effects of laser surface treatment, electron beam treatment, shock wave bombardment, ion implantation, sputtering deposition and chemical vapor deposition. The metastable phase and other kinds of compounds can be produced on low temperature substrates. For thin film deposition, a high deposition ratio and strong film to substrate adhesion can be achieved. The thin film deposition and material surface modification by the pulsed plasma and related physical mechanism have been investigated. Thin film c-BN, Ti(CN), TiN, DLC and AlN materials have been produced successfully on various substrates at room temperature. A wide interface layer exists between film and substrate, resulting in strong adhesion. Metal surface properties can be improved greatly by using this kind of treatment

  14. The Electrical Properties of Plasma-Deposited Thin Films Derived from Pelargonium graveolens

    Directory of Open Access Journals (Sweden)

    Ahmed Al-Jumaili

    2017-10-01

    Full Text Available Inherently volatile at atmospheric pressure and room temperature, plant-derived precursors present an interesting human-health-friendly precursor for the chemical vapour deposition of thin films. The electrical properties of films derived from Pelargonium graveolens (geranium were investigated in metal–insulator–metal (MIM structures. Thin polymer-like films were deposited using plasma-enhanced synthesis under various plasma input power. The J–V characteristics of thus-fabricated MIM were then studied in order to determine the direct current (DC conduction mechanism of the plasma polymer layers. It was found that the capacitance of the plasma-deposited films decreases at low frequencies (C ≈ 10−11 and remains at a relatively constant value (C ≈ 10−10 at high frequencies. These films also have a low dielectric constant across a wide range of frequencies that decreases as the input RF power increases. The conductivity was determined to be around 10−16–10−17 Ω−1 m−1, which is typical for insulating materials. The Richardson–Schottky mechanism might dominate charge transport in the higher field region for geranium thin films.

  15. High-density plasma etching characteristics of indium-gallium-zinc oxide thin films in CF4/Ar plasma

    International Nuclear Information System (INIS)

    Joo, Young-Hee; Kim, Chang-Il

    2015-01-01

    We investigated the etching process of indium-gallium-zinc oxide (IGZO) thin films in an inductively coupled plasma system. The dry etching characteristics of the IGZO thin films were studied by varying the CF 4 /Ar gas mixing ratio, RF power, DC-bias voltage, and process pressure. We determined the following optimized process conditions: an RF power of 700 W, a DC-bias voltage of − 150 V, and a process pressure of 2 Pa. A maximum etch rate of 25.63 nm/min for the IGZO thin films was achieved in a plasma with CF 4 /Ar(= 25:75), and the selectivity of IGZO to Al and TiN was found to be 1.3 and 0.7, respectively. We determined the ionic composition of the CF 4 /Ar plasma using optical emission spectroscopy. Analysis of chemical reactions at the IGZO thin film surfaces was performed using X-ray photoelectron spectroscopy. - Highlights: • IGZO thin film was etched by CF 4 /Ar plasma as a function of gas mixing ratio. • IGZO bonds were broken Ar + sputtering and then reacted with the C-F x radicals. • The physical sputtering is dominant in etch control compared with chemical etching

  16. Orienting Block Copolymer Thin Films via Entropy and Surface Plasma Treatment

    Science.gov (United States)

    Ho, Rong-Ming; Lu, Kai-Yuan; Lo, Ting-Ya; Dehghan, Ashkan; Shi, An-Chang; Prokopios, Georgopanos; Avgeropoulos, Apostolos

    Controlling the orientation of nanostructured thin films of block copolymers (BCPs) is essential for next generation lithography. In the thin-film state, how to achieve the perpendicular orientation of the nanostructured microdomains remains challenging due to the interfacial effects from the air and also the substrate, especially for the blocks with silicon containing segments which usually have different surface energies, favoring parallel microdomain orientation. Here, we show that entropic effect can be used to control the orientation of BCP thin films. Specifically, we used the architecture of star-block copolymers consisting of polystyrene (PS) and poly(dimethylsiloxane) (PDMS) blocks to regulate the entropic contribution to the self-assembled nanostructures. Moreover, we aim to achieve the formation of perpendicular orientation from the air surface via surface plasma treatment to neutralize the interfacial energy difference. By combining the architecture effect (entropy effect) on BCP self-assembly and the surface plasma treatment (enthalpy effect), well-defined perpendicular PDMS microdomains in the PS-b-PDMS thin film can be formed from the bottom of non-neutral substrate and the top of the thin film surface, giving great potential for lithographic applications.

  17. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  18. Thin films by metal-organic precursor plasma spray

    International Nuclear Information System (INIS)

    Schulz, Douglas L.; Sailer, Robert A.; Payne, Scott; Leach, James; Molz, Ronald J.

    2009-01-01

    While most plasma spray routes to coatings utilize solids as the precursor feedstock, metal-organic precursor plasma spray (MOPPS) is an area that the authors have investigated recently as a novel route to thin film materials. Very thin films are possible via MOPPS and the technology offers the possibility of forming graded structures by metering the liquid feed. The current work employs metal-organic compounds that are liquids at standard temperature-pressure conditions. In addition, these complexes contain chemical functionality that allows straightforward thermolytic transformation to targeted phases of interest. Toward that end, aluminum 3,5-heptanedionate (Al(hd) 3 ), triethylsilane (HSi(C 2 H 5 ) 3 or HSiEt 3 ), and titanium tetrakisdiethylamide (Ti(N(C 2 H 5 ) 2 ) 4 or Ti(NEt 2 ) 4 ) were employed as precursors to aluminum oxide, silicon carbide, and titanium nitride, respectively. In all instances, the liquids contain metal-heteroatom bonds envisioned to provide atomic concentrations of the appropriate reagents at the film growth surface, thus promoting phase formation (e.g., Si-C bond in triethylsilane, Ti-N bond in titanium amide, etc.). Films were deposited using a Sulzer Metco TriplexPro-200 plasma spray system under various experimental conditions using design of experiment principles. Film compositions were analyzed by glazing incidence x-ray diffraction and elemental determination by x-ray spectroscopy. MOPPS films from HSiEt 3 showed the formation of SiC phase but Al(hd) 3 -derived films were amorphous. The Ti(NEt 2 ) 4 precursor gave MOPPS films that appear to consist of nanosized splats of TiOCN with spheres of TiO 2 anatase. While all films in this study suffered from poor adhesion, it is anticipated that the use of heated substrates will aid in the formation of dense, adherent films.

  19. Demonstration of high-performance p-type tin oxide thin-film transistors using argon-plasma surface treatments

    Science.gov (United States)

    Bae, Sang-Dae; Kwon, Soo-Hun; Jeong, Hwan-Seok; Kwon, Hyuck-In

    2017-07-01

    In this work, we investigated the effects of low-temperature argon (Ar)-plasma surface treatments on the physical and chemical structures of p-type tin oxide thin-films and the electrical performance of p-type tin oxide thin-film transistors (TFTs). From the x-ray photoelectron spectroscopy measurement, we found that SnO was the dominant phase in the deposited tin oxide thin-film, and the Ar-plasma treatment partially transformed the tin oxide phase from SnO to SnO2 by oxidation. The resistivity of the tin oxide thin-film increased with the plasma-treatment time because of the reduced hole concentration. In addition, the root-mean-square roughness of the tin oxide thin-film decreased as the plasma-treatment time increased. The p-type oxide TFT with an Ar-plasma-treated tin oxide thin-film exhibited excellent electrical performance with a high current on-off ratio (5.2 × 106) and a low off-current (1.2 × 10-12 A), which demonstrates that the low-temperature Ar-plasma treatment is a simple and effective method for improving the electrical performance of p-type tin oxide TFTs.

  20. Investigation of plasma dynamics during the growth of amorphous titanium dioxide thin films

    Science.gov (United States)

    Kim, Jin-Soo; Jee, Hyeok; Yu, Young-Hun; Seo, Hye-Won

    2018-06-01

    We have grown amorphous titanium dioxide thin films by reactive DC sputtering method using a different argon/oxygen partial pressure at a room temperature. The plasma dynamics of the process, reactive and sputtered gas particles was investigated via optical emission spectroscopy. We then studied the correlations between the plasma states and the structural/optical properties of the films. The growth rate and morphology of the titanium dioxide thin films turned out to be contingent with the population and the energy profile of Ar, O, and TiO plasma. In particular, the films grown under energetic TiO plasma have shown a direct band-to-band transition with an optical energy band gap up to ∼4.2 eV.

  1. Towards Enhanced Performance Thin-film Composite Membranes via Surface Plasma Modification

    Science.gov (United States)

    Reis, Rackel; Dumée, Ludovic F.; Tardy, Blaise L.; Dagastine, Raymond; Orbell, John D.; Schutz, Jürg A.; Duke, Mikel C.

    2016-01-01

    Advancing the design of thin-film composite membrane surfaces is one of the most promising pathways to deal with treating varying water qualities and increase their long-term stability and permeability. Although plasma technologies have been explored for surface modification of bulk micro and ultrafiltration membrane materials, the modification of thin film composite membranes is yet to be systematically investigated. Here, the performance of commercial thin-film composite desalination membranes has been significantly enhanced by rapid and facile, low pressure, argon plasma activation. Pressure driven water desalination tests showed that at low power density, flux was improved by 22% without compromising salt rejection. Various plasma durations and excitation powers have been systematically evaluated to assess the impact of plasma glow reactions on the physico-chemical properties of these materials associated with permeability. With increasing power density, plasma treatment enhanced the hydrophilicity of the surfaces, where water contact angles decreasing by 70% were strongly correlated with increased negative charge and smooth uniform surface morphology. These results highlight a versatile chemical modification technique for post-treatment of commercial membrane products that provides uniform morphology and chemically altered surface properties. PMID:27363670

  2. High-density plasma etching characteristics of indium-gallium-zinc oxide thin films in CF{sub 4}/Ar plasma

    Energy Technology Data Exchange (ETDEWEB)

    Joo, Young-Hee; Kim, Chang-Il

    2015-05-29

    We investigated the etching process of indium-gallium-zinc oxide (IGZO) thin films in an inductively coupled plasma system. The dry etching characteristics of the IGZO thin films were studied by varying the CF{sub 4}/Ar gas mixing ratio, RF power, DC-bias voltage, and process pressure. We determined the following optimized process conditions: an RF power of 700 W, a DC-bias voltage of − 150 V, and a process pressure of 2 Pa. A maximum etch rate of 25.63 nm/min for the IGZO thin films was achieved in a plasma with CF{sub 4}/Ar(= 25:75), and the selectivity of IGZO to Al and TiN was found to be 1.3 and 0.7, respectively. We determined the ionic composition of the CF{sub 4}/Ar plasma using optical emission spectroscopy. Analysis of chemical reactions at the IGZO thin film surfaces was performed using X-ray photoelectron spectroscopy. - Highlights: • IGZO thin film was etched by CF{sub 4}/Ar plasma as a function of gas mixing ratio. • IGZO bonds were broken Ar{sup +} sputtering and then reacted with the C-F{sub x} radicals. • The physical sputtering is dominant in etch control compared with chemical etching.

  3. Plasma-treated Langmuir-Blodgett reduced graphene oxide thin film for applications in biophotovoltaics

    Science.gov (United States)

    Ibrahim, Siti Aisyah; Jaafar, Muhammad Musoddiq; Ng, Fong-Lee; Phang, Siew-Moi; Kumar, G. Ghana; Majid, Wan Haliza Abd; Periasamy, Vengadesh

    2018-01-01

    The surface optimization and structural characteristics of Langmuir-Blodgett (LB) reduced graphene oxide thin (rGO) film treated by argon plasma treatment were studied. In this work, six times deposition of rGO was deposited on a clean glass substrate using the LB method. Plasma technique involving a variation of plasma power, i.e., 20, 60, 100 and 140 W was exposed to the LB-rGO thin films under argon ambience. The plasma treatment generally improves the wettability or hydrophilicity of the film surface compared to without treatment. Maximum wettability was observed at a plasma power of 20 W, while also increasing the adhesion of the rGO film with the glass substrate. The multilayer films fabricated were characterized by means of spectroscopic, structural and electrical studies. The treatment of rGO with argon plasma was found to have improved its biocompatibility, and thus its performance as an electrode for biophotovoltaic devices has been shown to be enhanced considerably.

  4. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  5. Recent progress in thin film processing by magnetron sputtering with plasma diagnostics

    International Nuclear Information System (INIS)

    Han, Jeon G

    2009-01-01

    The precise control of the structure and related properties becomes crucial for sophisticated applications of thin films deposited by magnetron sputtering in emerging industries including the flat panel display, digital electronics and nano- and bio-industries. The film structure is closely related to the total energy delivered to the substrate surface for nucleation and growth during all kinds of thin film processes, including magnetron sputtering. Therefore, the energy delivered to the surface for nucleation and growth during magnetron sputtering should be measured and analysed by integrated diagnostics of the plasma parameters which are closely associated with the process parameters and other external process conditions. This paper reviews the background of thin film nucleation and growth, the status of magnetron sputtering technology and the progress of plasma diagnostics for plasma processing. The evolution of the microstructure during magnetron sputtering is then discussed with respect to the change in the process variables in terms of the plasma parameters along with empirical data of the integrated plasma diagnostics for various magnetron sputtering conditions with conventional dc, pulsed dc and high power pulsed dc sputtering modes. Among the major energy terms to be discussed are the temperature change in the top surface region and the energies of ions and neutral species. (topical review)

  6. Effect of O2 plasma immersion on electrical properties and transistor performance of indium gallium zinc oxide thin films

    International Nuclear Information System (INIS)

    Liu, P.; Chen, T.P.; Liu, Z.; Tan, C.S.; Leong, K.C.

    2013-01-01

    Evolution of electrical properties and thin-film transistor characteristics of amorphous indium gallium zinc oxide (IGZO) thin films synthesized by RF sputtering with O 2 plasma immersion has been examined. O 2 plasma immersion results in an enhancement in the Hall mobility and a decrease in the electron concentration; and the transistor performance can be greatly improved by the O 2 plasma immersion. X-ray photoelectron spectroscopy analysis indicates that the effect of O 2 plasma immersion on the electrical properties and the transistor performance can be attributed to the reduction of the oxygen-related defects in the IGZO thin films. - Highlights: • Oxygen plasma immersion effect on indium gallium zinc oxide thin film properties • Oxygen-related defect reduces in the InGaZnO thin film with oxygen plasma immersion. • Increasing oxygen plasma immersion duration on device will decrease the off current. • Oxygen plasma immersion enhances the performance of device

  7. Plasma properties during magnetron sputtering of lithium phosphorous oxynitride thin films

    DEFF Research Database (Denmark)

    Christiansen, Ane Sælland; Stamate, Eugen; Thydén, Karl Tor Sune

    2015-01-01

    The nitrogen dissociation and plasma parameters during radio frequency sputtering of lithium phosphorus oxynitride thin films in nitrogen gas are investigated by mass appearance spectrometry, electrostatic probes and optical emission spectroscopy, and the results are correlated with electrochemical...... properties and microstructure of the films. Low pressure and moderate power are associated with lower plasma density, higher electron temperature, higher plasma potential and larger diffusion length for sputtered particles. This combination of parameters favors the presence of more atomic nitrogen, a fact...

  8. Correlation of morphology and barrier properties of thin microwave plasma polymer films on metal substrate

    International Nuclear Information System (INIS)

    Barranco, V.; Carpentier, J.; Grundmeier, G.

    2004-01-01

    The barrier properties of thin model organosilicon plasma polymers layers on iron are characterised by means of electrochemical impedance spectroscopy (EIS). Tailored thin plasma polymers of controlled morphology and chemical composition were deposited from a microwave discharge. By the analysis of the obtained impedance diagrams, the evolution of the water uptake φ, coating resistance and polymer capacitance with immersion time were monitored and the diffusion coefficients of the water through the films were calculated. The impedance data correlated well with the chemical structure and morphology of the plasma polymer films with a thickness of less than 100 nm. The composition of the films were determined by means of infrared reflection absorption spectroscopy (IRRAS), X-ray photoelectron spectroscopy (XPS) and time-of-flight secondary ion mass spectrometry (ToF-SIMS). The morphology of the plasma polymer surface and the interface between the plasma polymer and the metal were characterised using atomic force microscopy (AFM). It could be shown that, at higher pressure, the film roughness increases which is probably due to the adsorption of plasma polymer nanoparticles formed in the plasma bulk and the faster film growth. This leads to voids with a size of a few tens of nanometers at the polymer/metal interface. The film roughness increases from the interface to the outer surface of the film. By lowering the pressure and thereby slowing the deposition rate, the plasma polymers perfectly imitate the substrate topography and lead to an excellent blocking of the metal surface. Moreover, the ratio of siloxane bonds to methyl-silyl groups increases which implies that the crosslink density is higher at lower deposition rate. The EIS data consistently showed higher coating resistance as well as lower interfacial capacitance values and a better stability over time for the film deposited at slower pressure. The diffusion coefficient of water in thin and ultra-thin plasma

  9. Crystalline silicon thin film growth by ECR plasma CVD for solar cells

    International Nuclear Information System (INIS)

    Licai Wang

    1999-07-01

    This thesis describes the background, motivation and work carried out towards this PhD programme entitled 'Crystalline Silicon Thin Film Growth by ECR Plasma CVD for Solar Cells'. The fundamental principles of silicon solar cells are introduced with a review of silicon thin film and bulk solar cells. The development and prospects for thin film silicon solar cells are described. Some results of a modelling study on thin film single crystalline solar cells are given which has been carried out using a commercially available solar cell simulation package (PC-1D). This is followed by a description of thin film deposition techniques. These include Chemical Vapour Deposition (CVD) and Plasma-Assisted CVD (PACVD). The basic theory and technology of the emerging technique of Electron Cyclotron Resonance (ECR) PACVD, which was used in this research, are introduced and the potential advantages summarised. Some of the basic methods of material and cell characterisation are briefly described, together with the work carried out in this research. The growth by ECR PACVD at temperatures 2 illumination. The best efficiency in the ECR grown structures was 13.76% using an epitaxial emitter. Cell performance was analysed in detail and the factors controlling performance identified by fitting self-consistently the fight and dark current-voltage and spectral response data using PC-1D. Finally, the conclusions for this research and suggestions for further work are outlined. (author)

  10. A comparative study: Effect of plasma on V{sub 2}O{sub 5} nanostructured thin films

    Energy Technology Data Exchange (ETDEWEB)

    Singh, Megha; Kumar, Prabhat; Sharma, Rabindar K., E-mail: rkrksharma6@gmail.com; Reddy, G. B. [Thin film laboratory, Department of Physics, Indian Institute of Technology Delhi, Delhi (India)

    2016-05-06

    Vanadium pentoxide nanostructured thin films (NSTs) have been studied to analyze the effect of plasma on nanostructures grown and morphology of films deposited using sublimation process. Nanostructured thin films were deposited on glass substrates, one in presence of oxygen plasma and other in oxygen environment (absence of plasma). Films were characterized using XRD, Raman spectroscopy, SEM and HRTEM. XRD studies revealed α-V{sub 2}O{sub 5} films (orthorhombic phase) with good crystallinity. However, film deposited in presence of plasma have higher peak intensities as compared to those deposited in absence of plasma. Raman studies also support these finding following same trends of considerable increase in intensity in case of film deposited in presence of plasma. SEM micrographs makes the difference more visible, as film deposited in plasma have well defined plate like structures whereas other film have not-clearly-defined petal-like structures. HRTEM results show orthorhombic phase with 0.39 nm interplanar spacing, as reported by XRD. Results are hereby in good agreement with each other.

  11. Role of plasma activation in tailoring the nanostructure of multifunctional oxides thin films

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, Maria M.; Losurdo, Maria; Capezzuto, Pio [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, and Department of Chemistry, University of Bari, via Orabona, 4-70125 Bari (Italy); Bruno, Giovanni [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, and Department of Chemistry, University of Bari, via Orabona, 4-70125 Bari (Italy)], E-mail: giovanni.bruno@ba.imip.cnr.it

    2009-03-01

    Potential of O{sub 2} remote plasmas for improving structural, morphological and optical properties of various multifunctional oxides thin films both during plasma assisted growth as well as by post-growth treatments is discussed. In particular, an O{sub 2} remote plasma metalorganic chemical vapor deposition (RP-MOCVD) route is presented for tailoring the structural, morphological and optical properties of Er{sub 2}O{sub 3} and ZnO films. Furthermore, post-growth room-temperature remote O{sub 2} plasma treatments of indium-tin-oxides (ITO) films are demonstrated to be effective in improving morphology of ITO films.

  12. Effects of SF6 plasma treatment on the properties of InGaZnO thin films

    Science.gov (United States)

    Choi, Jinsung; Bae, Byung Seong; Yun, Eui-Jung

    2018-03-01

    The effects of sulfur hexafluoride (SF6) plasma on the properties of amorphous InGaZnO (a-IGZO) thin films were examined. The properties of the a-IGZO thin films were characterized by Hall effect measurement, dynamic secondary ion mass spectroscopy (SIMS), and X-ray photoelectron spectroscopy (XPS). The IGZO thin films treated with SF6 plasma before annealing had a very high resistance mainly owing to the inclusion of S into the film surface, as evidenced by SIMS profiles. On the other hand, the samples treated with SF6 plasma after annealing showed better electrical properties with a Hall mobility of 10 cm2/(V·s) than the untreated samples or the samples SF6 plasma-treated before annealing. This was attributed to the increase in the number of oxygen vacancy defects in the a-IGZO thin films owing to the enhanced out-diffusion of O to the ambient and the increase in the number of F-related donor defects originating from the incorporation of a much larger amount of F than of S into the film surface, which were confirmed by XPS and SIMS.

  13. The role of Ar plasma treatment in generating oxygen vacancies in indium tin oxide thin films prepared by the sol-gel process

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Deuk-Kyu [Department of Materials Science and Engineering, Yonsei University, 50 Yonsei-ro, Seoul, 03722 (Korea, Republic of); Misra, Mirnmoy; Lee, Ye-Eun [Department of BioNano Technology, Gachon University, 1342 Seong-nam dae-ro, Seong-nam si, Gyeonggi-do, 13120 (Korea, Republic of); Baek, Sung-Doo [Department of Materials Science and Engineering, Yonsei University, 50 Yonsei-ro, Seoul, 03722 (Korea, Republic of); Myoung, Jae-Min, E-mail: jmmyoung@yonsei.ac.kr [Department of Materials Science and Engineering, Yonsei University, 50 Yonsei-ro, Seoul, 03722 (Korea, Republic of); Lee, Tae Il, E-mail: t2.lee77@gachon.ac.kr [Department of BioNano Technology, Gachon University, 1342 Seong-nam dae-ro, Seong-nam si, Gyeonggi-do, 13120 (Korea, Republic of)

    2017-05-31

    Highlights: • Indium tin oxide thin film with about 41 nm thickness was obtained by the sol-gel process. • Thin film exhibited low resistivity. • Sheet resistance of thin film decreases with Ar plasma treatment time. • Ar plasma treatment on thin film does not alter the crystal structure and optical properties of the ITO thin-film. • There is no significant change in oxygen vacancies after 20 min of plasma treatment. - Abstract: Argon (Ar) plasma treatment was carried out to reduce the sheet resistance of indium tin oxide (ITO) thin films. The Ar plasma treatment did not cause any significant changes to the crystal structure, surface morphology, or optical properties of the ITO thin films. However, an X-ray photoelectron spectroscopy study confirmed that the concentration of oxygen vacancies in the film dramatically increased with the plasma treatment time. Thus, we concluded that the decrease in the sheet resistance was caused by the increase in the oxygen vacancy concentration in the film. Furthermore, to verify how the concentration of oxygen vacancies in the film increased with the Ar plasma treatment time, cumulative and continuous plasma treatments were conducted. The oxygen vacancies were found to be created by surface heating via the outward thermal diffusion of oxygen atoms from inside the film.

  14. Thin film plasma coatings from dielectric free-flowing materials

    International Nuclear Information System (INIS)

    Timofeeva, L.A.; Katrich, S.A.; Solntsev, L.A.

    1994-01-01

    Fabrication of thin film plasma coatings from insulating free-flowing materials is considered. Molybdenum-tart ammonium coating of 3...5 μ thickness deposited on glassy carbon, aluminium, silicon, nickel, cast iron and steel substrates in 'Bulat-ZT' machine using insulating free-flowing materials cathod was found to form due to adsorption, absorption and dissuasion processes. The use of insulating free-flowing materials coatings allow to exclude pure metals cathods in plasma-plating process

  15. Improved electrochemical performances of oxygen plasma treated LiMn2O4 thin films

    International Nuclear Information System (INIS)

    Chen, C C; Chiu, K-F; Lin, K M; Lin, H C; Yang, C-R; Wang, F M

    2007-01-01

    LiMn 2 O 4 spinel thin films were deposited by radio frequency (rf) magnetron sputtering followed by annealing at 600 0 C in air.The films were then post-treated with an rf driven oxygen plasma. The crystallization and surface morphology of LiMn 2 O 4 thin films were seen to change with rf power. The treated samples were tested under harsh conditions such as deep discharge to 1.5 V and cycling at elevated temperature of 60 0 C to verify the electrochemical performances of LiMn 2 O 4 cathodes. The oxygen plasma treatments improved the electrochemical properties of LiMn 2 O 4 thin films significantly. As the cells were cycled in the range of 4.5-2.0 V at 60 0 C, the samples treated at a proper rf power of 50 W exhibited an initial capacity greater than ∼400 mAh g -1 with reasonable cycling stability. The results were attributed to the change of morphology and the formation of a surface layer induced by the oxygen plasma irradiation

  16. Surface characterization of hydrophobic thin films deposited by inductively coupled and pulsed plasmas

    International Nuclear Information System (INIS)

    Kim, Youngsoo; Lee, Ji-Hye; Kim, Kang-Jin; Lee, Yeonhee

    2009-01-01

    Different fluorocarbon thin films were deposited on Si substrates using a plasma-polymerization method. Fluorine-containing hydrophobic thin films were obtained by inductively coupled plasma (ICP) and pulsed plasma (PP) with a mixture of fluorocarbon precursors C 2 F 6 , C 3 F 8 , and c-C 4 F 8 and the unsaturated hydrocarbons of C 2 H 2 . The influence on the fluorocarbon surfaces of the process parameters for plasma polymerization, including the gas ratio and the plasma power, were investigated under two plasma-polymerized techniques with different fluorocarbon gas precursors. The hydrophobic properties, surface morphologies, and chemical compositions were elucidated using water contact angle measurements, field emission-scanning electron microscope, x-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy (FT-IR), and time-of-flight secondary ion mass spectrometry (TOF-SIMS). In this study, the ICP technique provides coarser grained films and more hydrophobic surfaces as well as a higher deposition rate compared to the PP technique. XPS, FT-IR, and TOF-SIMS analyses indicated that the ICP technique produced more fluorine-related functional groups, including CF 2 and CF 3 , on the surface. From the curve-fitted XPS results, fluorocarbon films grown under ICP technique exhibited less degree of cross-linking and higher CF 2 concentrations than those grown under PP technique.

  17. Thin Film & Deposition Systems (Windows)

    Data.gov (United States)

    Federal Laboratory Consortium — Coating Lab: Contains chambers for growing thin film window coatings. Plasma Applications Coating Lab: Contains chambers for growing thin film window coatings. Solar...

  18. Polymorphous silicon thin films produced in dusty plasmas: application to solar cells

    International Nuclear Information System (INIS)

    Roca i Cabarrocas, Pere; Chaabane, N; Kharchenko, A V; Tchakarov, S

    2004-01-01

    We summarize our current understanding of the optimization of PIN solar cells produced by plasma enhanced chemical vapour deposition from silane-hydrogen mixtures. To increase the deposition rate, the discharge is operated under plasma conditions close to powder formation, where silicon nanocrystals contribute to the deposition of so-called polymorphous silicon thin films. We show that the increase in deposition rate can be achieved via an accurate control of the plasma parameters. However, this also results in a highly defective interface in the solar cells due to the bombardment of the P-layer by positively charged nanocrystals during the deposition of the I-layer. We show that decreasing the ion energy by increasing the total pressure or by using silane-helium mixtures allows us to increase both the deposition rate and the solar cells efficiency, as required for cost effective thin film photovoltaics

  19. Handbook of thin film technology

    CERN Document Server

    Frey, Hartmut

    2015-01-01

    “Handbook of Thin Film Technology” covers all aspects of coatings preparation, characterization and applications. Different deposition techniques based on vacuum and plasma processes are presented. Methods of surface and thin film analysis including coating thickness, structural, optical, electrical, mechanical and magnetic properties of films are detailed described. The several applications of thin coatings and a special chapter focusing on nanoparticle-based films can be found in this handbook. A complete reference for students and professionals interested in the science and technology of thin films.

  20. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  1. Modification of low temperature deposited LiMn2O4 thin film cathodes by oxygen plasma irradiation

    International Nuclear Information System (INIS)

    Chen, Chen Chung; Chiu, Kuo-Feng; Lin, Kun Ming; Lin, Hsin Chih

    2009-01-01

    Lithium manganese oxides have been deposited by radio frequency magnetron sputter deposition with relatively lower annealing temperatures and then post-treated with a radio frequency (rf) driven oxygen plasma. Following oxygen plasma irradiation, the film properties were modified, and the performance of the thin film cathode has been enhanced. The electrochemical properties of the treated thin-film cathodes were characterized and compared. The results showed that the samples with moderate plasma treatment also maintained good cyclic properties as cycled at a wide range potential window of 2.0 V-4.5 V. Its electrochemical properties were significantly improved by this process, even though the films were prepared under low annealing temperature.

  2. Preparation of anatase TiO2 thin films by vacuum arc plasma evaporation

    International Nuclear Information System (INIS)

    Miyata, Toshihiro; Tsukada, Satoshi; Minami, Tadatsugu

    2006-01-01

    Anatase titanium dioxide (TiO 2 ) thin films with high photocatalytic activity have been prepared with deposition rates as high as 16 nm/min by a newly developed vacuum arc plasma evaporation (VAPE) method using sintered TiO 2 pellets as the source material. Highly transparent TiO 2 thin films prepared at substrate temperatures from room temperature to 400 deg. C exhibited photocatalytic activity, regardless whether oxygen (O 2 ) gas was introduced during the VAPE deposition. The highest photocatalytic activity and photo-induced hydrophilicity were obtained in anatase TiO 2 thin films prepared at 300 deg. C, which correlated to the best crystallinity of the films, as evidenced from X-ray diffraction. In addition, a transparent and conductive anatase TiO 2 thin film with a resistivity of 2.6 x 10 -1 Ω cm was prepared at a substrate temperature of 400 deg. C without the introduction of O 2 gas

  3. Production of selective membranes using plasma deposited nanochanneled thin films

    Directory of Open Access Journals (Sweden)

    Rodrigo Amorim Motta Carvalho

    2006-12-01

    Full Text Available The hydrolization of thin films obtained by tetraethoxysilane plasma polymerization results in the formation of a nanochanneled silicone like structure that could be useful for the production of selective membranes. Therefore, the aim of this work is to test the permeation properties of hydrolyzed thin films. The films were tested for: 1 permeation of polar organic compounds and/or water in gaseous phase and 2 permeation of salt in liquid phase. The efficiency of permeation was tested using a quartz crystal microbalance (QCM technique in gas phase and conductimetric analysis (CA in liquid phase. The substrates used were: silicon for characterization of the deposited films, piezoelectric quartz crystals for tests of selective membranes and cellophane paper for tests of permeation. QCM analysis showed that the nanochannels allow the adsorption and/or permeation of polar organic compounds, such as acetone and 2-propanol, and water. CA showed that the films allow salt permeation after an inhibition time needed for hydrolysis of the organic radicals within the film. Due to their characteristics, the films can be used for grains protection against microorganism proliferation during storage without preventing germination.

  4. Ion irradiation as a tool for modifying the surface and optical properties of plasma polymerised thin films

    Energy Technology Data Exchange (ETDEWEB)

    Grant, Daniel S. [College of Science, Technology and Engineering, James Cook University, Townsville, Queensland 4811 (Australia); Bazaka, Kateryna [College of Science, Technology and Engineering, James Cook University, Townsville, Queensland 4811 (Australia); School of Chemistry, Physics, and Mechanical Engineering, Queensland University of Technology, Brisbane, Queensland 4000 (Australia); Siegele, Rainer [Institute for Environmental Research, Australian Nuclear Science and Technology Organisation, Lucas Heights, New South Wales 2234 (Australia); Holt, Stephen A. [Bragg Institute, Australian Nuclear Science and Technology Organisation, Lucas Heights, New South Wales 2234 (Australia); Jacob, Mohan V., E-mail: Mohan.Jacob@jcu.edu.au [College of Science, Technology and Engineering, James Cook University, Townsville, Queensland 4811 (Australia)

    2015-10-01

    Radio frequency (R.F.) glow discharge polyterpenol thin films were prepared on silicon wafers and irradiated with I{sup 10+} ions to fluences of 1 × 10{sup 10} and 1 × 10{sup 12} ions/cm{sup 2}. Post-irradiation characterisation of these films indicated the development of well-defined nano-scale ion entry tracks, highlighting prospective applications for ion irradiated polyterpenol thin films in a variety of membrane and nanotube-fabrication functions. Optical characterisation showed the films to be optically transparent within the visible spectrum and revealed an ability to selectively control the thin film refractive index as a function of fluence. This indicates that ion irradiation processing may be employed to produce plasma-polymer waveguides to accommodate a variety of wavelengths. XRR probing of the substrate-thin film interface revealed interfacial roughness values comparable to those obtained for the uncoated substrate’s surface (i.e., both on the order of 5 Å), indicating minimal substrate etching during the plasma deposition process.

  5. Plasma interactions determine the composition in pulsed laser deposited thin films

    Science.gov (United States)

    Chen, Jikun; Döbeli, Max; Stender, Dieter; Conder, Kazimierz; Wokaun, Alexander; Schneider, Christof W.; Lippert, Thomas

    2014-09-01

    Plasma chemistry and scattering strongly affect the congruent, elemental transfer during pulsed laser deposition of target metal species in an oxygen atmosphere. Studying the plasma properties of La0.6Sr0.4MnO3, we demonstrate for as grown La0.6Sr0.4MnO3-δ films that a congruent transfer of metallic species is achieved in two pressure windows: ˜10-3 mbar and ˜2 × 10-1 mbar. In the intermediate pressure range, La0.6Sr0.4MnO3-δ becomes cation deficient and simultaneously almost fully stoichiometric in oxygen. Important for thin film growth is the presence of negative atomic oxygen and under which conditions positive metal-oxygen ions are created in the plasma. This insight into the plasma chemistry shows why the pressure window to obtain films with a desired composition and crystalline structure is narrow and requires a careful adjustment of the process parameters.

  6. Influence of the radio-frequency power on the physical and optical properties of plasma polymerized cyclohexane thin films

    Energy Technology Data Exchange (ETDEWEB)

    Manaa, C., E-mail: chadlia.el.manaa@gmail.com [Laboratoire de Physique de la Matière Condensée, Université de Picardie Jules Verne, UFR des Sciences d' Amiens, 33 rue Saint Leu, 80039 Amiens CEDEX 2 (France); Laboratoire des Matériaux Avancés et Phénomènes Quantiques, Université de Tunis El-Manar, Faculté des Sciences de Tunis, Campus universitaire El-Manar, 1068 Tunis (Tunisia); Lejeune, M. [Laboratoire de Physique de la Matière Condensée, Université de Picardie Jules Verne, UFR des Sciences d' Amiens, 33 rue Saint Leu, 80039 Amiens CEDEX 2 (France); Kouki, F. [Laboratoire des Matériaux Avancés et Phénomènes Quantiques, Université de Tunis El-Manar, Faculté des Sciences de Tunis, Campus universitaire El-Manar, 1068 Tunis (Tunisia); Durand-Drouhin, O. [Laboratoire de Physique de la Matière Condensée, Université de Picardie Jules Verne, UFR des Sciences d' Amiens, 33 rue Saint Leu, 80039 Amiens CEDEX 2 (France); Bouchriha, H. [Laboratoire des Matériaux Avancés et Phénomènes Quantiques, Université de Tunis El-Manar, Faculté des Sciences de Tunis, Campus universitaire El-Manar, 1068 Tunis (Tunisia); and others

    2014-06-02

    We investigate in the present study the effects of the radio-frequency plasma power on the opto-electronical properties of the polymeric amorphous hydrogenated carbon thin films deposited at room temperature and different radio-frequency powers by plasma-enhanced chemical vapor deposition method using cyclohexane as precursor. A combination of U.V.–Visible and infrared transmission measurements is applied to characterize the bonding and electronic properties of these films. Some film properties namely surface roughness, contact angle, surface energy, and optical properties are found to be significantly influenced by the radio-frequency power. The changes in these properties are analyzed within the microstructural modifications occurring during growth. - Highlights: • Effects of the radio-frequency power on the optoelectronic properties of thin films • Elaboration of plasma polymerized thin films using cyclohexane as precursor gas • The use of U.V.–Visible-infrared transmission, and optical gap • Study of the surface topography of the films by using Atomic Force microscopy • The use of a capacitively coupled plasma enhanced chemical vapor deposition method.

  7. Influence of the radio-frequency power on the physical and optical properties of plasma polymerized cyclohexane thin films

    International Nuclear Information System (INIS)

    Manaa, C.; Lejeune, M.; Kouki, F.; Durand-Drouhin, O.; Bouchriha, H.

    2014-01-01

    We investigate in the present study the effects of the radio-frequency plasma power on the opto-electronical properties of the polymeric amorphous hydrogenated carbon thin films deposited at room temperature and different radio-frequency powers by plasma-enhanced chemical vapor deposition method using cyclohexane as precursor. A combination of U.V.–Visible and infrared transmission measurements is applied to characterize the bonding and electronic properties of these films. Some film properties namely surface roughness, contact angle, surface energy, and optical properties are found to be significantly influenced by the radio-frequency power. The changes in these properties are analyzed within the microstructural modifications occurring during growth. - Highlights: • Effects of the radio-frequency power on the optoelectronic properties of thin films • Elaboration of plasma polymerized thin films using cyclohexane as precursor gas • The use of U.V.–Visible-infrared transmission, and optical gap • Study of the surface topography of the films by using Atomic Force microscopy • The use of a capacitively coupled plasma enhanced chemical vapor deposition method

  8. Room temperature synthesis of porous SiO2 thin films by plasma enhanced chemical vapor deposition

    OpenAIRE

    Barranco Quero, Ángel; Cotrino Bautista, José; Yubero Valencia, Francisco; Espinós, J. P.; Rodríguez González-Elipe, Agustín

    2004-01-01

    Synthesis of porous SiO2 thin films in room temperature was carried out using plasma enhanced chemical vapor deposition (CVD) in an electron cyclotron resonance microwave reactor with a downstream configuration.The gas adsorption properties and the type of porosity of the SiO2 thin films were assessed by adsorption isotherms of toluene at room temperature.The method could also permit the tailoring synthesis of thin films when both composition and porosity can be simultaneously and independent...

  9. Preparation of anatase TiO{sub 2} thin films by vacuum arc plasma evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Miyata, Toshihiro [Optoelectronic Device System R and D Center, Kanazawa Institute of Technology, 7-1 Ohgigaoka, Nonoichi, Ishikawa 921-8501 (Japan)]. E-mail: tmiyata@neptune.kanazawa-it.ac.jp; Tsukada, Satoshi [Optoelectronic Device System R and D Center, Kanazawa Institute of Technology, 7-1 Ohgigaoka, Nonoichi, Ishikawa 921-8501 (Japan); Minami, Tadatsugu [Optoelectronic Device System R and D Center, Kanazawa Institute of Technology, 7-1 Ohgigaoka, Nonoichi, Ishikawa 921-8501 (Japan)

    2006-02-01

    Anatase titanium dioxide (TiO{sub 2}) thin films with high photocatalytic activity have been prepared with deposition rates as high as 16 nm/min by a newly developed vacuum arc plasma evaporation (VAPE) method using sintered TiO{sub 2} pellets as the source material. Highly transparent TiO{sub 2} thin films prepared at substrate temperatures from room temperature to 400 deg. C exhibited photocatalytic activity, regardless whether oxygen (O{sub 2}) gas was introduced during the VAPE deposition. The highest photocatalytic activity and photo-induced hydrophilicity were obtained in anatase TiO{sub 2} thin films prepared at 300 deg. C, which correlated to the best crystallinity of the films, as evidenced from X-ray diffraction. In addition, a transparent and conductive anatase TiO{sub 2} thin film with a resistivity of 2.6 x 10{sup -1} {omega} cm was prepared at a substrate temperature of 400 deg. C without the introduction of O{sub 2} gas.

  10. Plasma interactions determine the composition in pulsed laser deposited thin films

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Jikun; Stender, Dieter; Conder, Kazimierz; Wokaun, Alexander; Schneider, Christof W.; Lippert, Thomas, E-mail: thomas.lippert@psi.ch [Paul Scherrer Institute, CH-5232 Villigen PSI (Switzerland); Döbeli, Max [Laboratory of Ion Beam Physics, ETH Zurich, CH-8093 Zurich (Switzerland)

    2014-09-15

    Plasma chemistry and scattering strongly affect the congruent, elemental transfer during pulsed laser deposition of target metal species in an oxygen atmosphere. Studying the plasma properties of La{sub 0.6}Sr{sub 0.4}MnO{sub 3}, we demonstrate for as grown La{sub 0.6}Sr{sub 0.4}MnO{sub 3-δ} films that a congruent transfer of metallic species is achieved in two pressure windows: ∼10{sup −3} mbar and ∼2 × 10{sup −1} mbar. In the intermediate pressure range, La{sub 0.6}Sr{sub 0.4}MnO{sub 3-δ} becomes cation deficient and simultaneously almost fully stoichiometric in oxygen. Important for thin film growth is the presence of negative atomic oxygen and under which conditions positive metal-oxygen ions are created in the plasma. This insight into the plasma chemistry shows why the pressure window to obtain films with a desired composition and crystalline structure is narrow and requires a careful adjustment of the process parameters.

  11. Effect of calcination environments and plasma treatment on structural, optical and electrical properties of FTO transparent thin films

    Directory of Open Access Journals (Sweden)

    Madhav Kafle

    2017-07-01

    Full Text Available The dependence of the structural, optical and electrical properties of the FTO thin films on the film thickness (276 nm - 546 nm, calcination environment, and low temperature plasma treatment were examined. The FTO thin films, prepared by spray pyrolysis, were calcinated under air followed by either further heat treatment under N2 gas or treatment in low temperature atmospheric plasma. The samples before and after calcination under N2, and plasma treatment will be represented by Sair, SN2 and SPl, respectively, hereafter. The thin films were characterized by measuring the XRD spectra, SEM images, optical transmittance and reflectance, and sheet resistance of the films before and after calcination in N2 environment or plasma treatment. The presence of sharp and narrow multiple peaks in XRD spectra hint us that the films were highly crystalline (polycrystalline. The samples Sair with the thickness of 471 nm showed as high as 92 % transmittance in the visible range. Moreover, from the tauc plot, the optical bandgap Eg values of the Sair found to be noticeably lower than that of the samples SN2. Very surprisingly, the electrical sheet resistance (Rsh found to decrease following the trend as Rshair > RshN2 > RshPl. The samples exposed to plasma found to possess the lowest RshPl (for film with thickness 546 nm, the RshPl was 17 Ω/sq..

  12. Solution precursor plasma deposition of nanostructured CdS thin films

    International Nuclear Information System (INIS)

    Tummala, Raghavender; Guduru, Ramesh K.; Mohanty, Pravansu S.

    2012-01-01

    Highlights: ► Inexpensive process with capability to produce large scale nanostructured coatings. ► Technique can be employed to spray the coatings on any kind of substrates including polymers. ► The CdS coatings developed have good electrical conductivity and optical properties. ► Coatings possess large amount of particulate boundaries and nanostructured grains. -- Abstract: Cadmium sulfide (CdS) films are used in solar cells, sensors and microelectronics. A variety of techniques, such as vapor based techniques, wet chemical methods and spray pyrolysis are frequently employed to develop adherent CdS films. In the present study, rapid deposition of CdS thin films via plasma spray route using a solution precursor was investigated, for the first time. Solution precursor comprising cadmium chloride, thiourea and distilled water was fed into a DC plasma jet via an axial atomizer to create ultrafine droplets for instantaneous and accelerated thermal decomposition in the plasma plume. The resulting molten/semi-molten ultrafine/nanoparticles of CdS eventually propel toward the substrate to form continuous CdS films. The chemistry of the solution precursor was found to be critical in plasma pyrolysis to control the stoichiometry and composition of the films. X-ray diffraction studies confirmed hexagonal α-CdS structure. Surface morphology and microstructures were investigated to compare with other synthesis techniques in terms of process mechanism and structural features. Transmission electron microscopy studies revealed nanostructures in the atomized particulates. Optical measurements indicated a decreasing transmittance in the visible light with increasing the film thickness and band gap was calculated to be ∼2.5 eV. The electrical resistivity of the films (0.243 ± 0.188 × 10 5 Ω cm) was comparable with the literature values. These nanostructured polycrystalline CdS films could be useful in sensing and solar applications.

  13. Solution precursor plasma deposition of nanostructured CdS thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tummala, Raghavender [Department of Mechanical Engineering, University of Michigan, Dearborn, MI 48128 (United States); Guduru, Ramesh K., E-mail: rkguduru@umich.edu [Department of Mechanical Engineering, University of Michigan, Dearborn, MI 48128 (United States); Mohanty, Pravansu S. [Department of Mechanical Engineering, University of Michigan, Dearborn, MI 48128 (United States)

    2012-03-15

    Highlights: Black-Right-Pointing-Pointer Inexpensive process with capability to produce large scale nanostructured coatings. Black-Right-Pointing-Pointer Technique can be employed to spray the coatings on any kind of substrates including polymers. Black-Right-Pointing-Pointer The CdS coatings developed have good electrical conductivity and optical properties. Black-Right-Pointing-Pointer Coatings possess large amount of particulate boundaries and nanostructured grains. -- Abstract: Cadmium sulfide (CdS) films are used in solar cells, sensors and microelectronics. A variety of techniques, such as vapor based techniques, wet chemical methods and spray pyrolysis are frequently employed to develop adherent CdS films. In the present study, rapid deposition of CdS thin films via plasma spray route using a solution precursor was investigated, for the first time. Solution precursor comprising cadmium chloride, thiourea and distilled water was fed into a DC plasma jet via an axial atomizer to create ultrafine droplets for instantaneous and accelerated thermal decomposition in the plasma plume. The resulting molten/semi-molten ultrafine/nanoparticles of CdS eventually propel toward the substrate to form continuous CdS films. The chemistry of the solution precursor was found to be critical in plasma pyrolysis to control the stoichiometry and composition of the films. X-ray diffraction studies confirmed hexagonal {alpha}-CdS structure. Surface morphology and microstructures were investigated to compare with other synthesis techniques in terms of process mechanism and structural features. Transmission electron microscopy studies revealed nanostructures in the atomized particulates. Optical measurements indicated a decreasing transmittance in the visible light with increasing the film thickness and band gap was calculated to be {approx}2.5 eV. The electrical resistivity of the films (0.243 {+-} 0.188 Multiplication-Sign 10{sup 5} {Omega} cm) was comparable with the literature

  14. Hard TiCx/SiC/a-C:H nanocomposite thin films using pulsed high energy density plasma focus device

    International Nuclear Information System (INIS)

    Umar, Z.A.; Rawat, R.S.; Tan, K.S.; Kumar, A.K.; Ahmad, R.; Hussain, T.; Kloc, C.; Chen, Z.; Shen, L.; Zhang, Z.

    2013-01-01

    Highlights: •The energetic ions and electron beams are used to synthesize TiC x /SiC/a-C:H films. •As-deposited crystalline and hard nanocomposite TiC x /SiC/a-C:H films are synthesized. •Very high average deposition rates of 68 nm/shot are achieved using dense plasma focus. •The maximum hardness of 22 GPa is achieved at the surface of the film. -- Abstract: Thin films of TiC x /SiC/a-C:H were synthesized on Si substrates using a complex mix of high energy density plasmas and instability accelerated energetic ions of filling gas species, emanated from hot and dense pinched plasma column, in dense plasma focus device. The conventional hollow copper anode of Mather type plasma focus device was replaced by solid titanium anode for synthesis of TiC x /SiC/a-C:H nanocomposite thin films using CH 4 :Ar admixture of (1:9, 3:7 and 5:5) for fixed 20 focus shots as well as with different number of focus shots with fixed CH 4 :Ar admixture ratio 3:7. XRD results showed the formation of crystalline TiC x /SiC phases for thin film synthesized using different number of focus shots with CH 4 :Ar admixture ratio fixed at 3:7. SEM results showed that the synthesized thin films consist of nanoparticle agglomerates and the size of agglomerates depended on the CH 4 :Ar admixture ratio as well as on the number of focus shots. Raman analysis showed the formation of polycrystalline/amorphous Si, SiC and a-C for different CH 4 :Ar ratio as well as for different number of focus shots. The XPS analysis confirmed the formation of TiC x /SiC/a-C:H composite thin film. Nanoindentation results showed that the hardness and elastic modulus values of composite thin films increased with increasing number of focus shots. Maximum values of hardness and elastic modulus at the surface of the composite thin film were found to be about 22 and 305 GPa, respectively for 30 focus shots confirming the successful synthesis of hard composite TiC x /SiC/a-C:H coatings

  15. Reduction of etching damage in lead-zirconate-titanate thin films with inductively coupled plasma

    International Nuclear Information System (INIS)

    Lim, Kyu-Tae; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2003-01-01

    In this work, we etched lead-zirconate-titanate (PZT) films with various additive gases (O 2 and Ar) in Cl 2 /CF 4 plasmas, while mixing ratio was fixed at 8/2. After the etching, the plasma induced damages are characterized in terms of hysteresis curves, leakage current, retention properties, and switching polarization. When the electrical properties of PZT etched in O 2 or Ar added to Cl 2 /CF 4 were compared, the value of remanent polarization in O 2 added to Cl 2 /CF 4 plasma is higher than that in Ar added plasma. The maximum etch rate of the PZT thin films was 145 nm/min for 30% Ar added Cl 2 /CF 4 gas having mixing ratio of 8/2 and 110 nm/min for 10% O 2 added to that same gas mixture. In order to recover the ferroelectric properties of the PZT thin films after etching, we annealed the etched PZT thin films at 550 deg. C in an O 2 atmosphere for 10 min. From the hysteresis curves, leakage current, retention property, and switching polarization, the reduction of the etching damage and the recovery via the annealing turned out to be more effective when O 2 was added to Cl 2 /CF 4 than Ar. X-ray diffraction showed that the structural damage was lower when O 2 was added to Cl 2 /CF 4 and the improvement in the ferroelectric properties of the annealed samples was consistent with the increased intensities of the (100) and the (200) PZT peaks

  16. Measurements of recombination coefficient of hydrogen atoms on plasma deposited thin films

    International Nuclear Information System (INIS)

    Drenik, A.; Vesel, A.; Mozetic, M.

    2006-01-01

    We have performed experiments in plasma afterglow in order to determine the recombination coefficients of plasma deposited thin films of tungsten and graphite. Plasma deposited films rather than bulk material were used in order to more closely emulate surface structure of plasma-facing material deposits in fusion reactors. We have also determined the recombination coefficient of 85250 borosilicate glass and Teflon. Plasma was created by means of a radio frequency generator in a mixture of argon and hydrogen at the pressures between 60 Pa and 280 Pa. The degree of dissociation of hydrogen molecules was found to be between 0.1 and 1. The H-atom density was measured by Fiber Optic Catalytic Probe. The recombination coefficient was determined by measuring the axial profile of the H-atom density and using Smith's side arm diffusion model. (author)

  17. Type of precursor and synthesis of silicon oxycarbide (SiOxCyH) thin films with a surfatron microwave oxygen/argon plasma

    International Nuclear Information System (INIS)

    Walkiewicz-Pietrzykowska, Agnieszka; Espinos, J. P.; Gonzalez-Elipe, Agustin R.

    2006-01-01

    Siliconelike thin films (i.e., SiO x C y H z ) were prepared in a microwave plasma enhanced chemical vapor deposition reactor from structurally different organosilicon precursors [i.e., hexamethyldisiloxane (HMDSO), dimethylsilane (DMS), and tetramethylsilane (TMS)]. The films were deposited at room temperature by using different oxygen/argon ratios in the plasma gas. By changing the type of precursor and the relative concentration of oxygen in the plasma, thin films with different compositions (i.e., O/C ratio) and properties are obtained. In general, raising the oxygen concentration in the plasma produces the progressive removal of the organic moieties from the films whose composition and structure then approach those of silicon dioxide. The deposition rate was highly dependent on the type of precursor, following the order HMDSO>>DMS>TMS. The polarizabilities, optical band gaps, and surface free energy of the films also depended on the thin film composition and structure. It is proposed that the Si-O bonds existing in HMDSO is the main factor controlling the distinct reactivity of this precursor and is also responsible for the different compositions and properties of the SiO x C y H z thin films prepared with very low or no oxygen in the plasma gas

  18. Low temperature growth of gallium oxide thin films via plasma enhanced atomic layer deposition

    NARCIS (Netherlands)

    O'Donoghue, R.; Rechmann, J.; Aghaee, M.; Rogalla, D.; Becker, H.-W.; Creatore, M.; Wieck, A.D.; Devi, A.P.K.

    2017-01-01

    Herein we describe an efficient low temperature (60–160 °C) plasma enhanced atomic layer deposition (PEALD) process for gallium oxide (Ga2O3) thin films using hexakis(dimethylamido)digallium [Ga(NMe2)3]2 with oxygen (O2) plasma on Si(100). The use of O2 plasma was found to have a significant

  19. Miniature pulsed vacuum arc plasma gun and apparatus for thin-film fabrication

    Science.gov (United States)

    Brown, Ian G.; MacGill, Robert A.; Galvin, James E.; Ogletree, David F.; Salmeron, Miquel

    1998-01-01

    A miniature (dime-size in cross-section) vapor vacuum arc plasma gun is described for use in an apparatus to produce thin films. Any conductive material can be layered as a film on virtually any substrate. Because the entire apparatus can easily be contained in a small vacuum chamber, multiple dissimilar layers can be applied without risk of additional contamination. The invention has special applications in semiconductor manufacturing.

  20. Amine Enrichment of Thin-Film Composite Membranes via Low Pressure Plasma Polymerization for Antimicrobial Adhesion.

    Science.gov (United States)

    Reis, Rackel; Dumée, Ludovic F; He, Li; She, Fenghua; Orbell, John D; Winther-Jensen, Bjorn; Duke, Mikel C

    2015-07-15

    Thin-film composite membranes, primarily based on poly(amide) (PA) semipermeable materials, are nowadays the dominant technology used in pressure driven water desalination systems. Despite offering superior water permeation and salt selectivity, their surface properties, such as their charge and roughness, cannot be extensively tuned due to the intrinsic fabrication process of the membranes by interfacial polymerization. The alteration of these properties would lead to a better control of the materials surface zeta potential, which is critical to finely tune selectivity and enhance the membrane materials stability when exposed to complex industrial waste streams. Low pressure plasma was employed to introduce amine functionalities onto the PA surface of commercially available thin-film composite (TFC) membranes. Morphological changes after plasma polymerization were analyzed by SEM and AFM, and average surface roughness decreased by 29%. Amine enrichment provided isoelectric point changes from pH 3.7 to 5.2 for 5 to 15 min of plasma polymerization time. Synchrotron FTIR mappings of the amine-modified surface indicated the addition of a discrete 60 nm film to the PA layer. Furthermore, metal affinity was confirmed by the enhanced binding of silver to the modified surface, supported by an increased antimicrobial functionality with demonstrable elimination of E. coli growth. Essential salt rejection was shown minimally compromised for faster polymerization processes. Plasma polymerization is therefore a viable route to producing functional amine enriched thin-film composite PA membrane surfaces.

  1. Characteristics of indirect laser-induced plasma from a thin film of oil on a metallic substrate

    Science.gov (United States)

    Xiu, Jun-Shan; Bai, Xue-Shi; Motto-Ros, Vincent; Yu, Jin

    2015-04-01

    Optical emissions from the major and trace elements embodied in a transparent gel prepared from cooking oil were detected after the gel was spread in a thin film on a metallic substrate. Such emissions are due to the indirect breakdown of the coating layer. The generated plasma, a mixture of substances from the substrate, the layer, and the ambient gas, was characterized using emission spectroscopy. The characteristics of the plasma formed on the metal with and without the coating layer were investigated. The results showed that Al emission induced from the aluminum substrates coated with oil films extends away from the target surface to ablate the oil film. This finally formed a bifurcating circulation of aluminum vapor against a spherical confinement wall in the front of the plume, which differed from the evolution of the plasma induced from the uncoated aluminum target. The strongest emissions of elements from the oil films can be observed at 2 mm above the target after a detection delay of 1.0 μs. A high temperature zone has been observed in the plasma after the delay of 1.0 μs for the plasma induced from the coated metal. This higher temperature determined in the plasma allows the consideration of the sensitive detection of trace elements in liquids, gels, biological samples, or thin films.

  2. Selective metallization of amorphous-indium-gallium-zinc-oxide thin-film transistor by using helium plasma treatment

    Science.gov (United States)

    Jang, Hun; Lee, Su Jeong; Porte, Yoann; Myoung, Jae-Min

    2018-03-01

    In this study, the effects of helium (He) plasma treatment on amorphous-indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs) have been investigated. The He plasma treatment induced a dramatic decrease of the resistivity in a-IGZO thin films from 1.25 × 106 to 5.93 mΩ cm. After 5 min He plasma treatment, the a-IGZO films showed an increase in carrier concentration to 6.70 × 1019 cm-3 combined with a high hall mobility of 15.7 cm2 V-1 s-1. The conductivity improvement was linked to the formation of oxygen vacancies during the He plasma treatment, which was observed by x-ray photoelectron spectroscopy analysis. The a-IGZO films did not appear to be damaged on the surface following the plasma treatment and showed a high transmittance of about 88.3% at a wavelength of 550 nm. The He plasma-treated a-IGZO films were used as source/drain (S/D) electrodes in a-IGZO TFTs. The devices demonstrated promising characteristics, on pair with TFTs using Al electrodes, with a threshold voltage (V T) of -1.97 V, sub-threshold slope (SS) of 0.52 V/decade, saturation mobility (μ sat) of 8.75 cm2 V-1 s-1, and on/off current ratio (I on/I off) of 2.66 × 108.

  3. Thin films of thermoelectric compound Mg2Sn deposited by co-sputtering assisted by multi-dipolar microwave plasma

    International Nuclear Information System (INIS)

    Le-Quoc, H.; Lacoste, A.; Hlil, E.K.; Bes, A.; Vinh, T. Tan; Fruchart, D.; Skryabina, N.

    2011-01-01

    Highlights: → Mg 2 Sn thin films deposited by plasma co-sputtering, on silicon and glass substrates. → Formation of nano-grained polycrystalline films on substrates at room temperature. → Structural properties vary with target biasing and target-substrate distance. → Formation of the hexagonal phase of Mg 2 Sn in certain deposition conditions. → Power factor ∼5.0 x 10 -3 W K -2 m -1 for stoichiometric Mg 2 Sn films doped with ∼1 at.% Ag. - Abstract: Magnesium stannide (Mg 2 Sn) thin films doped with Ag intended for thermoelectric applications are deposited on both silicon and glass substrates at room temperature by plasma assisted co-sputtering. Characterization by scanning electron microscopy, energy-dispersive X-ray spectroscopy and X-ray diffraction confirms the formation of fine-grained polycrystalline thin films with thickness of 1-3 μm. Stoichiometry, microstructure and crystal structure of thin films are found to vary with target biasing and the distance from targets to substrate. Measurements of electrical resistivity and Seebeck coefficient at room temperature show the maximum power factor of ∼5.0 x 10 -3 W K -2 m -1 for stoichiometric Mg 2 Sn thin films doped with ∼1 at.% Ag.

  4. Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Shih, Huan-Yu; Chen, Miin-Jang; Lin, Ming-Chih; Chen, Liang-Yih

    2015-01-01

    The growth of uniform gallium nitride (GaN) thin films was reported on (100) Si substrate by remote plasma atomic layer deposition (RP-ALD) using triethylgallium (TEG) and NH 3 as the precursors. The self-limiting growth of GaN was manifested by the saturation of the deposition rate with the doses of TEG and NH 3 . The increase in the growth temperature leads to the rise of nitrogen content and improved crystallinity of GaN thin films, from amorphous at a low deposition temperature of 200 °C to polycrystalline hexagonal structures at a high growth temperature of 500 °C. No melting-back etching was observed at the GaN/Si interface. The excellent uniformity and almost atomic flat surface of the GaN thin films also infer the surface control mode of the GaN thin films grown by the RP-ALD technique. The GaN thin films grown by RP-ALD will be further applied in the light-emitting diodes and high electron mobility transistors on (100) Si substrate. (paper)

  5. High performance solution-deposited amorphous indium gallium zinc oxide thin film transistors by oxygen plasma treatment

    KAUST Repository

    Nayak, Pradipta K.

    2012-05-16

    Solution-deposited amorphous indium gallium zinc oxide (a-IGZO) thin film transistors(TFTs) with high performance were fabricated using O2-plasma treatment of the films prior to high temperature annealing. The O2-plasma treatment resulted in a decrease in oxygen vacancy and residual hydrocarbon concentration in the a-IGZO films, as well as an improvement in the dielectric/channel interfacial roughness. As a result, the TFTs with O2-plasma treated a-IGZO channel layers showed three times higher linear field-effect mobility compared to the untreated a-IGZO over a range of processing temperatures. The O2-plasma treatment effectively reduces the required processing temperature of solution-deposited a-IGZO films to achieve the required performance.

  6. Analysis of Hard Thin Film Coating

    Science.gov (United States)

    Shen, Dashen

    1998-01-01

    MSFC is interested in developing hard thin film coating for bearings. The wearing of the bearing is an important problem for space flight engine. Hard thin film coating can drastically improve the surface of the bearing and improve the wear-endurance of the bearing. However, many fundamental problems in surface physics, plasma deposition, etc, need further research. The approach is using electron cyclotron resonance chemical vapor deposition (ECRCVD) to deposit hard thin film an stainless steel bearing. The thin films in consideration include SiC, SiN and other materials. An ECRCVD deposition system is being assembled at MSFC.

  7. Thin film ceramic thermocouples

    Science.gov (United States)

    Gregory, Otto (Inventor); Fralick, Gustave (Inventor); Wrbanek, John (Inventor); You, Tao (Inventor)

    2011-01-01

    A thin film ceramic thermocouple (10) having two ceramic thermocouple (12, 14) that are in contact with each other in at least on point to form a junction, and wherein each element was prepared in a different oxygen/nitrogen/argon plasma. Since each element is prepared under different plasma conditions, they have different electrical conductivity and different charge carrier concentration. The thin film thermocouple (10) can be transparent. A versatile ceramic sensor system having an RTD heat flux sensor can be combined with a thermocouple and a strain sensor to yield a multifunctional ceramic sensor array. The transparent ceramic temperature sensor that could ultimately be used for calibration of optical sensors.

  8. Thin film silicon by a microwave plasma deposition technique: Growth and devices, and, interface effects in amorphous silicon/crystalline silicon solar cells

    Science.gov (United States)

    Jagannathan, Basanth

    Thin film silicon (Si) was deposited by a microwave plasma CVD technique, employing double dilution of silane, for the growth of low hydrogen content Si films with a controllable microstructure on amorphous substrates at low temperatures (prepared by this technique. Such films showed a dark conductivity ˜10sp{-6} S/cm, with a conduction activation energy of 0.49 eV. Film growth and properties have been compared for deposition in Ar and He carrier systems and growth models have been proposed. Low temperature junction formation by undoped thin film silicon was examined through a thin film silicon/p-type crystalline silicon heterojunctions. The thin film silicon layers were deposited by rf glow discharge, dc magnetron sputtering and microwave plasma CVD. The hetero-interface was identified by current transport analysis and high frequency capacitance methods as the key parameter controlling the photovoltaic (PV) response. The effect of the interface on the device properties (PV, junction, and carrier transport) was examined with respect to modifications created by chemical treatment, type of plasma species, their energy and film microstructure interacting with the substrate. Thermally stimulated capacitance was used to determine the interfacial trap parameters. Plasma deposition of thin film silicon on chemically clean c-Si created electron trapping sites while hole traps were seen when a thin oxide was present at the interface. Under optimized conditions, a 10.6% efficient cell (11.5% with SiOsb2 A/R) with an open circuit voltage of 0.55 volts and a short circuit current density of 30 mA/cmsp2 was fabricated.

  9. Effect of surface microstructure and wettability on plasma protein adsorption to ZnO thin films prepared at different RF powers

    Energy Technology Data Exchange (ETDEWEB)

    Huang Zhanyun; Chen Min; Chen Dihu [State Key Laboratory of Optoelectronic Materials and Technologies, Sun Yat-Sen University, Guangzhou 510275 (China); Pan Shirong, E-mail: stscdh@mail.sysu.edu.c [Artificial Heart Lab, the 1st Affiliate Hospital of Sun Yat-Sen University, Guangzhou 510080 (China)

    2010-10-01

    In this paper, the adsorption behavior of plasma proteins on the surface of ZnO thin films prepared by radio frequency (RF) sputtering under different sputtering powers was studied. The microstructures and surface properties of the ZnO thin films were investigated by x-ray diffraction (XRD), scanning electron microscopy (SEM), UV-visible optical absorption spectroscopy and contact angle techniques. The results show that the ZnO thin films have better orientation of the (0 0 2) peak with increasing RF power, especially at around 160 W, and the optical band gap of the ZnO films varies from 3.2 to 3.4 eV. The contact angle test carried out by the sessile drop technique denoted a hydrophobic surface of the ZnO films, and the surface energy and adhesive work of the ZnO thin films decreased with increasing sputtering power. The amounts of human fibrinogen (HFG) and human serum albumin (HSA) adsorbing on the ZnO films and reference samples were determined by using enzyme-linked immunosorbent assay (ELISA). The results show that fewer plasma proteins and a smaller HFG/HSA ratio adsorb on the ZnO thin films' surface.

  10. Plasma-assisted co-evaporation of {beta}-indium sulfide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kosaraju, Sreenivas; Marino, Joseph A.; Harvey, John A.; Wolden, Colin A. [Department of Chemical Engineering, Colorado School of Mines, Golden, CO 80401 (United States)

    2006-05-05

    This paper describes the development of plasma-assisted co-evaporation (PACE) for the formation of {beta}-In{sub 2}S{sub 3} thin films. Indium was supplied by conventional thermal evaporation, while the chalcogen gas precursor (H{sub 2}S) was activated using an inductively coupled plasma (ICP) source. Using a combination of optical emission spectroscopy and mass spectrometry it was shown that the ICP effectively dissociated H{sub 2}S, producing atomic sulfur. Transport modeling was used to quantify the flux distributions of the co-evaporated metal and the plasma-generated species impinging the substrate. Model predictions were validated by measurements of deposition rate and film properties. Substantial improvements in both materials utilization and substrate temperature reduction were realized with respect to conventional co-evaporation. {beta}-In{sub 2}S{sub 3} was formed as low as 100{sup o}C and it was observed that quality was a strong function of S/In ratio. The grain size decreased and the optical band gap increased as the substrate temperature was reduced. (author)

  11. Properties of CuS thin films treated in air plasma

    International Nuclear Information System (INIS)

    Rodriguez-Lazcano, Y.; Martinez, H.; Calixto-Rodriguez, M.; Nunez Rodriguez, A.

    2009-01-01

    Copper sulfide thin films were grown by chemical deposition and post treated in air plasma during 20 min. Air plasma was generated by alternating current discharge at a pressure of 4 x 10 2 Pa. The power discharge was maintained at an output of 220 V and a current of 0.2 A. Thermal annealing at 300 o C was performed for comparison. X-ray diffraction shows that plasma treatment results in phase transformation of Cu 39 S 28 (as grown) to CuS (treated by plasma). The copper lost is confirmed by X-ray fluorescence. No significant change in the optical band gap was observed due to plasma action. In addition, the electrical conductivity increases in one order of magnitude. On the other hand, the samples under plasma condition show a parallel growth to the substrate and an increase in the surface uniformity. The plasma etching removes copper due to its affinity with oxygen to form CuO, as is corroborated by optical emission spectroscopy.

  12. Effect of ablation geometry on the dynamics, composition, and geometrical shape of thin film plasma

    Science.gov (United States)

    Mondal, Alamgir; Singh, R. K.; Kumar, Ajai

    2018-01-01

    The characteristics of plasma plume produced by front and back ablation of thin films have been investigated using fast imaging and optical emission spectroscopy. Ablation geometry dependence of the plume dynamics, its geometrical aspect and composition is emphasized. Also, the effect of an ambient environment and the beam diameter of an ablating laser on the front and back ablations is briefly discussed. Analysis of time resolved images and plasma parameters indicates that the energetic and spherical plasma formed by front ablation is strikingly different in comparison to the slow and nearly cylindrical plasma plume observed in the case of back ablation. Further shock formation, plume confinement, thermalization and validity of different expansion models in these two ablation geometries are also presented. The present study demonstrates the manipulation of kinetic energy, shape, ion/neutral compositions and directionality of the expanding plume by adjusting the experimental configuration, which is highly relevant to its utilization in various applications e.g., generation of energetic particles, tokamak edge plasma diagnostics, thin film deposition, etc.

  13. Weathering resistance of thin plasma polymer films on pre-coated steel =

    Science.gov (United States)

    Serra, Ricardo Gil Henriques

    O trabalho apresentado teve origem no projecto de investigacao “Tailored Thin Plasma Polymers Films for Surface Engineering of Coil Coated Steel”, financiado pelo Programa Europeu ECSC Steel Research. Sistemas de aco galvanizado pre-pintado em banda a base de poliester e poliuretano foram submetidos a um processo de polimerizacao por plasma onde um filme fino foi depositado de modo a modificar as propriedades de superficie. Foram usados reactores de catodo oco, microondas e radio frequencia para a deposicao do polimero fino. Os sistemas preparados foram analisados de modo a verificar a influencia do processo de polimerizacao por plasma na alteracao das propriedades barreira dos sistemas pre-pintados em banda. Foi estudado o efeito dos diferentes passos do processo de polimerizacao por plasma, bem como o efeito de diferentes variaveis operatorias. A mistura precursora foi variada de modo a modificar as propriedades da superficie de modo a poder vir a obter maior hidrofobicidade, maior resistencia a marcas digitais, bem como maior facilidade de limpeza. Os testes foram conduzidos em solucao de NaCl 0,5 M. Para o trabalho foram usadas tecnicas de analise da morfologia da superficie como Microscopia de Forca Atomica e Microscopia Electronica de Varrimento. As propriedades electroquimicas dos sistemas foram estudadas por Espectroscopia de Impedancia Electroquimica. A estrutura dos filmes gerados no processo de polimerizacao por plasma foi caracterizada por Microscopia de Transmissao Electronica. A modificacao das propriedades opticas devido ao processo de polimerizacao por plasma foi tambem obtida.

  14. Electromagnetic shielding effectiveness of a thin silver layer deposited onto PET film via atmospheric pressure plasma reduction

    Science.gov (United States)

    Oh, Hyo-Jun; Dao, Van-Duong; Choi, Ho-Suk

    2018-03-01

    This study presents the first use of a plasma reduction reaction under atmospheric pressure to fabricate a thin silver layer on polyethylene terephthalate (PET) film without the use of toxic chemicals, high voltages, or an expensive vacuum apparatus. The developed film is applied to electromagnetic interference (EMI) shielding. After repeatedly depositing a silver layer through a plasma reduction reaction on PET, we can successfully fabricate a uniformly deposited thin silver layer. It was found that both the particle size and film thickness of thin silver layers fabricated at different AgNO3 concentrations increase with an increase in the concentration of AgNO3. However, the roughness of the thin silver layer decreases when increasing the concentration of AgNO3 from 100 to 500 mM, and the roughness increases with a further increase in the concentration of AgNO3. The EMI shielding effectiveness (SE) of the film is measured in the frequency range of 0.045 to 1 GHz. As a result of optimizing the electrical conductivity by measuring sheet resistance of the thin silver layer, the film fabricated from 500 mM AgNO3 exhibits the highest EMI SE among all fabricated films. The maximum values of the EMI SE are 60.490 dB at 0.1 GHz and 54.721 dB at 1.0 GHz with minimum sheet resistance of 0.244 Ω/□. Given that the proposed strategy is simple and effective, it is promising for fabricating various low-cost metal films with high EMI SE.

  15. WO.sub.3./sub. thin films prepared by sedimentation and plasma sputtering

    Czech Academy of Sciences Publication Activity Database

    Olejníček, Jiří; Brunclíková, Michaela; Kment, Š.; Hubička, Zdeněk; Kmentová, N.; Kšírová, Petra; Čada, Martin; Zlámal, M.; Krýsa, J.

    2017-01-01

    Roč. 318, Jun (2017), s. 281-288 ISSN 1385-8947 R&D Projects: GA TA ČR(CZ) TF01000084; GA ČR(CZ) GA15-00863S; GA TA ČR TA03010743; GA ČR GAP108/12/2104 Institutional support: RVO:68378271 Keywords : WO 3 * thin films * water splitting * pulsed magnetron sputtering * sedimentation * photo-electro-chemistry Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics) Impact factor: 6.216, year: 2016

  16. Effects of phosphorus on the electrical characteristics of plasma deposited hydrogenated amorphous silicon carbide thin films

    Science.gov (United States)

    Alcinkaya, Burak; Sel, Kivanc

    2018-01-01

    The properties of phosphorus doped hydrogenated amorphous silicon carbide (a-SiCx:H) thin films, that were deposited by plasma enhanced chemical vapor deposition technique with four different carbon contents (x), were analyzed and compared with those of the intrinsic a-SiCx:H thin films. The carbon contents of the films were determined by X-ray photoelectron spectroscopy. The thickness and optical energies, such as Tauc, E04 and Urbach energies, of the thin films were determined by UV-Visible transmittance spectroscopy. The electrical properties of the films, such as conductivities and activation energies were analyzed by temperature dependent current-voltage measurements. Finally, the conduction mechanisms of the films were investigated by numerical analysis, in which the standard transport mechanism in the extended states and the nearest neighbor hopping mechanism in the band tail states were taken into consideration. It was determined that, by the effect of phosphorus doping the dominant conduction mechanism was the standard transport mechanism for all carbon contents.

  17. On the Mechanism of In Nanoparticle Formation by Exposing ITO Thin Films to Hydrogen Plasmas.

    Science.gov (United States)

    Fan, Zheng; Maurice, Jean-Luc; Chen, Wanghua; Guilet, Stéphane; Cambril, Edmond; Lafosse, Xavier; Couraud, Laurent; Merghem, Kamel; Yu, Linwei; Bouchoule, Sophie; Roca I Cabarrocas, Pere

    2017-10-31

    We present our systematic work on the in situ generation of In nanoparticles (NPs) from the reduction of ITO thin films by hydrogen (H 2 ) plasma exposure. In contrast to NP deposition from the vapor phase (i.e., evaporation), the ITO surface can be considered to be a solid reservoir of In atoms thanks to H 2 plasma reduction. On one hand, below the In melting temperature, solid In NP formation is governed by the island-growth mode, which is a self-limiting process because the H 2 plasma/ITO interaction will be gradually eliminated by the growing In NPs that cover the ITO surface. On the other hand, we show that above the melting temperature In droplets prefer to grow along the grain boundaries on the ITO surface and dramatic coalescence occurs when the growing NPs connect with each other. This growth-connection-coalescence behavior is even strengthened on In/ITO bilayers, where In particles larger than 10 μm can be formed, which are made of evaporated In atoms and in situ released ones. Thanks to this understanding, we manage to disperse dense evaporated In NPs under H 2 plasma exposure when inserting an ITO layer between them and substrate like c-Si wafer or glass by modifying the substrate surface chemistry. Further studies are needed for more precise control of this self-assembling method. We expect that our findings are not limited to ITO thin films but could be applicable to various metal NPs generation from the corresponding metal oxide thin films.

  18. Effect of nitrogen environment on NdFeB thin films grown by radio frequency plasma beam assisted pulsed laser deposition

    International Nuclear Information System (INIS)

    Constantinescu, C.; Patroi, E.; Codescu, M.; Dinescu, M.

    2013-01-01

    Highlights: ► NdFeB thin films grown by PLD, in vacuum and in nitrogen, are presented. ► Nitrogen inclusion in thin film structures is related to improved coercitivity. ► Magnetical, optical and morphological properties of the thin films are discussed. - Abstract: NdFeB is a very attractive material for applications in electrical engineering and in electronics, for high-tech devices where high coercive field and high remanence are needed. In this paper we demonstrate that the deposition of nitrogen doped NdFeB thin films by pulsed laser deposition, in the presence of a nitrogen radiofrequency plasma beam, exhibit improved magnetic properties and surface morphology, when compared to vacuum deposited NdFeB layers. A Nd:YAG pulsed laser (3ω and 4ω) was focused on a NdFeB target, in vacuum, or in the presence of a nitrogen plasma beam. Substrate temperature (RT-850 °C), nitrogen gas pressure, and radiofrequency power (75–150 W), were particularly varied. The thin films were investigated by means of X-ray diffraction, atomic force microscopy, scanning electron microscopy, spectroscopic-ellipsometry, and vibrating sample magnetometry.

  19. Effect of nitrogen environment on NdFeB thin films grown by radio frequency plasma beam assisted pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Constantinescu, C., E-mail: catalin.constantinescu@inflpr.ro [National Institute for Laser, Plasma and Radiation Physics, 409 Atomistilor bd., Magurele, RO-077125, Bucharest (Romania); Patroi, E.; Codescu, M. [National Institute for Research and Development in Electrical Engineering - Advanced Research, 313 Spl. Unirii, Sector 3, RO-030138, Bucharest (Romania); Dinescu, M. [National Institute for Laser, Plasma and Radiation Physics, 409 Atomistilor bd., Magurele, RO-077125, Bucharest (Romania)

    2013-03-01

    Highlights: Black-Right-Pointing-Pointer NdFeB thin films grown by PLD, in vacuum and in nitrogen, are presented. Black-Right-Pointing-Pointer Nitrogen inclusion in thin film structures is related to improved coercitivity. Black-Right-Pointing-Pointer Magnetical, optical and morphological properties of the thin films are discussed. - Abstract: NdFeB is a very attractive material for applications in electrical engineering and in electronics, for high-tech devices where high coercive field and high remanence are needed. In this paper we demonstrate that the deposition of nitrogen doped NdFeB thin films by pulsed laser deposition, in the presence of a nitrogen radiofrequency plasma beam, exhibit improved magnetic properties and surface morphology, when compared to vacuum deposited NdFeB layers. A Nd:YAG pulsed laser (3{omega} and 4{omega}) was focused on a NdFeB target, in vacuum, or in the presence of a nitrogen plasma beam. Substrate temperature (RT-850 Degree-Sign C), nitrogen gas pressure, and radiofrequency power (75-150 W), were particularly varied. The thin films were investigated by means of X-ray diffraction, atomic force microscopy, scanning electron microscopy, spectroscopic-ellipsometry, and vibrating sample magnetometry.

  20. High rate deposition of transparent conducting oxide thin films by vacuum arc plasma evaporation

    International Nuclear Information System (INIS)

    Minami, Tadatsugu; Ida, Satoshi; Miyata, Toshihiro

    2002-01-01

    Transparent conducting oxide (TCO) thin films have been deposited at a high rate above 370 nm/min by vacuum arc plasma evaporation (VAPE) using sintered oxide fragments as the source material. It was found that the deposition rate of TCO films was strongly dependent on the deposition pressure, whereas the obtained electrical properties were relatively independent of the pressure. Resistivities of 5.6x10 -4 and 2.3x10 -4 Ω·cm and an average transmittance above 80% (with substrate included) in the visible range were obtained in Ga-doped ZnO (GZO) thin films deposited at 100 and 350 deg. C, respectively. In addition, a resistivity as low as 1.4x10 -4 Ω·cm and an average transmittance above 80% were also obtained in indium-tin-oxide (ITO) films deposited at 300 deg. C. The deposited TCO films exhibited uniform distributions of resistivity and thickness on large area substrates

  1. High rate deposition of transparent conducting oxide thin films by vacuum arc plasma evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Minami, Tadatsugu; Ida, Satoshi; Miyata, Toshihiro

    2002-09-02

    Transparent conducting oxide (TCO) thin films have been deposited at a high rate above 370 nm/min by vacuum arc plasma evaporation (VAPE) using sintered oxide fragments as the source material. It was found that the deposition rate of TCO films was strongly dependent on the deposition pressure, whereas the obtained electrical properties were relatively independent of the pressure. Resistivities of 5.6x10{sup -4} and 2.3x10{sup -4} {omega}{center_dot}cm and an average transmittance above 80% (with substrate included) in the visible range were obtained in Ga-doped ZnO (GZO) thin films deposited at 100 and 350 deg. C, respectively. In addition, a resistivity as low as 1.4x10{sup -4} {omega}{center_dot}cm and an average transmittance above 80% were also obtained in indium-tin-oxide (ITO) films deposited at 300 deg. C. The deposited TCO films exhibited uniform distributions of resistivity and thickness on large area substrates.

  2. Polyurethane coating with thin polymer films produced by plasma polymerization of diglyme

    International Nuclear Information System (INIS)

    Ribeiro, M A; Ramos, A S; Manfredini, M I; Alves, H A; Ramos, E C T; Honda, R Y; Kostov, K G; Lucena, E F; Mota, R P; Algatti, M A; Kayama, M E

    2009-01-01

    Aqueous-based polyurethane dispersions have been widely utilized as lubricants in textile, shoes, automotive, biomaterial and many other industries because they are less aggressive to surrounding environment. In this work thin films with different thickness were deposited on biocompatible polyurethane by plasma polymerization process using diethylene glycol dimethyl ether (Diglyme) as monomer. Molecular structure of the films was analyzed by Fourier Transform Infrared spectroscopy. The spectra exhibited absorption bands of O-H (3500-3200cm -1 ), C-H (3000-2900cm -1 ), C=O (1730-1650cm -1 ), C-O and C-O-C bonds at 1200-1600cm -1 . The samples wettability was evaluated by measurements of contact angle using different liquids such as water, glycerol, poly-ethane and CMC. The polyurethane surface showed hydrophilic behavior after diglyme plasma-deposition with contact angle dropping from 85 deg. to 22 deg. Scanning Electron Microscopy revealed that diglyme films covered uniformly the polyurethane surfaces ensuring to it a biocompatible characteristic.

  3. Polyurethane coating with thin polymer films produced by plasma polymerization of diglyme

    Science.gov (United States)

    Ribeiro, M. A.; Ramos, A. S.; Manfredini, M. I.; Alves, H. A.; Y Honda, R.; Kostov, K. G.; Lucena, E. F.; Ramos, E. C. T.; Mota, R. P.; Algatti, M. A.; Kayama, M. E.

    2009-05-01

    Aqueous-based polyurethane dispersions have been widely utilized as lubricants in textile, shoes, automotive, biomaterial and many other industries because they are less aggressive to surrounding environment. In this work thin films with different thickness were deposited on biocompatible polyurethane by plasma polymerization process using diethylene glycol dimethyl ether (Diglyme) as monomer. Molecular structure of the films was analyzed by Fourier Transform Infrared spectroscopy. The spectra exhibited absorption bands of O-H (3500-3200cm-1), C-H (3000-2900cm-1), C=O (1730-1650cm-1), C-O and C-O-C bonds at 1200-1600cm-1. The samples wettability was evaluated by measurements of contact angle using different liquids such as water, glycerol, poly-ethane and CMC. The polyurethane surface showed hydrophilic behavior after diglyme plasma-deposition with contact angle dropping from 85° to 22°. Scanning Electron Microscopy revealed that diglyme films covered uniformly the polyurethane surfaces ensuring to it a biocompatible characteristic.

  4. Polyurethane coating with thin polymer films produced by plasma polymerization of diglyme

    Energy Technology Data Exchange (ETDEWEB)

    Ribeiro, M A; Ramos, A S; Manfredini, M I; Alves, H A; Ramos, E C T [UNIVAP, Sao Jose dos Campos, SP (Brazil); Honda, R Y; Kostov, K G; Lucena, E F; Mota, R P; Algatti, M A; Kayama, M E, E-mail: rmota@feg.unesp.b [FEG-DFQ-UNESP, Av. Ariberto Pereira da Cunha 333, 12516-410 - Guaratingueta, SP (Brazil)

    2009-05-01

    Aqueous-based polyurethane dispersions have been widely utilized as lubricants in textile, shoes, automotive, biomaterial and many other industries because they are less aggressive to surrounding environment. In this work thin films with different thickness were deposited on biocompatible polyurethane by plasma polymerization process using diethylene glycol dimethyl ether (Diglyme) as monomer. Molecular structure of the films was analyzed by Fourier Transform Infrared spectroscopy. The spectra exhibited absorption bands of O-H (3500-3200cm{sup -1}), C-H (3000-2900cm{sup -1}), C=O (1730-1650cm{sup -1}), C-O and C-O-C bonds at 1200-1600cm{sup -1}. The samples wettability was evaluated by measurements of contact angle using different liquids such as water, glycerol, poly-ethane and CMC. The polyurethane surface showed hydrophilic behavior after diglyme plasma-deposition with contact angle dropping from 85 deg. to 22 deg. Scanning Electron Microscopy revealed that diglyme films covered uniformly the polyurethane surfaces ensuring to it a biocompatible characteristic.

  5. Heat treatment and aging effect on the structural and optical properties of plasma polymerized 2,6-diethylaniline thin films

    International Nuclear Information System (INIS)

    Matin, Rummana; Bhuiyan, A.H.

    2012-01-01

    The monomer, 2,6-diethylaniline has been used to deposit plasma polymerized 2,6-diethylaniline (PPDEA) thin films at room temperature on to glass substrates by a capacitively coupled parallel plate glow discharge reactor. A comparative analysis on the changes of morphological, structural and optical properties of as-deposited, heat treated and aged PPDEA thin films is ascertained. Scanning electron microscopy shows uniform and pinhole free surface of PPDEA thin films and no significant difference in the surface morphology is observed due to heat treatment. Electron dispersive X-ray and Fourier transform infrared spectroscopic investigations indicate some structural rearrangement in PPDEA thin films due to heat treatment. Differential thermal analysis, thermogravimetric analysis and differential thermogravimetric analysis suggest that the PPDEA is thermally stable up to about 580 K. The study on the optical absorption spectra of as-deposited, heat treated and aged PPDEA thin films of different thicknesses lead to the determination of the allowed direct and indirect transition energies ranging from 3.63 to 2.73 and 2.38 to 1.26 eV respectively. Urbach energy, steepness parameter and extinction coefficient are also assessed. It is observed that the optical parameters of as-deposited PPDEA thin films change due to heat treatment and do not change appreciably due to aging. - Highlights: ► Heat treatment and aging effect of plasma polymerized 2,6-diethylaniline thin films. ► The surface morphology of PPDEA is found uniform for all types of sample. ► Heat treatment introduces some elemental and structural rearrangement. ► The thermal stability is found up to about 580 K. ► Optical parameters were changed for heat treatment but not markedly for aging.

  6. Etching characteristic and mechanism of BST thin films using inductively coupled Cl2/Ar plasma with additive CF4 gas

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2004-01-01

    BST thin films were etched with inductively coupled CF 4 /(Cl 2 +Ar) plasmas. The maximum etch rate of the BST thin films was 53.6 nm/min for a 10% CF 4 to the Cl 2 /Ar gas mixture at RF power of 700 W, DC bias of -150 V, and chamber pressure of 2 Pa. Small addition of CF 4 to the Cl 2 /Ar mixture increased chemical effect. Consequently, the increased chemical effect caused the increase in the etch rate of the BST thin films. To clarify the etching mechanism, the surface reaction of the BST thin films was investigated by X-ray photoelectron spectroscopy

  7. Fabrication of high-performance InGaZnOx thin film transistors based on control of oxidation using a low-temperature plasma

    Science.gov (United States)

    Takenaka, Kosuke; Endo, Masashi; Uchida, Giichiro; Setsuhara, Yuichi

    2018-04-01

    This work demonstrated the low-temperature control of the oxidation of Amorphous InGaZnOx (a-IGZO) films using inductively coupled plasma as a means of precisely tuning the properties of thin film transistors (TFTs) and as an alternative to post-deposition annealing at high temperatures. The effects of the plasma treatment of the as-deposited a-IGZO films were investigated by assessing the electrical properties of TFTs incorporating these films. A TFT fabricated using an a-IGZO film exposed to an Ar-H2-O2 plasma at substrate temperatures as low as 300 °C exhibited the best performance, with a field effect mobility as high as 42.2 cm2 V-1 s-1, a subthreshold gate voltage swing of 1.2 V decade-1, and a threshold voltage of 2.8 V. The improved transfer characteristics of TFTs fabricated with a-IGZO thin films treated using an Ar-H2-O2 plasma are attributed to the termination of oxygen vacancies around Ga and Zn atoms by OH radicals in the gas phase.

  8. Effect of oxygen plasma treatment on crystal growth mode at pentacene/Ni interface in organic thin-film transistors.

    Science.gov (United States)

    Song, Bang Joo; Hong, Kihyon; Kim, Woong-Kwon; Kim, Kisoo; Kim, Sungjun; Lee, Jong-Lam

    2010-11-25

    We report how treatment of nickel (Ni) with O(2) plasma affects the polarity of Ni surface, crystallinity of pentacene film on the Ni, and electrical properties of pentacene organic thin-film transistors (OTFTs) that use Ni as source-drain electrodes. The polar component of surface energy in Ni surface increased from 8.1 to 43.3 mJ/m(2) after O(2)-plasma treatment for 10 s. From X-ray photoelectron spectra and secondary electron emission spectra, we found that NiO(x) was formed on the O(2)-plasma-treated Ni surface and the work function of O(2)-plasma-treated Ni was 0.85 eV higher than that of untreated Ni. X-ray diffraction and atomic force microscopy measurements showed that pentacene molecules are well aligned as a thin-film and grains grow much larger on O(2)-plasma-treated Ni than on untreated Ni. This change in the growth mode is attributed to the reduction of interaction energy between pentacene and Ni due to formation of oxide at the Ni/pentacene interface. Thus, O(2)-plasma treatment promoted the growth of well-ordered pentacene film and lowered both the hole injection barrier and the contact resistance between Ni and pentacene by forming NiO(x), enhancing the electrical property of bottom-contact OTFTs.

  9. Method of preparing water purification membranes. [polymerization of allyl amine as thin films in plasma discharge

    Science.gov (United States)

    Hollahan, J. R.; Wydeven, T. J., Jr. (Inventor)

    1974-01-01

    Allyl amine and chemically related compounds are polymerized as thin films in the presence of a plasma discharge. The monomer compound can be polymerized by itself or in the presence of an additive gas to promote polymerization and act as a carrier. The polymerized films thus produced show outstanding advantages when used as reverse osmosis membranes.

  10. Properties of thin films deposited from HMDSO/O2 induced remote plasma: Effect of oxygen fraction

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.; Al-Khaled, B.

    2008-01-01

    Thin films deposited from hexamethyle disiloxane (HMDSO)/O 2 mixture excited in a radio-frequency hollow cathode discharge system have been investigated for their structural, optical and corrosive properties as a function of oxygen fraction χo 2 (χo 2 =0, 0.38, 0.61, 0.76 and 0.90). It is found that the effect of oxygen fraction on films properties is related to O 2 dissociation degree (αd) behavior in pure oxygen plasma. αd has been investigated by actinometry optical emission spectroscopy (AOES) combined with double langmuir probe measurements, a maximum of O 2 dissociation degree of 15% has been obtained for 50 sccm flow rate of O 2 (χo 2 =0.61 in HMDSO/O 2 plasma). Fourier transform infrared spectroscopy (FTIR) and optical measurements showed that the behavior of both identified IR group densities and deposition rate as a function of oxygen fraction is similar to that of O 2 dissociation degree. The inorganic nature of the films depends significantly on oxygen fraction, the best inorganic structure of deposited films has been obtained for 62% HMDSO content in the mixture HMDSO/O 2 (χo 2 =0.38). The refractive index for deposited films from pure HMDSO(χo 2 =0) has been found to be higher than that of films deposited from HMDSO/O 2 mixture. In HMDSO/O 2 plasma, it has a behavior similar to that of deposition rate, and it is comparable to that of quartz. The effect of oxygen fraction on the corrosive properties of thin films deposited on steel has been investigated. It is found that the measured corrosion current density in 0.1 M KCI solution decreases with the addition of O 2 to HMDSO plasma, and it is minimum for χo 2 =0.38. (author)

  11. PVD processes of thin films deposition using Hall-current discharge

    International Nuclear Information System (INIS)

    Svadkovskij, I.V.

    2007-01-01

    Results of research and developments in the field of PVD processes of thin films deposition using Hall-current discharge have been summarized. Effects of interaction of ions with surface during deposition have been considered. Also features of application and prospects of devices based on ion beam and magnetron sputtering systems in thin films technologies have been analyzed. The aspects in the field plasma physics, technology and equipment plasma PVD processes of thin films deposition have been systematized, on the base of investigations made by author and other scientists. (authors)

  12. Thermal expansion coefficient and thermomechanical properties of SiN(x) thin films prepared by plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Tien, Chuen-Lin; Lin, Tsai-Wei

    2012-10-20

    We present a new method based on fast Fourier transform (FFT) for evaluating the thermal expansion coefficient and thermomechanical properties of thin films. The silicon nitride thin films deposited on Corning glass and Si wafers were prepared by plasma-enhanced chemical vapor deposition in this study. The anisotropic residual stress and thermomechanical properties of silicon nitride thin films were studied. Residual stresses in thin films were measured by a modified Michelson interferometer associated with the FFT method under different heating temperatures. We found that the average residual-stress value increases when the temperature increases from room temperature to 100°C. Increased substrate temperature causes the residual stress in SiN(x) film deposited on Si wafers to be more compressive, but the residual stress in SiN(x) film on Corning glass becomes more tensile. The residual-stress versus substrate-temperature relation is a linear correlation after heating. A double substrate technique is used to determine the thermal expansion coefficients of the thin films. The experimental results show that the thermal expansion coefficient of the silicon nitride thin films is 3.27×10(-6)°C(-1). The biaxial modulus is 1125 GPa for SiN(x) film.

  13. Characteristics of ITO films with oxygen plasma treatment for thin film solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Park, Yong Seob [Department of Photoelectronics Information, Chosun College of Science and Technology, Gwangju (Korea, Republic of); Kim, Eungkwon [Digital Broadcasting Examination, Korean Intellectual Property Office, Daejeon, Suwon 440-746 (Korea, Republic of); Hong, Byungyou [School of Electronic and Electrical Engineering, Sungkyunkwan University, Cheoncheon-dong, 300, Jangan-gu, Suwon 440-746 (Korea, Republic of); Lee, Jaehyoeng, E-mail: jaehyeong@skku.edu [School of Electronic and Electrical Engineering, Sungkyunkwan University, Cheoncheon-dong, 300, Jangan-gu, Suwon 440-746 (Korea, Republic of)

    2013-12-15

    Graphical abstract: The effect of O{sub 2} plasma treatment on the surface and the work function of ITO films. - Highlights: • ITO films were prepared on the glass substrate by RF magnetron sputtering method. • Effects of O{sub 2} plasma treatment on the properties of ITO films were investigated. • The work function of ITO film was changed from 4.67 to 5.66 eV by plasma treatment. - Abstract: The influence of oxygen plasma treatment on the electro-optical and structural properties of indium-tin-oxide films deposited by radio frequency magnetron sputtering method were investigated. The films were exposed at different O{sub 2} plasma powers and for various durations by using the plasma enhanced chemical vapor deposition (PECVD) system. The resistivity of the ITO films was almost constant, regardless of the plasma treatment conditions. Although the optical transmittance of ITO films was little changed by the plasma power, the prolonged treatment slightly increased the transmittance. The work function of ITO film was changed from 4.67 eV to 5.66 eV at the plasma treatment conditions of 300 W and 60 min.

  14. Wetting, Solubility and Chemical Characteristics of Plasma-Polymerized 1-Isopropyl-4-Methyl-1,4-Cyclohexadiene Thin Films

    Directory of Open Access Journals (Sweden)

    Jakaria Ahmad

    2014-07-01

    Full Text Available Investigations on the wetting, solubility and chemical composition of plasma polymer thin films provide an insight into the feasibility of implementing these polymeric materials in organic electronics, particularly where wet solution processing is involved. In this study, thin films were prepared from 1-isopropyl-4-methyl-1,4-cyclohexadiene (γ-Terpinene using radio frequency (RF plasma polymerization. FTIR showed the polymers to be structurally dissimilar to the original monomer and highly cross-linked, where the loss of original functional groups and the degree of cross-linking increased with deposition power. The polymer surfaces were hydrocarbon-rich, with oxygen present in the form of O–H and C=O functional groups. The oxygen content decreased with deposition power, with films becoming more hydrophobic and, thus, less wettable. The advancing and receding contact angles were investigated, and the water advancing contact angle was found to increase from 63.14° to 73.53° for thin films prepared with an RF power of 10 W to 75 W. The wetting envelopes for the surfaces were constructed to enable the prediction of the surfaces’ wettability for other solvents. The effect of roughness on the wetting behaviour of the films was insignificant. The polymers were determined to resist solubilization in solvents commonly used in the deposition of organic semiconducting layers, including chloroform and chlorobenzene, with higher stability observed in films fabricated at higher RF power.

  15. A comparative study of the physical properties of Sb2S3 thin films treated with N2 AC plasma and thermal annealing in N2

    International Nuclear Information System (INIS)

    Calixto-Rodriguez, M.; Martinez, H.; Pena, Y.; Flores, O.; Esparza-Ponce, H.E.; Sanchez-Juarez, A.; Campos-Alvarez, J.; Reyes, P.

    2010-01-01

    As-deposited antimony sulfide thin films prepared by chemical bath deposition were treated with nitrogen AC plasma and thermal annealing in nitrogen atmosphere. The as-deposited, plasma treated, and thermally annealed antimony sulfide thin films have been characterized by X-ray diffraction (XRD), energy dispersive X-ray spectroscopy, scanning electron microscopy, atomic force microscopy, UV-vis spectroscopy, and electrical measurements. The results have shown that post-deposition treatments modify the crystalline structure, the morphology, and the optoelectronic properties of Sb 2 S 3 thin films. X-ray diffraction studies showed that the crystallinity of the films was improved in both cases. Atomic force microscopy studies showed that the change in the film morphology depends on the post-deposition treatment used. Optical emission spectroscopy (OES) analysis revealed the plasma etching on the surface of the film, this fact was corroborated by the energy dispersive X-ray spectroscopy analysis. The optical band gap of the films (E g ) decreased after post-deposition treatments (from 2.36 to 1.75 eV) due to the improvement in the grain sizes. The electrical resistivity of the Sb 2 S 3 thin films decreased from 10 8 to 10 6 Ω-cm after plasma treatments.

  16. Inductively coupled hydrogen plasma processing of AZO thin films for heterojunction solar cell applications

    International Nuclear Information System (INIS)

    Zhou, H.P.; Xu, S.; Zhao, Z.; Xiang, Y.

    2014-01-01

    Highlights: • A high-density plasma reactor of inductively coupled plasma source is used in this work. • The conductivity and transmittance can be enhanced simultaneously in the hydrogen process. • The formation of additional donors and passivation due to the hydrogen plasma processing. • The photovoltaic improvement due to the improved AZO layer and hetero-interface quality in the solar cells. - Abstract: Al-doped ZnO (AZO) thin films deposited by means of RF magnetron sputtering were processed in a low frequency inductively coupled plasma of H 2 , aiming at heterojunction (HJ) solar cell applications. A variety of characterization results show that the hydrogen plasma processing exerts a significant influence on the microstructures, electrical and optical properties of the AZO films. The incorporation of hydrogen under the optimum treatment simultaneously promoted the transmittance and conductivity due to the hydrogen associated passivation effect on the native defects and the formation of shallow donors in the films, respectively. A p-type c-Si based HJ solar cell with a front AZO contact was also treated in as-generated non-equilibrium hydrogen plasma and the photovoltaic performance of the solar cell was prominently improved. The underlying mechanism was discussed in terms of the beneficial impacts of high-density hydrogen plasma on the properties of AZO itself and the hetero-interfaces involved in the HJ structure (interface defect and energy band configuration)

  17. Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides

    Science.gov (United States)

    Yuqing, XIONG; Hengjiao, GAO; Ni, REN; Zhongwei, LIU

    2018-03-01

    Copper thin films were deposited by plasma-enhanced atomic layer deposition at low temperature, using copper(I)-N,N‧-di-sec-butylacetamidinate as a precursor and hydrogen as a reductive gas. The influence of temperature, plasma power, mode of plasma, and pulse time, on the deposition rate of copper thin film, the purity of the film and the step coverage were studied. The feasibility of copper film deposition on the inner wall of a carbon fibre reinforced plastic waveguide with high aspect ratio was also studied. The morphology and composition of the thin film were studied by atomic force microscopy and x-ray photoelectron spectroscopy, respectively. The square resistance of the thin film was also tested by a four-probe technique. On the basis of on-line diagnosis, a growth mechanism of copper thin film was put forward, and it was considered that surface functional group played an important role in the process of nucleation and in determining the properties of thin films. A high density of plasma and high free-radical content were helpful for the deposition of copper thin films.

  18. Cell adhesion to cathodic arc plasma deposited CrAlSiN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Sun Kyu, E-mail: skim@ulsan.ac.kr [School of Materials Science and Engineering, University of Ulsan, Ulsan 680-749 (Korea, Republic of); Pham, Vuong-Hung [Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Kim, Chong-Hyun [Department of Food Science, Cornell University, Ithaca, NY 14853 (United States)

    2012-07-01

    Osteoblast cell response (cell adhesion, actin cytoskeleton and focal contact adhesion as well as cell proliferation) to CrN, CrAlSiN and Ti thin films was evaluated in vitro. Cell adhesion and actin stress fibers organization depended on the film composition significantly. Immunofluorescent staining of vinculin in osteoblast cells showed good focal contact adhesion on the CrAlSiN and Ti thin films but not on the CrN thin films. Cell proliferation was significantly greater on the CrAlSiN thin films as well as on Ti thin films than on the CrN thin films.

  19. Vacuum arc plasma generation and thin film deposition from a TiB{sub 2} cathode

    Energy Technology Data Exchange (ETDEWEB)

    Zhirkov, Igor, E-mail: igozh@ifm.liu.se; Petruhins, Andrejs; Naslund, Lars-Ake; Rosen, Johanna [Thin Film Physics Division, Department of Physics, Chemistry and Biology (IFM), Linköping University, SE-581 83 Linköping (Sweden); Kolozsvári, Szilard; Polcik, Peter [PLANSEE Composite Materials GmbH, Siebenbürgerstraße 23, 86983 Lechbruck am See (Germany)

    2015-11-02

    We have studied the utilization of TiB{sub 2} cathodes for thin film deposition in a DC vacuum arc system. We present a route for attaining a stable, reproducible, and fully ionized plasma flux of Ti and B by removal of the external magnetic field, which leads to dissipation of the vacuum arc discharge and an increased active surface area of the cathode. Applying a magnetic field resulted in instability and cracking, consistent with the previous reports. Plasma analysis shows average energies of 115 and 26 eV, average ion charge states of 2.1 and 1.1 for Ti and B, respectively, and a plasma ion composition of approximately 50% Ti and 50% B. This is consistent with measured resulting film composition from X-ray photoelectron spectroscopy, suggesting a negligible contribution of neutrals and macroparticles to the film growth. Also, despite the observations of macroparticle generation, the film surface is very smooth. These results are of importance for the utilization of cathodic arc as a method for synthesis of metal borides.

  20. Nanotexture Optimization by Oxygen Plasma of Mesoporous Silica Thin Film for Enrichment of Low Molecular Weight Peptides Captured from Human Serum

    Science.gov (United States)

    Hu, Ye; Peng, Yang; Brousseau, Louis; Bouamrani, Ali; Liu, Xuewu; Ferrari, Mauro

    2010-01-01

    This study investigated the optimization of mesoporous silica thin films by nanotexturing using oxygen plasma versus thermal oxidation. Calcination in oxygen plasma provides superior control over pore formation with regard to the pore surface and higher fidelity to the structure of the polymer template. The resulting porous film offers an ideal substrate for the selective partitioning of peptides from complex mixtures. The improved chemico-physical characteristics of porous thin films (pore size distribution, nanostructure, surface properties and pore connectivity) were systematically characterized with XRD, Ellipsometry, FTIR, TEM and N2 adsorption/desorption. The enrichment of low molecular weight proteins captured from human serum on mesoporous silica thin films fabricated by both methodologies were investigated by comparison of their MALDI-TOF MS profiles. This novel on-chip fractionation technology offers advantages in recovering the low molecular weight peptides from human serum, which has been recognized as an informative resource for early diagnosis of cancer and other diseases. PMID:21179395

  1. Electronic and optical device applications of hollow cathode plasma assisted atomic layer deposition based GaN thin films

    International Nuclear Information System (INIS)

    Bolat, Sami; Tekcan, Burak; Ozgit-Akgun, Cagla; Biyikli, Necmi; Okyay, Ali Kemal

    2015-01-01

    Electronic and optoelectronic devices, namely, thin film transistors (TFTs) and metal–semiconductor–metal (MSM) photodetectors, based on GaN films grown by hollow cathode plasma-assisted atomic layer deposition (PA-ALD) are demonstrated. Resistivity of GaN thin films and metal-GaN contact resistance are investigated as a function of annealing temperature. Effect of the plasma gas and postmetallization annealing on the performances of the TFTs as well as the effect of the annealing on the performance of MSM photodetectors are studied. Dark current to voltage and responsivity behavior of MSM devices are investigated as well. TFTs with the N 2 /H 2 PA-ALD based GaN channels are observed to have improved stability and transfer characteristics with respect to NH 3 PA-ALD based transistors. Dark current of the MSM photodetectors is suppressed strongly after high-temperature annealing in N 2 :H 2 ambient

  2. Niobium thin film coating on a 500-MHz copper cavity by plasma deposition

    Energy Technology Data Exchange (ETDEWEB)

    Haipeng Wang; Genfa Wu; H. Phillips; Robert Rimmer; Anne-Marie Valente; Andy Wu

    2005-05-16

    A system using an Electron Cyclotron Resonance (ECR) plasma source for the deposition of a thin niobium film inside a copper cavity for superconducting accelerator applications has been designed and is being constructed. The system uses a 500-MHz copper cavity as both substrate and vacuum chamber. The ECR plasma will be created to produce direct niobium ion deposition. The central cylindrical grid is DC biased to control the deposition energy. This paper describes the design of several subcomponents including the vacuum chamber, RF supply, biasing grid and magnet coils. Operational parameters are compared between an operating sample deposition system and this system. Engineering work progress toward the first plasma creation will be reported here.

  3. Hydrogen uptake in alumina thin films synthesized from an aluminum plasma stream in an oxygen ambient

    International Nuclear Information System (INIS)

    Schneider, J.M.; Anders, A.; Hjoervarsson, B.; Petrov, I.; Macak, K.; Helmersson, U.; Sundgren, J.

    1999-01-01

    We describe the hydrogen uptake during the synthesis of alumina films from H 2 O present in the high vacuum gas background. The hydrogen concentration in the films was determined by the 1 H( 15 N,αγ) 12 C nuclear resonance reaction. Furthermore, we show the presence of hydrogen ions in the plasma stream by time-of-flight mass spectrometry. The hydrogen content increased in both the film and the plasma stream, as the oxygen partial pressure was increased. On the basis of these measurements and thermodynamic considerations, we suggest that an aluminum oxide hydroxide compound is formed, both on the cathode surface as well as in the film. The large scatter in the data reported in the literature for refractive index and chemical stability of alumina thin films can be explained on the basis of the suggested aluminum oxide hydroxide formation. copyright 1999 American Institute of Physics

  4. Effect of silane/hydrogen ratio on microcrystalline silicon thin films by remote inductively coupled plasma

    Science.gov (United States)

    Guo, Y. N.; Wei, D. Y.; Xiao, S. Q.; Huang, S. Y.; Zhou, H. P.; Xu, S.

    2013-05-01

    Hydrogenated microcrystalline silicon (μc-Si:H) thin films were prepared by remote low frequency inductively coupled plasma (ICP) chemical vapor deposition system, and the effect of silane/hydrogen ratio on the microstructure and electrical properties of μc-Si:H films was systematically investigated. As silane/hydrogen ratio increases, the crystalline volume fraction Fc decreases and the ratio of the intensity of (220) peak to that of (111) peak drops as silane flow rate is increased. The FTIR result indicates that the μc-Si:H films prepared by remote ICP have a high optical response with a low hydrogen content, which is in favor of reducing light-induced degradation effect. Furthermore, the processing window of the phase transition region for remote ICP is much wider than that for typical ICP. The photosensitivity of μc-Si:H films can exceed 100 at the transition region and this ensures the possibility of the fabrication of microcrystalline silicon thin film solar cells with a open-circuit voltage of about 700 mV.

  5. Dry etching of LaNiO3 thin films using inductively coupled plasma

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Dong-Pyo; Kim, Kyoung-Tae; Kim, Chang-Il; Lee, Cheol-In; Kim, Tae-Hyung

    2006-01-01

    The etching characteristics of LaNiO 3 (LNO) thin films and SiO 2 in Cl 2 /Ar plasma were investigated. LNO etch rates decreased with increasing Cl 2 fraction in Ar plasma and the working pressure. Langmuir probe measurement showed a noticeable influence of Cl 2 /Ar mixing ratio on electron temperature, electron density, and ion current density. The modeling of volume kinetics for charged particles and OES measurements for neutral atoms indicated monotonous changes of both densities and fluxes of active species such as chlorine atoms and positive ions. The LNO etch rate behavior may be explained by physical mechanisms

  6. Laser deposition and direct-writing of thermoelectric misfit cobaltite thin films

    Science.gov (United States)

    Chen, Jikun; Palla-Papavlu, Alexandra; Li, Yulong; Chen, Lidong; Shi, Xun; Döbeli, Max; Stender, Dieter; Populoh, Sascha; Xie, Wenjie; Weidenkaff, Anke; Schneider, Christof W.; Wokaun, Alexander; Lippert, Thomas

    2014-06-01

    A two-step process combining pulsed laser deposition of calcium cobaltite thin films and a subsequent laser induced forward transfer as micro-pixel is demonstrated as a direct writing approach of micro-scale thin film structures for potential applications in thermoelectric micro-devices. To achieve the desired thermo-electric properties of the cobaltite thin film, the laser induced plasma properties have been characterized utilizing plasma mass spectrometry establishing a direct correlation to the corresponding film composition and structure. The introduction of a platinum sacrificial layer when growing the oxide thin film enables a damage-free laser transfer of calcium cobaltite thereby preserving the film composition and crystallinity as well as the shape integrity of the as-transferred pixels. The demonstrated direct writing approach simplifies the fabrication of micro-devices and provides a large degree of flexibility in designing and fabricating fully functional thermoelectric micro-devices.

  7. Repulsive effects of hydrophobic diamond thin films on biomolecule detection

    Energy Technology Data Exchange (ETDEWEB)

    Ruslinda, A. Rahim, E-mail: ruslindarahim@gmail.com [Institute of Nano Electronic Engineering, Universiti Malaysia Perlis, Jln Kgr-Alor Setar, Seriab, 01000 Kangar, Perlis (Malaysia); Department of Nano Science and Nano Engineering, School of Advance Science and Engineering, Ohkubo 3-4-1, Shinjuku, 169-8555 Tokyo (Japan); Ishiyama, Y. [Department of Nano Science and Nano Engineering, School of Advance Science and Engineering, Ohkubo 3-4-1, Shinjuku, 169-8555 Tokyo (Japan); Penmatsa, V. [Department of Mechanical and Materials Engineering, Florida International University, 10555 West Flagler Street, Miami, FL 33174 (United States); Ibori, S.; Kawarada, H. [Department of Nano Science and Nano Engineering, School of Advance Science and Engineering, Ohkubo 3-4-1, Shinjuku, 169-8555 Tokyo (Japan)

    2015-02-15

    Highlights: • We report the effect of fluorine plasma treatment on diamond thin film to resist the nonspecific adsorption of biomolecules. • The diamond thin film were highly hydrophobic with a surface energy value of ∼25 mN/m. • The repulsive effect shows excellent binding efficiency for both DNA and HIV-1 Tat protein. - Abstract: The repulsive effect of hydrophobic diamond thin film on biomolecule detection, such as single-nucleotide polymorphisms and human immunodeficiency virus type 1 trans-activator of transcription peptide protein detection, was investigated using a mixture of a fluorine-, amine-, and hydrogen-terminated diamond surfaces. These chemical modifications lead to the formation of a surface that effectively resists the nonspecific adsorption of proteins and other biomolecules. The effect of fluorine plasma treatment on elemental composition was also investigated via X-ray photoelectron spectroscopy (XPS). XPS results revealed a fluorocarbon layer on the diamond thin films. The contact angle measurement results indicated that the fluorine-treated diamond thin films were highly hydrophobic with a surface energy value of ∼25 mN/m.

  8. Repulsive effects of hydrophobic diamond thin films on biomolecule detection

    International Nuclear Information System (INIS)

    Ruslinda, A. Rahim; Ishiyama, Y.; Penmatsa, V.; Ibori, S.; Kawarada, H.

    2015-01-01

    Highlights: • We report the effect of fluorine plasma treatment on diamond thin film to resist the nonspecific adsorption of biomolecules. • The diamond thin film were highly hydrophobic with a surface energy value of ∼25 mN/m. • The repulsive effect shows excellent binding efficiency for both DNA and HIV-1 Tat protein. - Abstract: The repulsive effect of hydrophobic diamond thin film on biomolecule detection, such as single-nucleotide polymorphisms and human immunodeficiency virus type 1 trans-activator of transcription peptide protein detection, was investigated using a mixture of a fluorine-, amine-, and hydrogen-terminated diamond surfaces. These chemical modifications lead to the formation of a surface that effectively resists the nonspecific adsorption of proteins and other biomolecules. The effect of fluorine plasma treatment on elemental composition was also investigated via X-ray photoelectron spectroscopy (XPS). XPS results revealed a fluorocarbon layer on the diamond thin films. The contact angle measurement results indicated that the fluorine-treated diamond thin films were highly hydrophobic with a surface energy value of ∼25 mN/m

  9. Structural and interfacial characteristics of thin (2 films grown by electron cyclotron resonance plasma oxidation on [100] Si substrates

    International Nuclear Information System (INIS)

    Nguyen, T.D.; Carl, D.A.; Hess, D.W.; Lieberman, M.A.; Gronsky, R.

    1991-04-01

    The feasibility of fabricating ultra-thin SiO 2 films on the order of a few nanometer thickness has been demonstrated. SiO 2 thin films of approximately 7 nm thickness have been produced by ion flux-controlled Electron Cyclotron Resonance plasma oxidation at low temperature on [100] Si substrates, in reproducible fashion. Electrical measurements of these films indicate that they have characteristics comparable to those of thermally grown oxides. The thickness of the films was determined by ellipsometry, and further confirmed by cross-sectional High-Resolution Transmission Electron Microscopy. Comparison between the ECR and the thermal oxide films shows that the ECR films are uniform and continuous over at least a few microns in lateral direction, similar to the thermal oxide films grown at comparable thickness. In addition, HRTEM images reveal a thin (1--1.5 nm) crystalline interfacial layer between the ECR film and the [100] substrate. Thinner oxide films of approximately 5 nm thickness have also been attempted, but so far have resulted in nonuniform coverage. Reproducibility at this thickness is difficult to achieve

  10. Microwave Plasma Chemical Vapor Deposition of Nano-Structured Sn/C Composite Thin-Film Anodes for Li-ion Batteries

    Energy Technology Data Exchange (ETDEWEB)

    Stevenson, Cynthia; Marcinek, M.; Hardwick, L.J.; Richardson, T.J.; Song, X.; Kostecki, R.

    2008-02-01

    In this paper we report results of a novel synthesis method of thin-film composite Sn/C anodes for lithium batteries. Thin layers of graphitic carbon decorated with uniformly distributed Sn nanoparticles were synthesized from a solid organic precursor Sn(IV) tert-butoxide by a one step microwave plasma chemical vapor deposition (MPCVD). The thin-film Sn/C electrodes were electrochemically tested in lithium half cells and produced a reversible capacity of 440 and 297 mAhg{sup -1} at C/25 and 5C discharge rates, respectively. A long term cycling of the Sn/C nanocomposite anodes showed 40% capacity loss after 500 cycles at 1C rate.

  11. Analysis of annealed thin polymer films prepared from dichloro(methyl)phenylsilane by plasma polymerization

    Czech Academy of Sciences Publication Activity Database

    Cech, V.; Horvath, P.; Trchová, M.; Zemek, Josef; Matějková, Jiřina

    2001-01-01

    Roč. 82, - (2001), s. 2106-2112 ISSN 0021-8995 R&D Projects: GA ČR GV106/98/K013; GA ČR GA104/00/0708 Institutional research plan: CEZ:AV0Z1010914 Keywords : plasma polymerization * thin films * thermogravimetric analysis ( TSA ) * FTIR * ESCA/XPS Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 0.992, year: 2001

  12. Fixation of the stressed state of glass plates by coating them with thin films using a plasma focus installation

    Science.gov (United States)

    Kolokoltsev, V. N.; Degtiarev, V. F.; Borovitskaya, I. V.; Nikulin, V. Ya.; Peregudova, E. N.; Silin, P. V.; Eriskin, A. A.

    2018-01-01

    Elastic deformation in transparent mediums is usually studied by the photoelasticity method. For opaque mediums the method of film coating and strain gauge method are used. After the external load was removed, the interference pattern corresponding to elastic deformation of the material disappears. It is found that the elastic deformation state of the thin glass plate under the action of concentrated load can be fixed during the deposition of a thin metal film. Deposition of thin copper films was carried out by passing of plasma through the copper tube installed inside the Plasma Focus installation. After removing of the load, interference pattern on the glass plates was observed in the form of Newton’s rings and isogers in non-monochromatic light on the CCD scanners which uses uorescent lamps with cold cathode. It is supposed that the copper film fixes the relief of the surface of the glass plate at the time of deformation and saves it when the load is removed. In the case of a concentrated load, this relief has the shape of a thin lens of large radius. For this reason, the interference of coherent light rays in a thin air gap between the glass of the scanners atbed and the lens surface has the shape of Newton's rings. In this case, when scanning the back side of the plate, isogyres are observed. The presented method can be used in the analysis of the mechanical stress in a various optical elements.

  13. Effects of O2 plasma post-treatment on ZnO: Ga thin films grown by H2O-thermal ALD

    Science.gov (United States)

    Lee, Yueh-Lin; Chuang, Jia-Hao; Huang, Tzu-Hsuan; Ho, Chong-Long; Wu, Meng-Chyi

    2013-03-01

    Transparent conducting oxides have been widely employed in optoelectronic devices using the various deposition methods such as sputtering, thermal evaporator, and e-gun evaporator technologies.1-3 In this work, gallium doped zinc oxide (ZnO:Ga) thin films were grown on glass substrates via H2O-thermal atomic layer deposition (ALD) at different deposition temperatures. ALD-GZO thin films were constituted as a layer-by-layer structure by stacking zinc oxides and gallium oxides. Diethylzinc (DEZ), triethylgallium (TEG) and H2O were used as zinc, gallium precursors and oxygen source, respectively. Furthermore, we investigated the influences of O2 plasma post-treatment power on the surface morphology, electrical and optical property of ZnO:Ga films. As the result of O2 plasma post-treatment, the characteristics of ZnO:Ga films exhibit a smooth surface, low resistivity, high carrier concentration, and high optical transmittance in the visible spectrum. However, the transmittance decreases with O2 plasma power in the near- and mid-infrared regions.

  14. Lipon thin films grown by plasma-enhanced metalorganic chemical vapor deposition in a N{sub 2}-H{sub 2}-Ar gas mixture

    Energy Technology Data Exchange (ETDEWEB)

    Meda, Lamartine, E-mail: LMeda@xula.edu [Department of Chemistry, Xavier University of Louisiana, 1 Drexel Drive, New Orleans, LA, 70125 (United States); Maxie, Eleston E. [Excellatron Solid State LLC, 263 Decatur Street, Atlanta, GA 30312 (United States)

    2012-01-01

    Lithium phosphorus oxynitride (Lipon) thin films have been deposited by a plasma-enhanced metalorganic chemical vapor deposition method. Lipon thin films were deposited on approximately 0.2 {mu}m thick Au-coated alumina substrates in a N{sub 2}-H{sub 2}-Ar plasma at 13.56 MHz, a power of 150 W, and at 180 Degree-Sign C using triethyl phosphate [(CH{sub 2}CH{sub 3}){sub 3}PO{sub 4}] and lithium tert-butoxide [(LiOC(CH{sub 3}){sub 3}] precursors. Lipon growth rates ranged from 10 to 42 nm/min and thicknesses varied from 1 to 2.5 {mu}m. X-ray powder diffraction showed that the films were amorphous, and X-ray photoelectron spectroscopy (XPS) revealed approximately 4 at.% N in the films. The ionic conductivity of Lipon was measured by electrochemical impedance spectroscopy to be approximately 1.02 {mu}S/cm, which is consistent with the ionic conductivity of Lipon deposited by radio frequency magnetron sputtering of Li{sub 3}PO{sub 4} targets in either mixed Ar-N{sub 2} or pure N{sub 2} atmosphere. Attempts to deposit Lipon in a N{sub 2}-O{sub 2}-Ar plasma resulted in the growth of Li{sub 3}PO{sub 4} thin films. The XPS analysis shows no C and N atom peaks. Due to the high impedance of these films, reliable conductivity measurements could not be obtained for films grown in N{sub 2}-O{sub 2}-Ar plasma.

  15. Plasma monitoring and PECVD process control in thin film silicon-based solar cell manufacturing

    Directory of Open Access Journals (Sweden)

    Gabriel Onno

    2014-02-01

    Full Text Available A key process in thin film silicon-based solar cell manufacturing is plasma enhanced chemical vapor deposition (PECVD of the active layers. The deposition process can be monitored in situ by plasma diagnostics. Three types of complementary diagnostics, namely optical emission spectroscopy, mass spectrometry and non-linear extended electron dynamics are applied to an industrial-type PECVD reactor. We investigated the influence of substrate and chamber wall temperature and chamber history on the PECVD process. The impact of chamber wall conditioning on the solar cell performance is demonstrated.

  16. Photoelectrochemical behavior of Al{sub x}In{sub 1−x}N thin films grown by plasma-assisted dual source reactive evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Alizadeh, M., E-mail: alizadeh_kozerash@yahoo.com; Ganesh, V.; Pandikumar, A.; Goh, B.T.; Azianty, S.; Huang, N.M.; Rahman, S.A., E-mail: saadah@um.edu.my

    2016-06-15

    In this work the dependence of photoelectrochemical (PEC) behavior of Al{sub x}In{sub 1−x}N (0.48 ≤x ≤ 0.66) thin films grown by plasma-assisted dual source reactive evaporation, on the plasma dynamics and the alloys properties was studied. The influence of nitrogen flow rate on the compositional, morphological, structural and optical properties of the as-prepared films were investigated using X-ray photoelectron spectroscopy (XPS), Field emission scanning electron microscopy (FESEM), micro Raman spectroscopy and UV–vis spectroscopy. The PEC study of the as-grown Al{sub x}In{sub 1−x}N thin films targeted for water splitting application were performed in the presence of simulated solar irradiation of AM 1.5G (100 mW/cm{sup 2}). The PEC results revealed that the photocurrent for the Al{sub x}In{sub 1−x}N thin film grown at nitrogen flow rate of 80 sccm is ∼10-fold higher than the dark current. From the Mott–Schottky (MS) plots it was deduced that by increasing N{sub 2} flow rate up to 80 sccm, the flat band potential shifts toward more negative values. The good photoelectrochemical behavior of Al{sub x}In{sub 1−x}N thin films showed that this material could be a potential candidate for PEC water splitting. - Highlights: • Al{sub x}In{sub 1−x}N films were grown by Plasma-aided dual source reactive evaporation. • Effect of nitrogen flow rate on the films properties was investigated. • The band gap of the films decreased from 2.33 to 1.92 eV. • A good photoelectrochemical behavior of the Al{sub x}In{sub 1−x}N thin films was shown. • The photocurrent for the Al{sub 0.55}In{sub 0.45}N films is ∼10-fold higher than dark current.

  17. Deposition of Polymer Thin Films on ZnO Nanoparticles by a Plasma Treatment

    Science.gov (United States)

    2001-11-01

    exchange for removing metal ions frori water. If on the surface of these nanoparticles, an extremely thin layer of polyacrylic filr can be coated by a...plasma treatment. The polyacrylic film will react with metallic ions in water. As a result of the high surface-to-volume ratio of these narioparticles, the...experiments performed on a JEM 2010F. In FFIR experiment, potassium bromide(KBr) of 99%+ purity was obtained from Aldrich Chemical Company Inc

  18. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A.; Kesler, Valerii G.; Kirienko, Viktor V.

    2014-01-01

    Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC x N y films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9

  19. Nanostructured plasma etched, magnetron sputtered nanolaminar Cr2AlC MAX phase thin films

    International Nuclear Information System (INIS)

    Grieseler, Rolf; Hähnlein, Bernd; Stubenrauch, Mike; Kups, Thomas; Wilke, Marcus; Hopfeld, Marcus; Pezoldt, Jörg; Schaaf, Peter

    2014-01-01

    The knowledge of the mechanical properties of new materials determines essentially their usability and functionality when used in micro- and nanostructures. MAX phases are new and highly interesting materials due to their unique combination of materials properties. In this article a new method for producing the Cr 2 AlC MAX phase is presented. Thin film elemental multilayer deposition and subsequent rapid thermal annealing forms the MAX phase within seconds. Additionally, free standing microstructures (beams and cantilevers) based on this MAX phase films are prepared by plasma etching. The mechanical properties of these MAX phase microstructures are investigated

  20. Structural and corrosive properties of ZrO2 thin films on zircaloy-4 by RF reactive magnetron sputtering

    International Nuclear Information System (INIS)

    Kim, Soo Ho; Lee, Kwang Hoon; Ko, Jae Hwan; Yoon, Young Soo; Baek, Jong Hyuk; Lee, Sang Jin

    2006-01-01

    Zirconium-oxide (ZrO 2 ) thin films as protective layers were grown on a Zircaloy-4 (Z-4) cladding material as a substrate by RF reactive magnetron sputtering at room temperature. To investigate the effect of plasma immersion on the structural and the corrosive properties of the as-grown ZrO 2 thin film, we immersed Z-4 in plasma during the deposition process. X-ray diffraction (XRD) measurements showed that the as-grown ZrO 2 thin films immersed in plasma had cubic, well as monoclinic and tetragonal, phases whereas those immersed in the plasma had monoclinic and tetragonal phases only. Atomic force microscopy (AFM) measurements of the surface morphology showed that the surface roughness of the as-grown ZrO 2 thin films immersed in plasma was larger than that of the films not immersed in plasma. In addition, the corrosive property of the as-grown ZrO 2 thin films immersed in the plasma was characterized using the weight gains of Z-4 after the corrosion test. Compared with the non-immersed films, the weight gains of the immersed films were larger. These results indicate that the ZrO 2 films immersed in plasma cannot protect Z-4 from corrosive phenomena.

  1. Hydrogen incorporation by plasma treatment gives mesoporous black TiO 2 thin films with visible photoelectrochemical water oxidation activity

    Energy Technology Data Exchange (ETDEWEB)

    Islam, Syed Z.; Reed, Allen; Nagpure, Suraj; Wanninayake, Namal; Browning, James F.; Strzalka, Joseph; Kim, Doo Young; Rankin, Stephen E.

    2018-05-01

    In this work, we use neutron reflectometry (NR) to investigate the roles of hydrogen in plasma treated hydrogen doped mesoporous black titania thin films in their visible light absorption and enhanced photoactivity for water oxidation. The cubic ordered mesoporous TiO2 thin films are prepared by a surfactant-templated sol-gel method and are treated with hydrogen plasma, an approach hypothesized to capitalize on the high degree of disorder in the material and the high energy of the plasma species to achieve efficient hydrogen doping. UV-vis absorbance spectra indicate that H2 plasma treatment makes TiO2 films black, with broad-spectrum enhancement of visible light absorption, and XPS analysis shows peak for Ti3+ state in treated films. The presence of hydrogen in black mesoporous titania (H-TiO2) films is confirmed by the scattering length density (SLD) profiles obtained from neutron reflectometry measurements. The H-TiO2 shows ca. 28 times and 8 times higher photocurrent for photoelectrochemical water oxidation compared to undoped TiO2 films under UV (365 nm) and blue (455 nm) LED irradiation, respectively. These findings provide the first direct evidence that the dramatic change in visible light absorbance of H-treated black TiO2 is accompanied by significant hydrogen uptake and not just Ti3+ generation or surface disordering.

  2. Room temperature deposition of magnetite thin films on organic substrate

    International Nuclear Information System (INIS)

    Arisi, E.; Bergenti, I.; Cavallini, M.; Murgia, M.; Riminucci, A.; Ruani, G.; Dediu, V.

    2007-01-01

    We report on the growth of magnetite films directly on thin layers of organic semiconductors by means of an electron beam ablation method. The deposition was performed at room temperature in a reactive plasma atmosphere. Thin films show ferromagnetic (FM) hysteresis loops and coercive fields of hundreds of Oersted. Micro Raman analysis indicates no presence of spurious phases. The morphology of the magnetite film is strongly influenced by the morphology of the underlayer of the organic semiconductor. These results open the way for the application of magnetite thin films in the field of organic spintronics

  3. Aging effects of plasma polymerized ethylenediamine (PPEDA) thin films on cell-adhesive implant coatings

    International Nuclear Information System (INIS)

    Testrich, H.; Rebl, H.; Finke, B.; Hempel, F.; Nebe, B.; Meichsner, J.

    2013-01-01

    Thin plasma polymer films from ethylenediamine were deposited on planar substrates placed on the powered electrode of a low pressure capacitively coupled 13.56 MHz discharge. The chemical composition of the plasma polymer films was analyzed by Fourier Transform Infrared Reflection Absorption Spectroscopy (FT-IRRAS) as well as by X-ray photoelectron spectroscopy (XPS) after derivatization of the primary amino groups. The PPEDA films undergo an alteration during the storage in ambient air, particularly, due to reactions with oxygen. The molecular changes in PPEDA films were studied over a long-time period of 360 days. Simultaneously, the adhesion of human osteoblast-like cells MG-63 (ATCC) was investigated on PPEDA coated corundum blasted titanium alloy (Ti-6Al-4V), which is applied as implant material in orthopedic surgery. The cell adhesion was determined by flow cytometry and the cell shape was analyzed by scanning electron microscopy. Compared to uncoated reference samples a significantly enhanced cell adhesion and proliferation were measured for PPEDA coated samples, which have been maintained after long-time storage in ambient air and additional sterilization by γ−irradiation. - Highlights: • Development of cell-adhesive nitrogen-rich coatings for biomedical applications. • Plasma polymer films from low pressure 13.56 MHz discharge in argon-ethylenediamine. • Enhanced osteoblast adhesion/proliferation on coated implant material (Ti-6Al-4V). • Despite film aging over 360 days the enhanced cell adhesion of the coating remains. • No influence of additional y-sterilization on the enhanced cell adhesion

  4. Etching mechanism of MgO thin films in inductively coupled Cl2/Ar plasma

    International Nuclear Information System (INIS)

    Efremov, A.M.; Koo, Seong-Mo; Kim, Dong-Pyo; Kim, Kyoung-Tae; Kim, Chang-Il

    2004-01-01

    The etching mechanism of MgO thin films in Cl 2 /Ar plasma was investigated. It was found that the increasing Ar in the mixing ratio of Cl 2 /Ar plasma causes nonmonotonic MgO etch rate, which reaches a maximum value at 70%Ar+30%Cl 2 . Langmuir probe measurement showed the noticeable influence of Cl 2 /Ar mixing ratio on electron temperature and electron density. The zero-dimensional plasma model indicated monotonic changes of both densities and fluxes of active species. At the same time, analyses of surface kinetics showed the possibility of nonmonotonic etch rate behavior due to the concurrence of physical and chemical pathways in ion-assisted chemical reaction

  5. Use of ion beam techniques to characterize thin plasma grown GaAs and GaAlAs oxide films

    International Nuclear Information System (INIS)

    Kauffman, R.L.; Feldman, L.C.; Chang, R.P.H.

    1978-01-01

    Thin plasma grown films of GaAs oxides and GaAlAs oxides have been analyzed using the combined techniques of Rutherford backscattering, ion-induced X-rays, and nuclear resonance profiling. The stoichiometries of the films have been quantitatively determined and can be combined with other Auger profiling results to characterize the films. The ion-induced X-ray technique has been checked against other measurements to determine its accuracy. For uniform films such as these the X-ray measurements can provide accurate quantitative results. (Auth.)

  6. Plasma deposition of organosilicon polymer thin films with embedded nanosilver for prevention of microbial adhesion

    International Nuclear Information System (INIS)

    Saulou, Claire; Despax, Bernard; Raynaud, Patrice; Zanna, Sandrine; Marcus, Philippe; Mercier-Bonin, Muriel

    2009-01-01

    Composite thin films (∼170 nm) containing silver nanoclusters embedded in an organosilicon matrix were deposited by PE-CVD onto stainless steel in order to prevent microbial adhesion. The process originality relies on a dual strategy combining silver sputtering and simultaneous plasma polymerization in argon-hexamethyldisiloxane (HMDSO) plasma, using an asymmetrical RF glow discharge. The metal content in the film was controlled by varying the HMDSO flow rate. Investigation of the physico-chemical properties of the obtained films was conducted by X-ray photoelectron spectroscopy and transmission FTIR spectroscopy. Plasma-mediated coatings were composed of C, O, Si and Ag which was predominantly under metallic form, as indicated by XPS analysis. The presence of Si-H, Si-O-Si, Si-(CH) n -Si and C-H groups was established by FTIR. The yeast Saccharomyces cerevisiae was selected as the model for eukaryotic microorganisms. The maximal anti-adhesive efficiency was achieved for the organosilicon matrix alone. When nanosilver was incorporated into the organic matrix, the efficiency was reduced, especially for high metal contents. Silver antimicrobial property was assumed to be related to Ag + progressive release from the embedded nanoparticles into the surrounding medium. This release was confirmed by ICP-MS measurements. Moreover, silver-containing film antifungal activity was observed towards sessile cells.

  7. Plasma deposition of organosilicon polymer thin films with embedded nanosilver for prevention of microbial adhesion

    Energy Technology Data Exchange (ETDEWEB)

    Saulou, Claire [Universite de Toulouse, INSA, UPS, INPT, LISBP, 135 Av. de Rangueil, F-31077 Toulouse (France); Universite de Toulouse, UPS, INPT, LAPLACE, 118 route de Narbonne, F-31062 Toulouse cedex 9 (France); Despax, Bernard; Raynaud, Patrice [Universite de Toulouse, UPS, INPT, LAPLACE, 118 route de Narbonne, F-31062 Toulouse cedex 9 (France); Zanna, Sandrine; Marcus, Philippe [LPCS, UMR CNRS/ENSCP 7045, 11 rue P. et M. Curie, 75005 Paris (France); Mercier-Bonin, Muriel, E-mail: muriel.mercier-bonin@insa-toulouse.fr [Universite de Toulouse, INSA, UPS, INPT, LISBP, 135 Av. de Rangueil, F-31077 Toulouse (France)

    2009-11-15

    Composite thin films ({approx}170 nm) containing silver nanoclusters embedded in an organosilicon matrix were deposited by PE-CVD onto stainless steel in order to prevent microbial adhesion. The process originality relies on a dual strategy combining silver sputtering and simultaneous plasma polymerization in argon-hexamethyldisiloxane (HMDSO) plasma, using an asymmetrical RF glow discharge. The metal content in the film was controlled by varying the HMDSO flow rate. Investigation of the physico-chemical properties of the obtained films was conducted by X-ray photoelectron spectroscopy and transmission FTIR spectroscopy. Plasma-mediated coatings were composed of C, O, Si and Ag which was predominantly under metallic form, as indicated by XPS analysis. The presence of Si-H, Si-O-Si, Si-(CH){sub n}-Si and C-H groups was established by FTIR. The yeast Saccharomyces cerevisiae was selected as the model for eukaryotic microorganisms. The maximal anti-adhesive efficiency was achieved for the organosilicon matrix alone. When nanosilver was incorporated into the organic matrix, the efficiency was reduced, especially for high metal contents. Silver antimicrobial property was assumed to be related to Ag{sup +} progressive release from the embedded nanoparticles into the surrounding medium. This release was confirmed by ICP-MS measurements. Moreover, silver-containing film antifungal activity was observed towards sessile cells.

  8. Thermionic vacuum arc (TVA) technique for magnesium thin film deposition

    Energy Technology Data Exchange (ETDEWEB)

    Balbag, M.Z., E-mail: zbalbag@ogu.edu.t [Eskisehir Osmangazi University, Education Faculty, Primary Education, Meselik Campus, Eskisehir 26480 (Turkey); Pat, S.; Ozkan, M.; Ekem, N. [Eskisehir Osmangazi University, Art and Science Faculty, Physics Department, Eskisehir 26480 (Turkey); Musa, G. [Ovidius University, Physics Department, Constanta (Romania)

    2010-08-15

    In this study, magnesium thin films were deposited on glass substrate by the Thermionic Vacuum Arc (TVA) technique for the first time. We present a different technique for deposition of high-quality magnesium thin films. By means of this technique, the production of films is achieved by condensing the plasma of anode material generated using Thermionic Vacuum Arc (TVA) under high vacuum conditions onto the surface to be coated. The crystal orientation and morphology of the deposited films were investigated by using XRD, EDX, SEM and AFM. The aim of this study is to search the use of TVA technique to coat magnesium thin films and to determine some of the physical properties of the films generated. Furthermore, this study will contribute to the scientific studies which search the thin films of magnesium or the compounds containing magnesium. In future, this study will be preliminary work to entirely produce magnesium diboride (MgB{sub 2}) superconductor thin film with the TVA technique.

  9. Characterization of bioactive RGD peptide immobilized onto poly(acrylic acid) thin films by plasma polymerization

    Energy Technology Data Exchange (ETDEWEB)

    Seo, Hyun Suk; Ko, Yeong Mu; Shim, Jae Won [Department of Dental Materials, School of Dentistry, MRC Center, Chosun University, Gwangju (Korea, Republic of); Lim, Yun Kyong; Kook, Joong-Ki [Department of Oral Biochemistry, School of Dentistry, Chosun University, Gwangju (Korea, Republic of); Cho, Dong-Lyun [School of Applied Chemical Engineering and Center for Functional Nano Fine Chemicals, Chonnam National University, Gwangju (Korea, Republic of); Kim, Byung Hoon, E-mail: kim5055@chosun.ac.kr [Department of Dental Materials, School of Dentistry, MRC Center, Chosun University, Gwangju (Korea, Republic of)

    2010-11-01

    Plasma surface modification can be used to improve the surface properties of commercial pure Ti by creating functional groups to produce bioactive materials with different surface topography. In this study, a titanium surface was modified with acrylic acid (AA) using a plasma treatment and immobilized with bioactive arginine-glycine-aspartic acid (RGD) peptide, which may accelerate the tissue integration of bone implants. Both terminals containing the -NH{sub 2} of RGD peptide sequence and -COOH of poly(acrylic acid) (PAA) thin film were combined with a covalent bond in the presence of 1-ethyl-3-3-dimethylaminopropyl carbodiimide (EDC). The chemical structure and morphology of AA film and RGD immobilized surface were investigated by X-ray photoelectron spectroscopy (XPS), Fourier transform infrared (FT-IR), atomic force microscopy (AFM), and scanning electron microscopy (SEM). All chemical analysis showed full coverage of the Ti substrate with the PAA thin film containing COOH groups and the RGD peptide. The MC3T3-E1 cells were cultured on each specimen, and the cell alkaline phosphatase (ALP) activity were examined. The surface-immobilized RGD peptide has a significantly increased the ALP activity of MC3T3-E1 cells. These results suggest that the RGD peptide immobilization on the titanium surface has an effect on osteoblastic differentiation of MC3T3-E1 cells and potential use in osteo-conductive bone implants.

  10. In vitro behaviour of nanocrystalline silver-sputtered thin films

    International Nuclear Information System (INIS)

    Piedade, A P; Vieira, M T; Martins, A; Silva, F

    2007-01-01

    Silver thin films were deposited with different preferential orientations and special attention was paid to the bioreactivity of the surfaces. The study was essentially focused on the evaluation of the films by x-ray diffraction (XRD), atomic force microscopy (AFM), high-resolution transmission electron microscopy (HRTEM), electron probe microanalysis (EPMA) and contact angle measurements. The deposited thin films were characterized before and after immersion in S-enriched simulated human plasma in order to estimate the influence of the preferential crystallographic orientation on the in vitro behaviour. Silver thin films with and without (111) preferential crystallographic orientation were deposited by r.f. magnetron sputtering to yield nanocrystalline coatings, high compact structures, very hydrophobic surfaces and low roughness. These properties reduce the chemisorption of reactive species onto the film surface. The in vitro tests indicate that silver thin films can be used as coatings for biomaterials applications

  11. A comparative study of the physical properties of Sb{sub 2}S{sub 3} thin films treated with N{sub 2} AC plasma and thermal annealing in N{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Calixto-Rodriguez, M., E-mail: manuela@fis.unam.mx [Instituto de Ciencias Fisicas, Universidad Nacional Autonoma de Mexico, Apartado Postal 48-3, 62210, Cuernavaca, Morelos (Mexico); Martinez, H. [Instituto de Ciencias Fisicas, Universidad Nacional Autonoma de Mexico, Apartado Postal 48-3, 62210, Cuernavaca, Morelos (Mexico); Pena, Y. [Facultad de Ciencias Quimicas, Universidad Autonoma de Nuevo Leon, Pedro de Alba s/n, Cd. Universitaria, 66451, San Nicolas de los Garza, Nuevo Leon (Mexico); Flores, O. [Instituto de Ciencias Fisicas, Universidad Nacional Autonoma de Mexico, Apartado Postal 48-3, 62210, Cuernavaca, Morelos (Mexico); Esparza-Ponce, H.E. [Centro de Investigacion en Materiales Avanzados S.C., Laboratorio Nacional de Nanotecnologia, Miguel de Cervantes 120, Complejo Industrial Chihuahua, Chihuahua, Chihuahua 31109 (Mexico); Sanchez-Juarez, A.; Campos-Alvarez, J. [Centro de Investigacion en Energia, Universidad Nacional Autonoma de Mexico, Privada Xochicalco s/n, Col. Centro, 62580, Temixco, Morelos (Mexico); Reyes, P. [Facultad de Ciencias, Departamento de Fisica, Universidad Autonoma del Estado de Mexico, Instituto Literario 100, Col. Centro, 50000, Toluca, Estado de Mexico (Mexico)

    2010-02-01

    As-deposited antimony sulfide thin films prepared by chemical bath deposition were treated with nitrogen AC plasma and thermal annealing in nitrogen atmosphere. The as-deposited, plasma treated, and thermally annealed antimony sulfide thin films have been characterized by X-ray diffraction (XRD), energy dispersive X-ray spectroscopy, scanning electron microscopy, atomic force microscopy, UV-vis spectroscopy, and electrical measurements. The results have shown that post-deposition treatments modify the crystalline structure, the morphology, and the optoelectronic properties of Sb{sub 2}S{sub 3} thin films. X-ray diffraction studies showed that the crystallinity of the films was improved in both cases. Atomic force microscopy studies showed that the change in the film morphology depends on the post-deposition treatment used. Optical emission spectroscopy (OES) analysis revealed the plasma etching on the surface of the film, this fact was corroborated by the energy dispersive X-ray spectroscopy analysis. The optical band gap of the films (E{sub g}) decreased after post-deposition treatments (from 2.36 to 1.75 eV) due to the improvement in the grain sizes. The electrical resistivity of the Sb{sub 2}S{sub 3} thin films decreased from 10{sup 8} to 10{sup 6} {Omega}-cm after plasma treatments.

  12. Application of plasma silicon nitride to crystalline thin-film silicon solar cells. Paper

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, J.; Oberbeck, L.; Rinke, T.J.; Berge, C.; Bergmann, R.B.

    2002-07-01

    We use plasma-enhanced chemical vapour deposition to deposit silicon nitride (SiN{sub x}) films at low temperature(400 C) onto the front surface of two different types of crystalline thin-film Si solar cells. The silicon nitride acts as an excellent antireflection coating on Si and provides a very high degree of electronic surface passivation over a wide range of compositions, including near-stoichiometric and Si-rich SiN{sub x}. Application of stoichiometric SiN{sub x} to non-textured thin-film cells, epitaxially grown at low temperature by ion-assisted deposition onto a monocrystalline Si substrate, results in an open-circuit voltage of 622 mV, a short-circuit current density of 26.6 mA/cm{sup 2} and an efficiency of 12.7%. It is shown that the SiN{sub x}-passivated in-situ grown n{sup +}-emitter of this cell type allows to reach open-circuit voltages of up to 667 mV. Silicon-rich SiN{sub x} is applied to the phosphorus-diffused n{sup +}-emitter of a textured thin-film cell on a glass superstrate fabricated by layer-transfer. The emitter saturation current density of these cells is only 40-64 fA/cm{sup 2}, which allows for open-circuit voltages of up to 699 mV. An impressively high open-circuit voltage of 638 mV and a short-circuit current density of 32.0 mA/cm{sup 2} are obtained for a 25 {mu}m thick SiN{sub x}-passivated, random pyramid-textured transfer cell. A transfer cell efficiency of 15.3% is independently confirmed.

  13. Remote plasma sputtering of indium tin oxide thin films for large area flexible electronics

    International Nuclear Information System (INIS)

    Yeadon, A.D.; Wakeham, S.J.; Brown, H.L.; Thwaites, M.J.; Whiting, M.J.; Baker, M.A.

    2011-01-01

    Indium tin oxide (ITO) thin films with a specific resistivity of 3.5 × 10 −4 Ω cm and average visible light transmission (VLT) of 90% have been reactively sputtered onto A4 Polyethylene terephthalate (PET), glass and silicon substrates using a remote plasma sputtering system. This system offers independent control of the plasma density and the target power enabling the effect of the plasma on ITO properties to be studied. Characterization of ITO on glass and silicon has shown that increasing the plasma density gives rise to a decrease in the specific resistivity and an increase in the optical band gap of the ITO films. Samples deposited at plasma powers of 1.5 kW, 2.0 kW and 2.5 kW and optimized oxygen flow rates exhibited specific resistivity values of 3.8 × 10 −4 Ω cm, 3.7 × 10 −4 Ω cm and 3.5 × 10 −4 Ω cm and optical gaps of 3.48 eV, 3.51 eV and 3.78 eV respectively. The increase in plasma density also influenced the crystalline texture and the VLT increased from 70 to 95%, indicating that more oxygen is being incorporated into the growing film. It has been shown that the remote plasma sputter technique can be used in an in-line process to produce uniform ITO coatings on PET with specific resistivities of between 3.5 × 10 −4 and 4.5 × 10 −4 Ω cm and optical transmission of greater than 85% over substrate widths of up to 30 cm.

  14. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    Energy Technology Data Exchange (ETDEWEB)

    Sulyaeva, Veronica S., E-mail: veronica@niic.nsc.ru [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A. [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, Valerii G. [Laboratory of Physical Principles for Integrated Microelectronics, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kirienko, Viktor V. [Laboratory of Nonequilibrium Semiconductors Systems, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation)

    2014-05-02

    Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers (93%). • BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9.

  15. Plasma-based ion implantation: a valuable technology for the elaboration of innovative materials and nanostructured thin films

    International Nuclear Information System (INIS)

    Vempaire, D; Pelletier, J; Lacoste, A; Bechu, S; Sirou, J; Miraglia, S; Fruchart, D

    2005-01-01

    Plasma-based ion implantation (PBII), invented in 1987, can now be considered as a mature technology for thin film modification. After a brief recapitulation of the principle and physics of PBII, its advantages and disadvantages, as compared to conventional ion beam implantation, are listed and discussed. The elaboration of thin films and the modification of their functional properties by PBII have already been achieved in many fields, such as microelectronics (plasma doping/PLAD), biomaterials (surgical implants, bio- and blood-compatible materials), plastics (grafting, surface adhesion) and metallurgy (hard coatings, tribology), to name a few. The major advantages of PBII processing lie, on the one hand, in its flexibility in terms of ion implantation energy (from 0 to 100 keV) and operating conditions (plasma density, collisional or non-collisional ion sheath), and, on the other hand, in the easy transferrability of processes from the laboratory to industry. The possibility of modifying the composition and physical nature of the films, or of drastically changing their physical properties over several orders of magnitude makes this technology very attractive for the elaboration of innovative materials, including metastable materials, and the realization of micro- or nanostructures. A review of the state of the art in these domains is presented and illustrated through a few selected examples. The perspectives opened up by PBII processing, as well as its limitations, are discussed

  16. Sorptive thin film microextraction followed by direct solid state spectrofluorimetry: A simple, rapid and sensitive method for determination of carvedilol in human plasma.

    Science.gov (United States)

    Karimi, Shima; Talebpour, Zahra; Adib, Noushin

    2016-06-14

    A poly acrylate-ethylene glycol (PA-EG) thin film is introduced for the first time as a novel polar sorbent for sorptive extraction method coupled directly to solid-state spectrofluorimetry without the necessity of a desorption step. The structure, polarity, fluorescence property and extraction performance of the developed thin film were investigated systematically. Carvedilol was used as the model analyte to evaluate the proposed method. The entire procedure involved one-step extraction of carvedilol from plasma using PA-EG thin film sorptive phase without protein precipitation. Extraction variables were studied in order to establish the best experimental conditions. Optimum extraction conditions were the followings: stirring speed of 1000 rpm, pH of 6.8, extraction temperature of 60 °C, and extraction time of 60 min. Under optimal conditions, extraction of carvedilol was carried out in spiked human plasma; and the linear range of calibration curve was 15-300 ng mL(-1) with regression coefficient of 0.998. Limit of detection (LOD) for the method was 4.5 ng mL(-1). The intra- and inter-day accuracy and precision of the proposed method were evaluated in plasma sample spiked with three concentration levels of carvedilol; yielding a recovery of 91-112% and relative standard deviation of less than 8%, respectively. The established procedure was successfully applied for quantification of carvedilol in plasma sample of a volunteer patient. The developed PA-EG thin film sorptive phase followed by solid-state spectrofluorimetric method provides a simple, rapid and sensitive approach for the analysis of carvedilol in human plasma. Copyright © 2016 Elsevier B.V. All rights reserved.

  17. Photocatalytic Anatase TiO2 Thin Films on Polymer Optical Fiber Using Atmospheric-Pressure Plasma.

    Science.gov (United States)

    Baba, Kamal; Bulou, Simon; Choquet, Patrick; Boscher, Nicolas D

    2017-04-19

    Due to the undeniable industrial advantages of low-temperature atmospheric-pressure plasma processes, such as low cost, low temperature, easy implementation, and in-line process capabilities, they have become the most promising next-generation candidate system for replacing thermal chemical vapor deposition or wet chemical processes for the deposition of functional coatings. In the work detailed in this article, photocatalytic anatase TiO 2 thin films were deposited at a low temperature on polymer optical fibers using an atmospheric-pressure plasma process. This method overcomes the challenge of forming crystalline transition metal oxide coatings on polymer substrates by using a dry and up-scalable method. The careful selection of the plasma source and the titanium precursor, i.e., titanium ethoxide with a short alkoxy group, allowed the deposition of well-adherent, dense, and crystalline TiO 2 coatings at low substrate temperature. Raman and XRD investigations showed that the addition of oxygen to the precursor's carrier gas resulted in a further increase of the film's crystallinity. Furthermore, the films deposited in the presence of oxygen exhibited a better photocatalytic activity toward methylene blue degradation assumedly due to their higher amount of photoactive {101} facets.

  18. Enhanced mechanical properties of low-surface energy thin films by simultaneous plasma polymerization of fluorine and epoxy containing polymers

    Energy Technology Data Exchange (ETDEWEB)

    Karaman, Mustafa, E-mail: karamanm@selcuk.edu.tr [Department of Chemical Engineering, Selçuk University, Konya, 42075 (Turkey); Advanced Technology Research & Application Center, Selçuk University, Konya, 42075 (Turkey); Uçar, Tuba [Department of Chemical Engineering, Selçuk University, Konya, 42075 (Turkey)

    2016-01-30

    Graphical abstract: - Highlights: • Thin films of poly(hexafluorobutyl acrylate-glycidyl methacrylate) can be deposited by PECVD. • The coated surfaces are hydrophobic due to the long fluorinated side chains. • The hydrophobicity of the coating is observed to be stable under harsh conditions. • Film durability is attributed to the mechanical strength of the films due to their epoxide functionality. - Abstract: Thin films of poly(2,2,3,4,4,4 hexafluorobutyl acrylate-glycidyl methacrylate) (P(HFBA-GMA) were deposited on different surfaces using an inductively coupled RF plasma reactor. Fluorinated polymer was used to impart hydrophobicity, whereas epoxy polymer was used for improved durability. The deposition at a low plasma power and temperature was suitable for the functionalization of fragile surfaces such as textile fabrics. The coated rough textile surfaces were found to be superhydrophobic with water contact angles greater than 150° due to the high retention of long fluorinated side chains. The hydrophobicity of the surfaces was observed to be stable after many exposures to ultrasonification tests, which is attributed to the mechanical durability of the films due to their epoxide functionality. FTIR and XPS analyses of the deposited films confirmed that the epoxide functionality of the polymers increased with increasing glycidyl methacrylate fraction in the reactor inlet. The modulus and hardness values of the films also increase with increasing epoxide functionality.

  19. Experimental Results of Thin-Film Photovoltaic Cells in a Low Density LEO Plasma Environment: Ground Tests

    Science.gov (United States)

    Galofaro, Joel T.; Vayner, Boris V.

    2006-01-01

    Plasma ground testing results, conducted at the Glenn Research Center (GRC) National Plasma Interaction (N-PI) Facility, are presented for a number of thin-film photovoltaic cells. The cells represent a mix of promising new technologies identified by the Air Force Research Laboratory (AFRL) under the CYGNUS Space Science Technology Experiment (SSTE-4) Program. The current ground tests are aimed at characterizing the performance and survivability of thin film technologies in the harsh low earth orbital space environment where they will be flown. Measurements of parasitic current loss, charging/dielectric breakdown of cover-slide coatings and arcing threshold tests are performed for each individual cell. These measurements are followed by a series of experiments designed to test for catastrophic arc failure mechanisms. A special type of power supply, called a solar array simulator (SAS) with adjustable voltage and current limits on the supply s output, is employed to bias two adjacent cells at a predetermined voltage and current. The bias voltage is incrementally ramped up until a sustained arc results. Sustained arcs are precursors to catastrophic arc failure where the arc current rises to a maximum value for long timescales often ranging between 30 to 100 sec times. Normal arcs by comparison, are short lived events with a timescale between 10 to 30 sec. Sustained arcs lead to pyrolization with extreme cell damage and have been shown to cause the loss of entire array strings in solar arrays. The collected data will be used to evaluate the suitability of thin-film photovoltaic technologies for future space operations.

  20. Subtle Raman signals from nano-diamond and β-SiC thin films

    International Nuclear Information System (INIS)

    Kuntumalla, Mohan Kumar; Ojha, Harish; Srikanth, Vadali Venkata Satya Siva

    2013-01-01

    Micro Raman scattering experiments are carried out in pursuit of subtle but discernable signals from nano-diamond and β-SiC thin films. The thin films are synthesized using microwave plasma assisted chemical vapor deposition technique. Raman scattering experiments in conjunction with scanning electron microscopy and x-ray diffraction were carried out to extract microstructure and phase information of the above mentioned thin films. Certain subtle Raman signals have been identified in this work. In the case of nanodiamond thin films, Raman bands at ∼ 485 and ∼ 1220 cm −1 are identified. These bands have been assigned to the nanodiamond present in nanodiamond thin films. In the case of nano β-SiC thin films, optical phonons are identified using surface enhanced Raman scattering. - Highlights: ► Subtle Raman signals from nano-diamond and β-silicon carbide related thin films. ► Raman bands at ∼ 485 and ∼ 1220 cm −1 from nanodiamond thin films are identified. ► Longitudinal optical phonon from nano β-silicon carbide thin films is identified

  1. Multilayered metal oxide thin film gas sensors obtained by conventional and RF plasma-assisted laser ablation

    International Nuclear Information System (INIS)

    Mitu, B.; Marotta, V.; Orlando, S.

    2006-01-01

    Multilayered thin films of In 2 O 3 and SnO 2 have been deposited by conventional and RF plasma-assisted reactive pulsed laser ablation, with the aim to evaluate their behaviour as toxic gas sensors. The depositions have been carried out by a frequency doubled Nd-YAG laser (λ = 532 nm, τ = 7 ns) on Si(1 0 0) substrates, in O 2 atmosphere. The thin films have been characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM) and electrical resistance measurements. A comparison of the electrical response of the simple (indium oxide, tin oxide) and multilayered oxides to toxic gas (nitric oxide, NO) has been performed. The influence on the structural and electrical properties of the deposition parameters, such as substrate temperature and RF power is reported

  2. Deposition of organosilicone thin film from hexamethyldisiloxane (HMDSO) with 50 kHz/33 MHz dual-frequency atmospheric-pressure plasma jet

    Science.gov (United States)

    Li, Jiaojiao; Yuan, Qianghua; Chang, Xiaowei; Wang, Yong; Yin, Guiqin; Dong, Chenzhong

    2017-04-01

    The deposition of organosilicone thin films from hexamethyldisiloxane(HMDSO) by using a dual-frequency (50 kHz/33 MHz) atmospheric-pressure micro-plasma jet with an admixture of a small volume of HMDSO and Ar was investigated. The topography was measured by using scanning electron microscopy. The chemical bond and composition of these films were analyzed by Fourier transform infrared spectroscopy (FTIR) and x-ray photoelectron spectroscopy. The results indicated that the as-deposited film was constituted by silicon, carbon, and oxygen elements, and FTIR suggested the films are organosilicon with the organic component (-CH x ) and hydroxyl functional group(-OH) connected to the Si-O-Si backbone. Thin-film hardness was recorded by an MH-5-VM Digital Micro-Hardness Tester. Radio frequency power had a strong impact on film hardness and the hardness increased with increasing power.

  3. Modification of thin film properties by ion bombardment during deposition

    International Nuclear Information System (INIS)

    Harper, J.M.E.; Cuomo, J.J.; Gambino, R.J.; Kaufman, H.R.

    1984-01-01

    Many thin film deposition techniques involve some form of energetic particle bombardment of the growing film. The degree of bombardment greatly influences the film composition, structure and other properties. While in some techniques the degree of bombardment is secondary to the original process design, in recent years more deposition systems are being designed with the capability for controlled ion bombardment of thin films during deposition. The highest degree of control is obtained with ion beam sources which operate independently of the vapor source providing the thin film material. Other plasma techniques offer varying degrees of control of energetic particle bombardment. Deposition methods involving ion bombardment are described, and the basic processes with which film properties are modified by ion bombardment are summarized. (Auth.)

  4. Electroluminescence Spectrum Shift with Switching Behaviour of Diamond Thin Films

    Institute of Scientific and Technical Information of China (English)

    王小平; 王丽军; 张启仁; 姚宁; 张兵临

    2003-01-01

    We report a special phenomenon on switching behaviour and the electroluminescence (EL) spectrum shift of doped diamond thin films. Nitrogen and cerium doped diamond thin films were deposited on a silicon substrate by microwave plasma-assisted chemical vapour deposition system and other special techniques. An EL device with a three-layer structure of nitrogen doped diamond/cerium doped diamond/SiO2 thin films was made. The EL device was driven by a direct-current power supply. Its EL character has been investigated, and a switching behaviour was observed. The EL light emission colour of diamond films changes from yellow (590nm) to blue (454 nm) while the switching behaviour appears.

  5. Optical and electrical properties of chemical bath deposited cobalt sulphide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Govindasamy, Geetha [R& D Centre, Bharathiar University, Coimbatore (India); Murugasen, Priya, E-mail: priyamurugasen15@gmail.com [Department of Physics, Saveetha Engineering, Chennai, Tamil Nadu (India); Sagadevan, Suresh [Department of Physics, AMET University, Chennai, Tamil Nadu (India)

    2017-01-15

    Cobalt sulphide (CoS) thin films were synthesized using the Chemical Bath Deposition (CBD) technique. X-ray diffraction (XRD) analysis was used to study the structure and the crystallite size of CoS thin film. Scanning Electron Microscope (SEM) studies reveal the surface morphology of these films. The optical properties of the CoS thin films were determined using UV-Visible absorption spectrum. The optical band gap of the thin films was found to be 1.6 eV. Optical constants such as the refractive index, the extinction coefficient and the electric susceptibility were determined. The dielectric studies were carried out at different frequencies and at different temperatures for the prepared CoS thin films. In addition, the plasma energy of the valence electron, Penn gap or average energy gap, the Fermi energy and electronic polarizability of the thin films were determined. The AC electrical conductivity measurement was also carried out for the thin films. The activation energy was determined by using DC electrical conductivity measurement. (author)

  6. Influence of plasma pressure on the growth characteristics and ferroelectric properties of sputter-deposited PZT thin films

    International Nuclear Information System (INIS)

    Bose, A.; Maity, T.; Bysakh, S.; Seal, A.; Sen, Suchitra

    2010-01-01

    PZT thin films of thickness (320-1040) nm were synthesized on Si/SiO 2 /Ti/Pt multilayered substrates by radio frequency magnetron sputtering. The influence of plasma pressure in the range of (0.24-4.9) Pa, during deposition, on the structural, electrical and ferroelectric properties of the PZT films was systematically studied. X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM) and cross-sectional transmission electron microscopy (XTEM) were employed for structural study. Nano-probe Energy Dispersive (EDX) line scanning was employed to investigate the elemental distribution across the film-bottom electrode interface. I-V characteristics and polarization-electric field (P-E) hysteresis loop of the films were measured. The study reveals that the plasma pressure has a strong influence on the evolution and texture of the ferroelectric perovskite phase and microstructure of the films. At an optimum plasma pressure of 4.1 Pa, PZT films are grown with 93% perovskite phase with (1 1 1) preferred orientation and uniform granular microstructure. These films show a saturation polarization of 67 μC/cm 2 , remnant polarization of 30 μC/cm 2 and coercive field of 28 kV/cm which, according to the literature, seem to be suitable for device applications. Transmission electron microscopy (TEM) study shows that at a plasma pressure of 4.1 Pa, the PZT/bottom Pt interface is sharp and no amorphous interlayer is formed at the interface. At a higher plasma pressure of 4.9 Pa, poor I-V and P-E hysteresis loop are observed which are interpreted as due to an amorphous interlayer at the film-bottom electrode interface which is possibly enriched in Pb, Zr, O and Pt.

  7. Plasma polymerized high energy density dielectric films for capacitors

    Science.gov (United States)

    Yamagishi, F. G.

    1983-01-01

    High energy density polymeric dielectric films were prepared by plasma polymerization of a variety of gaseous monomers. This technique gives thin, reproducible, pinhole free, conformable, adherent, and insoluble coatings and overcomes the processing problems found in the preparation of thin films with bulk polymers. Thus, devices are prepared completely in a vacuum environment. The plasma polymerized films prepared all showed dielectric strengths of greater than 1000 kV/cm and in some cases values of greater than 4000 kV/cm were observed. The dielectric loss of all films was generally less than 1% at frequencies below 10 kHz, but this value increased at higher frequencies. All films were self healing. The dielectric strength was a function of the polymerization technique, whereas the dielectric constant varied with the structure of the starting material. Because of the thin films used (thickness in the submicron range) surface smoothness of the metal electrodes was found to be critical in obtaining high dielectric strengths. High dielectric strength graft copolymers were also prepared. Plasma polymerized ethane was found to be thermally stable up to 150 C in the presence of air and 250 C in the absence of air. No glass transitions were observed for this material.

  8. Shaping thin film growth and microstructure pathways via plasma and deposition energy: a detailed theoretical, computational and experimental analysis.

    Science.gov (United States)

    Sahu, Bibhuti Bhusan; Han, Jeon Geon; Kersten, Holger

    2017-02-15

    Understanding the science and engineering of thin films using plasma assisted deposition methods with controlled growth and microstructure is a key issue in modern nanotechnology, impacting both fundamental research and technological applications. Different plasma parameters like electrons, ions, radical species and neutrals play a critical role in nucleation and growth and the corresponding film microstructure as well as plasma-induced surface chemistry. The film microstructure is also closely associated with deposition energy which is controlled by electrons, ions, radical species and activated neutrals. The integrated studies on the fundamental physical properties that govern the plasmas seek to determine their structure and modification capabilities under specific experimental conditions. There is a requirement for identification, determination, and quantification of the surface activity of the species in the plasma. Here, we report a detailed study of hydrogenated amorphous and crystalline silicon (c-Si:H) processes to investigate the evolution of plasma parameters using a theoretical model. The deposition processes undertaken using a plasma enhanced chemical vapor deposition method are characterized by a reactive mixture of hydrogen and silane. Later, various contributions of energy fluxes on the substrate are considered and modeled to investigate their role in the growth of the microstructure of the deposited film. Numerous plasma diagnostic tools are used to compare the experimental data with the theoretical results. The film growth and microstructure are evaluated in light of deposition energy flux under different operating conditions.

  9. Plasma-assisted self-formation of nanotip arrays on the surface of Cu(In,Ga)Se{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Zimin, Sergey P.; Mokrov, Dmitry A. [Yaroslavl State University (Russian Federation); Gorlachev, Egor S.; Amirov, Ildar I.; Naumov, Viktor V. [Institute of Physics and Technology, Russian Academy of Sciences, Yaroslavl (Russian Federation); Gremenok, Valery F. [Scientific-Practical Materials Research Center, NAS of Belarus, Minsk (Belarus); Bente, Klaus [Applied Mineralogy, University Tuebingen (Germany); Kim, Woo Y. [Fusion Research Center, Hoseo University, Asan-City (Korea, Republic of)

    2017-06-15

    In this paper, we report on the phenomenon of nanostructure self-formation on the surface of Cu(In,Ga)Se{sub 2} (CIGS) thin films during inductively coupled argon plasma treatment with its duration varied from 10 to 120 s. The initial films were grown on glass substrates using the selenization technique. During the CIGS film surface treatment in the high-density low-pressure radio-frequency inductively coupled argon plasma there took place a formation of arrays of uniform vertical nanostructures, which shape with increasing processing duration changed from nanocones to nanorods and back to nanocones. A model of the nanotip plasma-assisted self-formation associated with the implementation of micromasking and vapor-liquid-solid mechanisms involving metallic In-Ga (In-Ga-Cu) liquid alloy droplets is proposed. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  10. Disilane as a growth rate catalyst of plasma deposited microcrystalline silicon thin films

    Science.gov (United States)

    Dimitrakellis, P.; Kalampounias, A. G.; Spiliopoulos, N.; Amanatides, E.; Mataras, D.; Lahootun, V.; Coeuret, F.; Madec, A.

    2016-07-01

    The effect of small disilane addition on the gas phase properties of silane-hydrogen plasmas and the microcrystalline silicon thin films growth is presented. The investigation was conducted in the high pressure regime and for constant power dissipation in the discharge with the support of plasma diagnostics, thin film studies and calculations of discharge microscopic parameters and gas dissociation rates. The experimental data and the calculations show a strong effect of disilane on the electrical properties of the discharge in the pressure window from 2 to 3 Torr that is followed by significant raise of the electron number density and the drop of the sheaths electric field intensity. Deposition rate measurements show an important four to six times increase even for disilane mole fractions as low as 0.3 %. The deposition rate enhancement was followed by a drop of the material crystalline volume fraction but films with crystallinity above 40 % were deposited with different combinations of total gas pressure, disilane and silane molar ratios. The enhancement was partly explained by the increase of the electron impact dissociation rate of silane which rises by 40% even for 0.1% disilane mole fraction. The calculations of the gas usage, the dissociation and the deposition efficiencies show that the beneficial effect on the growth rate is not just the result of the increase of Si-containing molecules density but significant changes on the species participating to the deposition and the mechanism of the film growth are caused by the disilane addition. The enhanced participation of the highly sticking to the surface radical such as disilylene, which is the main product of disilane dissociation, was considered as the most probable reason for the significant raise of the deposition efficiency. The catalytic effect of such type of radical on the surface reactivity of species with lower sticking probability is further discussed, while it is also used to explain the restricted

  11. Disilane as a growth rate catalyst of plasma deposited microcrystalline silicon thin films

    International Nuclear Information System (INIS)

    Dimitrakellis, P.; Amanatides, E.; Mataras, D.; Kalampounias, A. G.; Spiliopoulos, N.; Lahootun, V.; Coeuret, F.; Madec, A.

    2016-01-01

    The effect of small disilane addition on the gas phase properties of silane-hydrogen plasmas and the microcrystalline silicon thin films growth is presented. The investigation was conducted in the high pressure regime and for constant power dissipation in the discharge with the support of plasma diagnostics, thin film studies and calculations of discharge microscopic parameters and gas dissociation rates. The experimental data and the calculations show a strong effect of disilane on the electrical properties of the discharge in the pressure window from 2 to 3 Torr that is followed by significant raise of the electron number density and the drop of the sheaths electric field intensity. Deposition rate measurements show an important four to six times increase even for disilane mole fractions as low as 0.3 %. The deposition rate enhancement was followed by a drop of the material crystalline volume fraction but films with crystallinity above 40 % were deposited with different combinations of total gas pressure, disilane and silane molar ratios. The enhancement was partly explained by the increase of the electron impact dissociation rate of silane which rises by 40% even for 0.1% disilane mole fraction. The calculations of the gas usage, the dissociation and the deposition efficiencies show that the beneficial effect on the growth rate is not just the result of the increase of Si-containing molecules density but significant changes on the species participating to the deposition and the mechanism of the film growth are caused by the disilane addition. The enhanced participation of the highly sticking to the surface radical such as disilylene, which is the main product of disilane dissociation, was considered as the most probable reason for the significant raise of the deposition efficiency. The catalytic effect of such type of radical on the surface reactivity of species with lower sticking probability is further discussed, while it is also used to explain the restricted

  12. Relation between the plasma characteristics and physical properties of functional zinc oxide thin film prepared by radio frequency magnetron sputtering process

    International Nuclear Information System (INIS)

    Hsu, Che-Wei; Cheng, Tsung-Chieh; Huang, Wen-Hsien; Wu, Jong-Shinn; Cheng, Cheng-Chih; Cheng, Kai-Wen; Huang, Shih-Chiang

    2010-01-01

    The ZnO thin film was deposited on a glass substrate by a RF reactive magnetron sputtering method. Results showed that plasma density, electron temperature, deposition rate and estimated ion bombardment energy increase with increasing applied RF power. Three distinct power regimes were observed, which are strongly correlated with plasma properties. In the low-power regime, the largest grain size was observed due to slow deposition rate. In the medium-power regime, the smallest grain size was found, which is attributed to insufficient time for the adatoms to migrate on substrate surface. In the high-power regime, relatively larger grain size was found due to very large ion bombardment energy which enhances the thermal migration of adatoms. Regardless of pure ZnO thin film or ZnO on glass, high transmittance (> 80%) in the visible region can be generally observed. However, the film thickness plays a more important role for controlling optical properties, especially in the UV region, than the applied RF power. In general, with properly coated ZnO thin film, we can obtain a glass substrate which is highly transparent in the visible region, is of good anti-UV characteristics, and is highly hydrophobic, which is highly suitable for applications in the glass industry.

  13. Thin TiO2 films deposited by implantation and sputtering in RF inductively coupled plasmas

    International Nuclear Information System (INIS)

    Valencia-Alvarado, R; López-Callejas, R; Barocio, S R; Mercado-Cabrera, A; Peña-Eguiluz, R; Muñoz-Castro, A E; Rodríguez-Méndez, B G; De la Piedad-Beneitez, A; De la Rosa-Vázquez, J M

    2012-01-01

    The achievement of titanium dioxide (TiO 2 ) thin films in the rutile crystalline phase is reported. The samples result from the implantation of oxygen ions of Ti in argon/oxygen plasma generated by inductively coupled RF at a commercial 13.56 MHz frequency. Simultaneously, a sputtering process is conducted on the titanium target in order to produce TiO 2 thin films in the anatase phase over silicon and glass substrates. Both implantation and sputtering processes shared the same 500 W plasma with the target, polarized between 0 and -3 kV. The substrates were placed between 2 and 3 cm from the target, this distance being found to be determinant of the TiO 2 deposition rate. The rutile phase in the target was obtained at temperatures in the order of 680 degrees C and the anatase (unbiased) one at about 300 degrees C without any auxiliary heating. The crystalline phases were characterized by x ray diffraction and Raman spectroscopy. The morphology and average roughness were established by means of scanning electronic and atomic force microscopy, whereas the reaction products generated during the oxidation process were analyzed by mass spectrometry. Finally, the stoichiometric composition was measured by means of X-ray photoelectron spectroscopy.

  14. Infrared reflectance measurement for InN thin film characterization

    International Nuclear Information System (INIS)

    Fukui, K.; Kugumiya, Y.; Nakagawa, N.; Yamamoto, A.

    2006-01-01

    Infrared reflectance measurements of a series of InN thin films have been performed and attempt to derive carrier concentration and other physical constants for InN thin film characterization. Fitting calculations are performed by use of the dielectric function equation based on phonon-plasmon coupling model. Longitudinal and transverse optical phonon frequencies, plasma frequency and their damping parameters can be derived from fitting. From those results, electrical and phonon properties of InN and characterization of films are discussed. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Science.gov (United States)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  16. Infrared analysis of thin films amorphous, hydrogenated carbon on silicon

    CERN Document Server

    Jacob, W; Schwarz-Selinger, T

    2000-01-01

    The infrared analysis of thin films on a thick substrate is discussed using the example of plasma-deposited, amorphous, hydrogenated carbon layers (a-C:H) on silicon substrates. The framework for the optical analysis of thin films is presented. The main characteristic of thin film optics is the occurrence of interference effects due to the coherent superposition of light multiply reflected at the various internal and external interfaces of the optical system. These interference effects lead to a sinusoidal variation of the transmitted and reflected intensity. As a consequence, the Lambert-Beer law is not applicable for the determination of the absorption coefficient of thin films. Furthermore, observable changes of the transmission and reflection spectra occur in the vicinity of strong absorption bands due to the Kramers-Kronig relation. For a sound data evaluation these effects have to be included in the analysis. To be able to extract the full information contained in a measured optical thin film spectrum, ...

  17. Plasma etching of (Ba,Sr)TiO3 thin films using inductively coupled Cl2/Ar and BCl3/Cl2/Ar plasma

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2005-01-01

    BST thin films were etched with inductively coupled plasmas. A chemically assisted physical etch of BST was experimentally confirmed by ICP under various gas mixtures. After a 20% addition of BCl 3 to the Cl 2 /Ar mixture, resulting in an increased the chemical effect. As increases of RF power and substrate power, and decrease of working pressure, the ion energy flux and chlorine atoms density increased. The maximum etch rate of the BST thin films was 90.1 nm/min, and at the RF power, substrate power, and working pressure were 700 W, 300 W, and 1.6 Pa, respectively. It was proposed that sputter etching is dominant etching mechanism while the contribution of chemical reaction is relatively low due to low volatility of etching products

  18. Cathode and ion-luminescence of Eu:ZnO thin films prepared by reactive magnetron sputtering and plasma decomposition of non-volatile precursors

    Energy Technology Data Exchange (ETDEWEB)

    Gil-Rostra, Jorge [Instituto de Ciencia de Materiales de Sevilla, CSIC, Univ. Sevilla, C/Américo Vespucio 49, E-41092 Sevilla (Spain); Ferrer, Francisco J. [Centro Nacional de Aceleradores, CSIC, Univ. Sevilla, Av. Thomas A. Edison 7, E-41092 Sevilla (Spain); Martín, Inocencio R. [Departamento de Física Fundamental y Experimental, Electrónica y Sistemas, U. La Laguna, C/Astrofísico Francisco Sánchez s/n, E-38206 La Laguna, Santa Cruz de Tenerife (Spain); González-Elipe, Agustín R.; Yubero, Francisco [Instituto de Ciencia de Materiales de Sevilla, CSIC, Univ. Sevilla, C/Américo Vespucio 49, E-41092 Sevilla (Spain)

    2016-10-15

    This paper reports the luminescent behavior of Eu:ZnO thin films prepared by an one-step procedure that combines reactive magnetron sputtering deposition of ZnO with the plasma activated decomposition of a non-volatile acetylacetonate precursor of Eu sublimated in an effusion cell. Chemical composition and microstructure of the Eu:ZnO thin films have been characterized by several methods and their photo-, cathode- and ion-luminescent properties studied as a function of Eu concentration. The high transparency and well controlled optical properties of the films have demonstrated to be ideal for the development of cathode- and ion- luminescence sensors.

  19. Atomic force microscopy indentation of fluorocarbon thin films fabricated by plasma enhanced chemical deposition at low radio frequency power

    International Nuclear Information System (INIS)

    Sirghi, L.; Ruiz, A.; Colpo, P.; Rossi, F.

    2009-01-01

    Atomic force microscopy (AFM) indentation technique is used for characterization of mechanical properties of fluorocarbon (CF x ) thin films obtained from C 4 F 8 gas by plasma enhanced chemical vapour deposition at low r.f. power (5-30 W) and d.c. bias potential (10-80 V). This particular deposition method renders films with good hydrophobic property and high plastic compliance. Commercially available AFM probes with stiff cantilevers (10-20 N/m) and silicon sharpened tips (tip radius < 10 nm) are used for indentations and imaging of the resulted indentation imprints. Force depth curves and imprint characteristics are used for determination of film hardness, elasticity modulus and plasticity index. The measurements show that the decrease of the discharge power results in deposition of films with decreased hardness and stiffness and increased plasticity index. Nanolithography based on AFM indentation is demonstrated on thin films (thickness of 40 nm) with good plastic compliance.

  20. Solid thin film materials for use in thin film charge-coupled devices

    International Nuclear Information System (INIS)

    Lynch, S.J.

    1983-01-01

    Solid thin films deposited by vacuum deposition were evaluated to ascertain their effectiveness for use in the manufacturing of charge-coupled devices (CCDs). Optical and electrical characteristics of tellurium and Bi 2 Te 3 solid thin films were obtained in order to design and to simulate successfully the operation of thin film (TF) CCDs. In this article some of the material differences between single-crystal material and the island-structured thin film used in TFCCDs are discussed. The electrical parameters were obtained and tabulated, e.g. the mobility, conductivity, dielectric constants, permittivity, lifetime of holes and electrons in the thin films and drift diffusion constants. The optical parameters were also measured and analyzed. After the design was complete, experimental TFCCDs were manufactured and were successfully operated utilizing the aforementioned solid thin films. (Auth.)

  1. Visible-light photocatalytic activity of nitrided TiO2 thin films

    International Nuclear Information System (INIS)

    Camps, Enrique; Escobar-Alarcon, L.; Camacho-Lopez, Marco Antonio; Casados, Dora A. Solis

    2010-01-01

    TiO 2 thin films have been applied in UV-light photocatalysis. Nevertheless visible-light photocatalytic activity would make this material more attractive for applications. In this work we present results on the modification of titanium oxide (anatase) sol-gel thin films, via a nitriding process using a microwave plasma source. After the treatment in the nitrogen plasma, the nitrogen content in the TiO 2 films varied in the range from 14 up to 28 at%. The titanium oxide films and the nitrided ones were characterized by XPS, micro-Raman spectroscopy and UV-vis spectroscopy. Photocatalytic activity tests were done using a Methylene Blue dye solution, and as catalyst TiO 2 and nitrided TiO 2 films. The irradiation of films was carried out with a lamp with emission in the visible (without UV). The results showed that the nitrided TiO 2 films had photocatalytic activity, while the unnitrided films did not.

  2. Thin-film photovoltaic technology

    Energy Technology Data Exchange (ETDEWEB)

    Bhattacharya, R.N. [National Renewable Energy Laboratory, Golden, CO (United States)

    2010-07-01

    The high material and processing costs associated with single-crystal and polycrystalline silicon wafers that are commonly used in photovoltaic cells render these modules expensive. This presentation described thin-film solar cell technology as a promising alternative to silicon solar cell technology. Cadmium telluride (CdTe) thin films along with copper, indium, gallium, and selenium (CIGS) thin films have become the leaders in this field. Their large optical absorption coefficient can be attributed to a direct energy gap that allows the use of thin layers (1-2 {mu}m) of active material. The efficiency of thin-film solar cell devices based on CIGS is 20 per cent, compared to 16.7 per cent for thin-film solar cell devices based on CdTe. IBM recently reported an efficiency of 9.7 per cent for a new type of inorganic thin-film solar cell based on a Cu{sub 2}ZnSn(S, Se){sub 4} compound. The efficiency of an organic thin-film solar cell is 7.9 per cent. This presentation included a graph of PV device efficiencies and discussed technological advances in non-vacuum deposited, CIGS-based thin-film solar cells. 1 fig.

  3. Diagnostic of a Hollow Cathode Radio-Frequency Plasma Excited in Organosilicon HMDSO, used for Barrier Anti Corrosion Thin Films Deposition

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.

    2010-01-01

    In this work, remote hollow cathode RF plasma, generated from the monomer hexamethyledisiloxane (HMDSO), as a precursor, and argon as a feed gas, and the plasma mixture HMDSO/O 2 have been studied, as a function of different plasma parameters such as: RF applied power (100-300 W), HMDSO flow rate (2-32 sccm), time deposition (5-20 minutes), and oxygen fraction in HMDSO/O 2 mixture (0-0.9). Plasma diagnostic and prepared thin films characterization have been investigated. (author)

  4. Physical properties of chemically deposited Bi{sub 2}S{sub 3} thin films using two post-deposition treatments

    Energy Technology Data Exchange (ETDEWEB)

    Moreno-García, H., E-mail: hamog@ier.unam.mx [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico); Messina, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63155 Tepic, Nayarit (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Martínez, H. [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico)

    2014-08-30

    Highlights: • The post-deposition treatment by Ar plasma is a viable alternative to enhance the optical, electrical, morphological and structural properties of Bi{sub 2}S{sub 3} semiconductor thin films. • The plasma treatment avoids the loss in thickness of the chemically deposited Bi{sub 2}S{sub 3} thin films. • The E{sub g} values were 1.60 eV for the thermally annealed samples and 1.56 eV for the Ar plasma treated samples. • The highest value obtained for the electrical conductivity was 7.7 × 10{sup −2} (Ω cm){sup −1} in plasma treated samples. - Abstract: As-deposited bismuth sulfide (Bi{sub 2}S{sub 3}) thin films prepared by chemical bath deposition technique were treated with thermal annealed in air atmosphere and argon AC plasma. The as-deposited, thermally annealing and plasma treatment Bi{sub 2}S{sub 3} thin films have been characterized by X-ray diffraction (XRD) analysis, atomic force microscopy analysis (AFM), transmission, specular reflectance and electrical measurements. The structural, morphological, optical and electrical properties of the films are compared. The XRD analysis showed that both post-deposition treatments, transform the thin films from amorphous to a crystalline phase. The atomic force microscopy (AFM) measurement showed a reduction of roughness for the films treated in plasma. The energy band gap value of the as-prepared film was E{sub g} = 1.61 eV, while for the film thermally annealed was E{sub g} = 1.60 eV and E{sub g} = 1.56 eV for film treated with Plasma. The electrical conductivity under illumination of the as-prepared films was 3.6 × 10{sup −5} (Ω cm){sup −1}, whereas the conductivity value for the thermally annealed films was 2.0 × 10{sup −3} (Ω cm){sup −1} and for the plasma treated films the electrical conductivity increases up to 7.7 × 10{sup −2} (Ω cm){sup −1}.

  5. Diamondlike carbon deposition on plastic films by plasma source ion implantation

    CERN Document Server

    Tanaka, T; Shinohara, M; Takagi, T

    2002-01-01

    Application of pulsed high negative voltage (approx 10 mu s pulse width, 300-900 pulses per second) to a substrate is found to induce discharge, thereby increasing ion current with an inductively coupled plasma source. This plasma source ion beam implantation (PSII) technique is investigated for the pretreatment and deposition of diamond-like carbon (DLC) thin layer on polyethylene terepthalate (PET) film. Pretreatment of PET with N sub 2 and Ar plasma is expected to provide added barrier effects when coupled with DLC deposition, with possible application to fabrication of PET beverage bottles. PSII treatment using N sub 2 and Ar in separate stages is found to change the color of the PET film, effectively increasing near-ultraviolet absorption. The effects of this pretreatment on the chemical bonding of C, H, and O are examined by x-ray photoelectron spectroscopy (XPS). DLC thin film was successfully deposited on the PET film. The surface of the DLC thin layer is observed to be smooth by scanning electron mic...

  6. Thin film processes II

    CERN Document Server

    Kern, Werner

    1991-01-01

    This sequel to the 1978 classic, Thin Film Processes, gives a clear, practical exposition of important thin film deposition and etching processes that have not yet been adequately reviewed. It discusses selected processes in tutorial overviews with implementation guide lines and an introduction to the literature. Though edited to stand alone, when taken together, Thin Film Processes II and its predecessor present a thorough grounding in modern thin film techniques.Key Features* Provides an all-new sequel to the 1978 classic, Thin Film Processes* Introduces new topics, and sever

  7. Preparation of magnetic ODS-PAN thin-films for microextraction of quetiapine and clozapine in plasma and urine samples followed by HPLC-UV detection.

    Science.gov (United States)

    Li, Dan; Zou, Juan; Cai, Pei-Shan; Xiong, Chao-Mei; Ruan, Jin-Lan

    2016-06-05

    In this study, conventional thin-film microextraction (TFME) was endowed with magnetic by introducing superparamagnetic SiO2@Fe3O4 nanoparticles in thin-films. Novel magnetic octadecylsilane (ODS)-polyacrylonitrile (PAN) thin-films were prepared by spraying, and used for the microextraction of quetiapine and clozapine in plasma and urine samples, followed by the detection of HPLC-UV. The influencing factors on the extraction efficiency of magnetic ODS-PAN TFME, including pH, extraction time, desorption solvent, desorption time, and ion strength were investigated systematically. Under the optimal conditions, both analytes showed good linearity over ranges of 0.070-9.000μgmL(-1) and 0.012-9.000μgmL(-1) in plasma and urine samples, respectively, with correlation coefficients (R(2)) above 0.9990. Limits of detection (LODs) for quetiapine in plasma and urine samples were 0.013 and 0.003μgmL(-1), respectively. LODs for clozapine in plasma and urine samples were 0.015 and 0.003μgmL(-1), respectively. The relative standard deviations (RSDs) for quetiapine and clozapine were less than 9.23%. After the validation, the protocol was successfully applied for the determination of quetiapine and clozapine in patients' plasma and urine samples with satisfactory recoveries between 99-110%. The proposed magnetic ODS-PAN TFME was very simple, fast and easy to handle. It showed high potential as a powerful pretreatment technology for routine therapeutic drug monitoring (TDM) in plasma and urine samples. Copyright © 2016 Elsevier B.V. All rights reserved.

  8. Remote plasma deposition of textured zinc oxide with focus on thin film solar cell applications : material properties, plasma processes and film growth

    NARCIS (Netherlands)

    Groenen, R.

    2005-01-01

    Simultaneously possessing transparency in the visible region, close to that of insulators, and electrical conductivity, close to that of metals, transparent conducting oxide (TCO) thin films form a highly attractive class of materials for a wide variety of applications like thin film solar cells,

  9. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Hao [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States)]. E-mail: hao.jiang@wpafb.af.mil; Hong Lianggou [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States); Venkatasubramanian, N. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Grant, John T. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Eyink, Kurt [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Wiacek, Kevin [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Fries-Carr, Sandra [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Enlow, Jesse [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Bunning, Timothy J. [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States)

    2007-02-26

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant ({epsilon} {sub r}) and dielectric loss (tan {delta}) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F {sub b}) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F{sub b} of 610 V/{mu}m, an {epsilon} {sub r} of 3.07, and a tan {delta} of 7.0 x 10{sup -3} at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss.

  10. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    International Nuclear Information System (INIS)

    Jiang Hao; Hong Lianggou; Venkatasubramanian, N.; Grant, John T.; Eyink, Kurt; Wiacek, Kevin; Fries-Carr, Sandra; Enlow, Jesse; Bunning, Timothy J.

    2007-01-01

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant (ε r ) and dielectric loss (tan δ) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F b ) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F b of 610 V/μm, an ε r of 3.07, and a tan δ of 7.0 x 10 -3 at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss

  11. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Directory of Open Access Journals (Sweden)

    Chia-Man Chou

    2017-07-01

    Full Text Available We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD incorporated with radio-frequency (r.f.-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr. High oxygen vapor pressure (150 mTorr and low r.f. power (10 W are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  12. Investigation of oxygen plasma treatment on the device performance of solution-processed a-IGZO thin film transistors

    International Nuclear Information System (INIS)

    Pu, Haifeng; Zhou, Qianfei; Yue, Lan; Zhang, Qun

    2013-01-01

    We reported the impact of oxygen plasma treatment on solution-processed amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFTs). Plasma-treated devices showed higher mobility, larger on/off current ratio, but a monotonically increased SS with plasma treatment time as well. The phenomenon was mainly due to two components in oxygen plasma, atomic oxygen and O 2 + , according to the photoluminescence (PL) measurement. Atomic oxygen reacted with oxygen vacancies in channel layer resulting in an improved mobility, and O 2 + tends to aggregated at the surface acting as trapping states simultaneously. Our study suggests that moderate oxygen plasma treatment can be adopted to improve the device performance, while O 2 + should be eliminated to obtain good interfacial states.

  13. Effect of plasma immersion on crystallinity of V2O5 film grown by dc reactive sputtering at room temperature

    International Nuclear Information System (INIS)

    Choi, Sun Hee; Kim, Joosun; Yoon, Young Soo

    2005-01-01

    Vanadium oxide thin films were grown at room temperature by direct current reactive sputtering. To investigate the effect of plasma immersion on the crystallinity of as-grown film, we immersed samples in plasma during the deposition process. X-ray diffraction (XRD) measurements show that as-deposited thin films immersed in plasma are crystalline, whereas those not immersed in the plasma are amorphous. Images taken with scanning electron microscopy show that the surface of films exposed to plasma have a different morphology to the surface of films not exposed to plasma. The Li-intercalation feature of as-deposited films immersed in plasma shows the typical behavior of crystalline vanadium oxide; such behavior is unsuitable for the cathode of thin film batteries (TFBs). These results indicate that direct current plasma promotes the growth of crystalline vanadium oxide films

  14. Transparent conductive p-type lithium-doped nickel oxide thin films deposited by pulsed plasma deposition

    Science.gov (United States)

    Huang, Yanwei; Zhang, Qun; Xi, Junhua; Ji, Zhenguo

    2012-07-01

    Transparent p-type Li0.25Ni0.75O conductive thin films were prepared on conventional glass substrates by pulsed plasma deposition. The effects of substrate temperature and oxygen pressure on structural, electrical and optical properties of the films were investigated. The electrical resistivity decreases initially and increases subsequently as the substrate temperature increases. As the oxygen pressure increases, the electrical resistivity decreases monotonically. The possible physical mechanism was discussed. And a hetero p-n junction of p-Li0.25Ni0.75O/n-SnO2:W was fabricated by depositing n-SnO2:W on top of the p-Li0.25Ni0.75O, which exhibits typical rectifying current-voltage characteristics.

  15. Transparent conductive p-type lithium-doped nickel oxide thin films deposited by pulsed plasma deposition

    International Nuclear Information System (INIS)

    Huang Yanwei; Zhang Qun; Xi Junhua; Ji Zhenguo

    2012-01-01

    Transparent p-type Li 0.25 Ni 0.75 O conductive thin films were prepared on conventional glass substrates by pulsed plasma deposition. The effects of substrate temperature and oxygen pressure on structural, electrical and optical properties of the films were investigated. The electrical resistivity decreases initially and increases subsequently as the substrate temperature increases. As the oxygen pressure increases, the electrical resistivity decreases monotonically. The possible physical mechanism was discussed. And a hetero p-n junction of p-Li 0.25 Ni 0.75 O/n-SnO 2 :W was fabricated by depositing n-SnO 2 :W on top of the p-Li 0.25 Ni 0.75 O, which exhibits typical rectifying current-voltage characteristics.

  16. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    International Nuclear Information System (INIS)

    Naddaf, M; Saloum, S; Hamadeh, H

    2007-01-01

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 deg. C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups

  17. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    Science.gov (United States)

    Naddaf, M.; Saloum, S.; Hamadeh, H.

    2007-07-01

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 °C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups.

  18. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M; Saloum, S; Hamadeh, H [Department of Physics, Atomic Energy Commission of Syria (AECS), PO Box 6091, Damascus (Syrian Arab Republic)

    2007-07-07

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 deg. C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups.

  19. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.; Hamadeh, H.

    2008-01-01

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 deg. C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups. (Authors)

  20. Characterization of diamond-like nanocomposite thin films grown by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Santra, T. S.; Liu, C. H.; Bhattacharyya, T. K.; Patel, P.; Barik, T. K.

    2010-01-01

    Diamond-like nanocomposite (DLN) thin films, comprising the networks of a-C:H and a-Si:O were deposited on pyrex glass or silicon substrate using gas precursors (e.g., hexamethyldisilane, hexamethyldisiloxane, hexamethyldisilazane, or their different combinations) mixed with argon gas, by plasma enhanced chemical vapor deposition technique. Surface morphology of DLN films was analyzed by atomic force microscopy. High-resolution transmission electron microscopic result shows that the films contain nanoparticles within the amorphous structure. Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, and x-ray photoelectron spectroscopy (XPS) were used to determine the structural change within the DLN films. The hardness and friction coefficient of the films were measured by nanoindentation and scratch test techniques, respectively. FTIR and XPS studies show the presence of C-C, C-H, Si-C, and Si-H bonds in the a-C:H and a-Si:O networks. Using Raman spectroscopy, we also found that the hardness of the DLN films varies with the intensity ratio I D /I G . Finally, we observed that the DLN films has a better performance compared to DLC, when it comes to properties like high hardness, high modulus of elasticity, low surface roughness and low friction coefficient. These characteristics are the critical components in microelectromechanical systems (MEMS) and emerging nanoelectromechanical systems (NEMS).

  1. Pyrolyzed thin film carbon

    Science.gov (United States)

    Tai, Yu-Chong (Inventor); Liger, Matthieu (Inventor); Harder, Theodore (Inventor); Konishi, Satoshi (Inventor); Miserendino, Scott (Inventor)

    2010-01-01

    A method of making carbon thin films comprises depositing a catalyst on a substrate, depositing a hydrocarbon in contact with the catalyst and pyrolyzing the hydrocarbon. A method of controlling a carbon thin film density comprises etching a cavity into a substrate, depositing a hydrocarbon into the cavity, and pyrolyzing the hydrocarbon while in the cavity to form a carbon thin film. Controlling a carbon thin film density is achieved by changing the volume of the cavity. Methods of making carbon containing patterned structures are also provided. Carbon thin films and carbon containing patterned structures can be used in NEMS, MEMS, liquid chromatography, and sensor devices.

  2. thin films

    Indian Academy of Sciences (India)

    microscopy (SEM) studies, respectively. The Fourier transform ... Thin films; chemical synthesis; hydrous tin oxide; FTIR; electrical properties. 1. Introduction ... dehydrogenation of organic compounds (Hattori et al 1987). .... SEM images of (a) bare stainless steel and (b) SnO2:H2O thin film on stainless steel substrate at a ...

  3. Nanostructure and optical properties of CeO{sub 2} thin films obtained by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Barreca, D.; Bruno, G.; Gasparotto, A.; Losurdo, M.; Tondello, E

    2003-12-15

    In the present study, Spectroscopic Ellipsometry (SE) is used to investigate the interrelations between nanostructure and optical properties of CeO{sub 2} thin films deposited by Plasma-Enhanced Chemical Vapor Deposition (PE-CVD). The layers were synthesized in Ar and Ar-O{sub 2} plasmas on Si(100) substrates at temperatures lower than 300 deg. C. Both the real and imaginary parts of the complex dielectric functions and, subsequently, the optical constants of the films are derived up to 6.0 eV photon energy. Particular attention is devoted to the influence of synthesis conditions and sample properties on the optical response, taking into account the effects of surface roughness and SiO{sub 2} interface layer on Si.

  4. Plasma-assisted MOCVD growth of superconducting NbN thin films using Nb dialkylamide and Nb alkylimide precursors

    International Nuclear Information System (INIS)

    Liu Xiang; Ott, A.W.; Chang, R.P.H.; Babcok, J.R.; Belot, J.A.; Metz, M.V.; Marks, T.J.; Lane, M.A.; Kannewurf, C.R.

    2001-01-01

    The first example of the use of metal-organic precursors for depositing NbN superconducting thin films is described. The study, which employed niobium(IV) and niobium(V) pulsed source precursors and hydrazine plasma as the nitrogen source, indicates that T c is highly dependent on the lattice parameters and level of oxygen impurities, which are in turn governed by growth and post-deposition annealing temperatures. (orig.)

  5. Conformal Thin Film Packaging for SiC Sensor Circuits in Harsh Environments

    Science.gov (United States)

    Scardelletti, Maximilian C.; Karnick, David A.; Ponchak, George E.; Zorman, Christian A.

    2011-01-01

    In this investigation sputtered silicon carbide annealed at 300 C for one hour is used as a conformal thin film package. A RF magnetron sputterer was used to deposit 500 nm silicon carbide films on gold metal structures on alumina wafers. To determine the reliability and resistance to immersion in harsh environments, samples were submerged in gold etchant for 24 hours, in BOE for 24 hours, and in an O2 plasma etch for one hour. The adhesion strength of the thin film was measured by a pull test before and after the chemical immersion, which indicated that the film has an adhesion strength better than 10(exp 8) N/m2; this is similar to the adhesion of the gold layer to the alumina wafer. MIM capacitors are used to determine the dielectric constant, which is dependent on the SiC anneal temperature. Finally, to demonstrate that the SiC, conformal, thin film may be used to package RF circuits and sensors, an LC resonator circuit was fabricated and tested with and without the conformal SiC thin film packaging. The results indicate that the SiC coating adds no appreciable degradation to the circuits RF performance. Index Terms Sputter, silicon carbide, MIM capacitors, LC resonators, gold etchants, BOE, O2 plasma

  6. Structure and optical band-gap energies of Ba0.5Sr0.5TiO3 thin films fabricated by RF magnetron plasma sputtering

    International Nuclear Information System (INIS)

    Xu, Zhimou; Suzuki, Masato; Yokoyama, Shin

    2005-01-01

    The structure and optical band-gap energies of Ba 0.5 Sr 0.5 TiO 3 (BST0.5) thin films prepared on SiO 2 /Si and fused quartz substrates by RF magnetron plasma sputtering were studied in terms of deposition temperature and film thickness. Highly (100)-oriented BST0.5 thin films were successfully sputtered on a Si substrate with an approximately 1.0-μm-thick SiO 2 layer at a deposition temperature of above 450degC. The optical transmittance of BST0.5 thin films weakly depended on the magnitude of X-ray diffraction (XRD) peak intensity. This is very helpful for monolithic integration of BST0.5 films for electrooptical functions directly onto a SiO 2 /Si substrate. The band-gap energies showed a strong dependence on the deposition temperature and film thickness. It was mainly related to the quantum size effect and the influence of the crystallinity of thin films, such as grain boundaries, grain size, oriented growth, and the existence of an amorphous phase. The band-gap energy values, which were much larger than those of single crystals, decreased with the increase in the deposition temperature and the thickness of BST0.5 thin films. The band-gap energy of 311-nm-thick amorphous BST0.5 thin film was about 4.45 eV and that of (100)-oriented BST0.5 thin film with a thickness of 447 nm was about 3.89 eV. It is believed that the dependence of the band-gap energies of the thin films on the crystallinity for various values of deposition temperature and film thickness means that there could be application in integrated optical devices. (author)

  7. Performance Improvement of Microcrystalline p-SiC/i-Si/n-Si Thin Film Solar Cells by Using Laser-Assisted Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Hsin-Ying Lee

    2014-01-01

    Full Text Available The microcrystalline p-SiC/i-Si/n-Si thin film solar cells treated with hydrogen plasma were fabricated at low temperature using a CO2 laser-assisted plasma enhanced chemical vapor deposition (LAPECVD system. According to the micro-Raman results, the i-Si films shifted from 482 cm−1 to 512 cm−1 as the assisting laser power increased from 0 W to 80 W, which indicated a gradual transformation from amorphous to crystalline Si. From X-ray diffraction (XRD results, the microcrystalline i-Si films with (111, (220, and (311 diffraction were obtained. Compared with the Si-based thin film solar cells deposited without laser assistance, the short-circuit current density and the power conversion efficiency of the solar cells with assisting laser power of 80 W were improved from 14.38 mA/cm2 to 18.16 mA/cm2 and from 6.89% to 8.58%, respectively.

  8. Thin film silicon photovoltaics: Architectural perspectives and technological issues

    Energy Technology Data Exchange (ETDEWEB)

    Mercaldo, Lucia Vittoria; Addonizio, Maria Luisa; Noce, Marco Della; Veneri, Paola Delli; Scognamiglio, Alessandra; Privato, Carlo [ENEA, Portici Research Center, Piazzale E. Fermi, 80055 Portici (Napoli) (Italy)

    2009-10-15

    Thin film photovoltaics is a particularly attractive technology for building integration. In this paper, we present our analysis on architectural issues and technological developments of thin film silicon photovoltaics. In particular, we focus on our activities related to transparent and conductive oxide (TCO) and thin film amorphous and microcrystalline silicon solar cells. The research on TCO films is mainly dedicated to large-area deposition of zinc oxide (ZnO) by low pressure-metallorganic chemical vapor deposition. ZnO material, with a low sheet resistance (<8 {omega}/sq) and with an excellent transmittance (>82%) in the whole wavelength range of photovoltaic interest, has been obtained. ''Micromorph'' tandem devices, consisting of an amorphous silicon top cell and a microcrystalline silicon bottom cell, are fabricated by using the very high frequency plasma enhanced chemical vapor deposition technique. An initial efficiency of 11.1% (>10% stabilized) has been obtained. (author)

  9. Thin Film Microbatteries

    International Nuclear Information System (INIS)

    Dudney, Nancy J.

    2008-01-01

    Thin film batteries are built layer by layer by vapor deposition. The resulting battery is formed of parallel plates, much as an ordinary battery construction, just much thinner. The figure (Fig. 1) shows an example of a thin film battery layout where films are deposited symmetrically onto both sides of a supporting substrate. The full stack of films is only 10 to 15 (micro)m thick, but including the support at least doubles the overall battery thickness. When the support is thin, the entire battery can be flexible. At least six companies have commercialized or are very close to commercializing such all-solid-state thin film batteries and market research predicts a growing market and a variety of applications including sensors, RFID tags, and smarter cards. In principle with a large deposition system, a thin film battery might cover a square meter, but in practice, most development is targeting individual cells with active areas less than 25 cm 2 . For very small battery areas, 2 , microfabrication processes have been developed. Typically the assembled batteries have capacities from 0.1 to 5 mAh. The operation of a thin film battery is depicted in the schematic diagram (Fig. 2). Very simply, when the battery is allowed to discharge, a Li + ion migrates from the anode to the cathode film by diffusing through the solid electrolyte. When the anode and cathode reactions are reversible, as for an intercalation compound or alloy, the battery can be recharged by reversing the current. The difference in the electrochemical potential of the lithium determines the cell voltage. Most of the thin films used in current commercial variations of this thin film battery are deposited in vacuum chambers by RF and DC magnetron sputtering and by thermal evaporation onto unheated substrates. In addition, many publications report exploring a variety of other physical and chemical vapor deposition processes, such as pulsed laser deposition, electron cyclotron resonance sputtering, and

  10. Decomposition of poly(amide-imide) film enameled on solid copper wire using atmospheric pressure non-equilibrium plasma.

    Science.gov (United States)

    Sugiyama, Kazuo; Suzuki, Katsunori; Kuwasima, Shusuke; Aoki, Yosuke; Yajima, Tatsuhiko

    2009-01-01

    The decomposition of a poly(amide-imide) thin film coated on a solid copper wire was attempted using atmospheric pressure non-equilibrium plasma. The plasma was produced by applying microwave power to an electrically conductive material in a gas mixture of argon, oxygen, and hydrogen. The poly(amide-imide) thin film was easily decomposed by argon-oxygen mixed gas plasma and an oxidized copper surface was obtained. The reduction of the oxidized surface with argon-hydrogen mixed gas plasma rapidly yielded a metallic copper surface. A continuous plasma heat-treatment process using a combination of both the argon-oxygen plasma and argon-hydrogen plasma was found to be suitable for the decomposition of the poly(amide-imide) thin film coated on the solid copper wire.

  11. Structural, Optical, and Electrical Characterization of β-Ga2O3 Thin Films Grown by Plasma-Assisted Molecular Beam Epitaxy Suitable for UV Sensing

    Directory of Open Access Journals (Sweden)

    Abraham Arias

    2018-01-01

    Full Text Available β-Ga2O3 thin films were grown on c-plane sapphire substrates by plasma-assisted molecular beam epitaxy. The films were grown using an elemental gallium source and oxygen supplied by an RF plasma source. Reflection high-energy electron diffraction (RHEED was used to monitor the surface quality in real time. Both in situ RHEED and ex situ X-ray diffraction confirmed the formation of single crystal β-phase films with excellent crystallinity on c-plane sapphire. Spectroscopic ellipsometry was used to determine the film thicknesses, giving values in the 11.6–18.8 nm range and the refractive index dispersion curves. UV-Vis transmittance measurements revealed that strong absorption of β-Ga2O3 starts at ∼270 nm. Top metal contacts were deposited by thermal evaporation for I-V characterization, which has been carried out in dark, as well as under visible and UV light illumination. The optical and electrical measurements showed that the grown thin films of β-Ga2O3 are excellent candidates for deep-ultraviolet detection and sensing.

  12. Influence of plasma density on the chemical composition and structural properties of pulsed laser deposited TiAlN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Quiñones-Galván, J. G.; Camps, Enrique [Departamento de Física, Instituto Nacional de Investigaciones Nucleares, Apartado Postal 18-1027, México D.F. C.P. 11801 (Mexico); Muhl, S. [Instituto de Investigaciones en Materiales, UNAM, México D.F. C.P. 04510 (Mexico); Flores, M. [Departamento de Ingeniería de Proyectos, CUCEI, Universidad de Guadalajara, Apdo. Postal 307, C.P. 45101 Zapopan, Jalisco (Mexico); Campos-González, E. [Departamento de Física, CINVESTAV-IPN, Apdo. Postal 14-740, México D.F. 07360 (Mexico)

    2014-05-15

    Incorporation of substitutional Al into the TiN lattice of the ternary alloy TiAlN results in a material with improved properties compared to TiN. In this work, TiAlN thin films were grown by the simultaneous ablation of Ti and Al targets in a nitrogen containing reactive atmosphere. The deposit was formed on silicon substrates at low deposition temperature (200 °C). The dependence of the Al content of the films was studied as a function of the ion density of the plasma produced by the laser ablation of the Al target. The plasma parameters were measured by means of a planar Langmuir probe and optical emission spectroscopy. The chemical composition of the films was measured by energy dispersive X-ray spectroscopy. The results showed a strong dependence of the amount of aluminum incorporated in the films with the plasma density. The structural characterization of the deposits was carried out by Raman spectroscopy, X-ray diffraction, and transmission electron microscopy, where the substitutional incorporation of the Al into the TiN was demonstrated.

  13. TiCN thin films grown by reactive crossed beam pulsed laser deposition

    Science.gov (United States)

    Escobar-Alarcón, L.; Camps, E.; Romero, S.; Muhl, S.; Camps, I.; Haro-Poniatowski, E.

    2010-12-01

    In this work, we used a crossed plasma configuration where the ablation of two different targets in a reactive atmosphere was performed to prepare nanocrystalline thin films of ternary compounds. In order to assess this alternative deposition configuration, titanium carbonitride (TiCN) thin films were deposited. Two crossed plasmas were produced by simultaneously ablating titanium and graphite targets in an Ar/N2 atmosphere. Films were deposited at room temperature onto Si (100) and AISI 4140 steel substrates whilst keeping the ablation conditions of the Ti target constant. By varying the laser fluence on the carbon target it was possible to study the effect of the carbon plasma on the characteristics of the deposited TiCN films. The structure and composition of the films were analyzed by X-ray Diffraction, Raman Spectroscopy and non-Rutherford Backscattering Spectroscopy. The hardness and elastic modulus of the films was also measured by nanoindentation. In general, the experimental results showed that the TiCN thin films were highly oriented in the (111) crystallographic direction with crystallite sizes as small as 6.0 nm. It was found that the hardness increased as the laser fluence was increased, reaching a maximum value of about 33 GPa and an elastic modulus of 244 GPa. With the proposed configuration, the carbon content could be easily varied from 42 to 5 at.% by changing the laser fluence on the carbon target.

  14. Osteoblast Adhesion on Cathodic Arc Plasma Deposited Nano-Multilayered TiCrAlSiN Thin Films

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Sun Kyu [University of Ulsan, Ulsan (Korea, Republic of); Pham, Vuong Hung [Hanoi University of Science and Technology (HUST), Hanoi (Viet Nam)

    2014-03-15

    Adhesion of osteoblast cells to TiCrAlSiN thin films was evaluated in vitro. Ti and TiCrAlSiN thin films were deposited on glass substrates by cathodic arc deposition. Surface roughness and chemistry of the TiCrAlSiN thin films was characterized by AFM and EPMA, respectively. Ti and TiCrAlSiN thin films and glass coverslips were cultured with human osteoblast cells (hFOB 1.19). The cell cytoskeleton was analyzed by observing the organization of actin stress fibers and microtubules. Cell proliferation was investigated by MTT assay and visualization. Focal contact adhesion was studied by observing the vinculin density. The results indicated that the TiCrAlSiN coating significantly influenced the actin cytoskeleton and microtubule organization. Human osteoblasts hFOB attached and proliferated better on TiCrAlSiN thin films with more focal contact adhesions than on Ti thin films or glass surfaces. These results suggest that TiCrAlSiN thin films can be an implantable material where the maximum cell adhesion is required.

  15. Wafer scale nano-membrane supported on a silicon microsieve using thin-film transfer technology

    NARCIS (Netherlands)

    Unnikrishnan, S.; Jansen, Henricus V.; Berenschot, Johan W.; Elwenspoek, Michael Curt

    A new micromachining method to fabricate wafer scale nano-membranes is described. The delicate thin-film nano-membrane is supported on a robust silicon microsieve fabricated by plasma etching. The silicon sieve is micromachined independently of the thin-film, which is later transferred onto it by

  16. Realization of write-once-read-many-times memory device with O{sub 2} plasma-treated indium gallium zinc oxide thin film

    Energy Technology Data Exchange (ETDEWEB)

    Liu, P., E-mail: liup0013@ntu.edu.sg; Chen, T. P., E-mail: echentp@ntu.edu.sg; Li, X. D.; Wong, J. I. [School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Liu, Z. [School of Materials and Energy, Guangdong University of Technology, Guangzhou 510006 (China); Liu, Y. [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu, Sichuan 610054 (China); Leong, K. C. [GLOBALFOUNDRIES Singapore Pte Ltd, 60 Woodlands Industrial Park D Street 2, Singapore 738406 (Singapore)

    2014-01-20

    A write-once-read-many-times (WORM) memory devices based on O{sub 2} plasma-treated indium gallium zinc oxide (IGZO) thin films has been demonstrated. The device has a simple Al/IGZO/Al structure. The device has a normally OFF state with a very high resistance (e.g., the resistance at 2 V is ∼10{sup 9} Ω for a device with the radius of 50 μm) as a result of the O{sub 2} plasma treatment on the IGZO thin films. The device could be switched to an ON state with a low resistance (e.g., the resistance at 2 V is ∼10{sup 3} Ω for the radius of 50 μm) by applying a voltage pulse (e.g., 10 V/1 μs). The WORM device has good data-retention and reading-endurance capabilities.

  17. Experimental and ab initio investigations on textured Li–Mn–O spinel thin film cathodes

    Energy Technology Data Exchange (ETDEWEB)

    Fischer, J., E-mail: Julian.Fischer@kit.edu [Karlsruhe Institute of Technology (KIT), Institute for Applied Materials (IAM), Hermann-von-Helmholtz-Platz 1, 76344 Eggenstein-Leopoldshafen (Germany); Music, D. [RWTH Aachen University, Materials Chemistry, Kopernikusstrasse 10, 52074 Aachen (Germany); Bergfeldt, T.; Ziebert, C.; Ulrich, S.; Seifert, H.J. [Karlsruhe Institute of Technology (KIT), Institute for Applied Materials (IAM), Hermann-von-Helmholtz-Platz 1, 76344 Eggenstein-Leopoldshafen (Germany)

    2014-12-01

    This paper describes the tailored preparation of nearly identical lithium–manganese–oxide thin film cathodes with different global grain orientations. The thin films were synthesized by rf magnetron sputtering from a LiMn{sub 2}O{sub 4}-target in a pure argon plasma. Under appropriate processing conditions, thin films with a cubic spinel structure and a nearly similar density and surface topography but different grain orientation, i.e. (111)- and (440)-textured films, were achieved. The chemical composition was determined by inductively coupled plasma optical emission spectroscopy and carrier gas hot extraction. The constitution- and microstructure were evaluated by X-ray diffraction and Raman spectroscopy. The surface morphology and roughness were investigated by scanning electron and atomic force microscopy. The differently textured films represent an ideal model system for studying potential effects of grain orientation on the lithium ion diffusion and electrochemical behavior in LiMn{sub 2}O{sub 4}-based thin films. They are nearly identical in their chemical composition, atomic bonding behavior, surface-roughness, morphology and thickness. Our initial ab initio molecular dynamics data indicate that Li ion transport is faster in (111)-textured structure than in (440)-textured one. - Highlights: • Thin film model system of differently textured cubic Li–Mn–O spinels. • Investigation of the Li–Mn–O thin film mass density by X-ray reflectivity. • Ab initio molecular dynamics simulation on Li ion diffusion in LiMn{sub 2}O{sub 4}.

  18. Experimental and ab initio investigations on textured Li–Mn–O spinel thin film cathodes

    International Nuclear Information System (INIS)

    Fischer, J.; Music, D.; Bergfeldt, T.; Ziebert, C.; Ulrich, S.; Seifert, H.J.

    2014-01-01

    This paper describes the tailored preparation of nearly identical lithium–manganese–oxide thin film cathodes with different global grain orientations. The thin films were synthesized by rf magnetron sputtering from a LiMn 2 O 4 -target in a pure argon plasma. Under appropriate processing conditions, thin films with a cubic spinel structure and a nearly similar density and surface topography but different grain orientation, i.e. (111)- and (440)-textured films, were achieved. The chemical composition was determined by inductively coupled plasma optical emission spectroscopy and carrier gas hot extraction. The constitution- and microstructure were evaluated by X-ray diffraction and Raman spectroscopy. The surface morphology and roughness were investigated by scanning electron and atomic force microscopy. The differently textured films represent an ideal model system for studying potential effects of grain orientation on the lithium ion diffusion and electrochemical behavior in LiMn 2 O 4 -based thin films. They are nearly identical in their chemical composition, atomic bonding behavior, surface-roughness, morphology and thickness. Our initial ab initio molecular dynamics data indicate that Li ion transport is faster in (111)-textured structure than in (440)-textured one. - Highlights: • Thin film model system of differently textured cubic Li–Mn–O spinels. • Investigation of the Li–Mn–O thin film mass density by X-ray reflectivity. • Ab initio molecular dynamics simulation on Li ion diffusion in LiMn 2 O 4

  19. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2009-01-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyldisiloxane (HMDSO)/O 2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions (χ0 2 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (∼one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O 2 mixtures exhibit two separated green-blue and yellow-green PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm -1 ) in the spectral range of their PL emission, attractive for possible integrated optics devices. (authors)

  20. Thin-Film Coated Plastic Wrap for Food Packaging

    Directory of Open Access Journals (Sweden)

    Hsin-Yu Wu

    2017-07-01

    Full Text Available In this study, the antimicrobial property and food package capability of polymethylpentene (PMP substrate with silicon oxdie (SiOx and organic silicon (SiCxHy stacked layers deposited by an inductively coupled plasma chemical vapor deposition system were investigated. The experimental results show that the stacked pair number of SiOx/SiCxHy on PMP is limited to three pairs, beyond which the films will crack and cause package failure. The three-pair SiOx/SiCxHy on PMP shows a low water vapor transmission rate of 0.57 g/m2/day and a high water contact angle of 102°. Three-pair thin-film coated PMP demonstrates no microbe adhesion and exhibits antibacterial properties within 24 h. Food shelf life testing performed at 28 °C and 80% humidity reports that the three-pair thin-film coated PMP can enhance the food shelf-life to 120 h. The results indicate that the silicon-based thin film may be a promising material for antibacterial food packaging applications to extend the shelf-life of food products.

  1. Grid-based Simulation of Industrial Thin Film Production

    NARCIS (Netherlands)

    Krzhizhanovskaya, V.V.; Sloot, P.M.A.; Gorbachev, Y.E.

    2005-01-01

    In this article, the authors introduce a Grid-based virtual reactor, a High Level Architecture (HLA)-supported problem-solving environment that allows for detailed numerical study of industrial thin-film production in plasma-enhanced chemical vapor deposition (PECVD) reactors. They briefly describe

  2. Multiscale Computational Fluid Dynamics: Methodology and Application to PECVD of Thin Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Marquis Crose

    2017-02-01

    Full Text Available This work focuses on the development of a multiscale computational fluid dynamics (CFD simulation framework with application to plasma-enhanced chemical vapor deposition of thin film solar cells. A macroscopic, CFD model is proposed which is capable of accurately reproducing plasma chemistry and transport phenomena within a 2D axisymmetric reactor geometry. Additionally, the complex interactions that take place on the surface of a-Si:H thin films are coupled with the CFD simulation using a novel kinetic Monte Carlo scheme which describes the thin film growth, leading to a multiscale CFD model. Due to the significant computational challenges imposed by this multiscale CFD model, a parallel computation strategy is presented which allows for reduced processing time via the discretization of both the gas-phase mesh and microscopic thin film growth processes. Finally, the multiscale CFD model has been applied to the PECVD process at industrially relevant operating conditions revealing non-uniformities greater than 20% in the growth rate of amorphous silicon films across the radius of the wafer.

  3. Plasma treatment effect on charge carrier concentrations and surface traps in a-InGaZnO thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Jae-Sung; Xing Piao, Ming; Jang, Ho-Kyun; Kim, Gyu-Tae, E-mail: gtkim@korea.ac.kr [School of Electrical Engineering, Korea University, Seoul 136-701 (Korea, Republic of); Joo, Min-Kyu [School of Electrical Engineering, Korea University, Seoul 136-701 (Korea, Republic of); IMEP-LAHC, Grenoble INP, Minatec, CS 50257, 38016 Grenoble (France); Ahn, Seung-Eon [School of Electrical Engineering, Korea University, Seoul 136-701 (Korea, Republic of); Samsung Advanced Institute of Technology, Samsung Electronics Corporations, Yongin, Gyeonggi-Do 446-712 (Korea, Republic of); Choi, Yong-Hee [School of Electrical Engineering, Korea University, Seoul 136-701 (Korea, Republic of); Semiconductor R and D Center, Samsung Electronics, Hwasung, Gyeonggi-do 445-701 (Korea, Republic of)

    2014-03-21

    Various plasma treatment effects such as oxygen (O{sub 2}), nitrogen (N{sub 2}), and argon (Ar) on amorphous indium gallium zinc oxide thin-film transistors (a-IGZO TFTs) are investigated. To study oxygen stoichiometry in a-IGZO TFTs with respect to various plasma environments, X-ray photoelectron spectroscopy was employed. The results showed that oxygen vacancies were reduced by O{sub 2} and N{sub 2} plasmas while they were increased after Ar plasma treatment. Additionally, the effects of plasma treatment on trap distribution in bulk and surface channels were explored by means of low-frequency noise analysis. Details of the mechanisms used for generating and restoring traps on the surface and bulk channel are presented.

  4. Characterization of diamond-like nanocomposite thin films grown by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Santra, T S; Liu, C H [Institute of Nanoengineering and Microsystems (NEMS), National Tsing Hua University, Hsinchu, Taiwan 30043 (China); Bhattacharyya, T K [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721302, West Bengal (India); Patel, P [Department of Electrical and Computer Engineering, University of Illinois at Urbana Champaign, Urbana, Illinois 61801 (United States); Barik, T K [School of Applied Sciences, Haldia Institute of Technology, Haldia 721657, Purba Medinipur, West Bengal (India)

    2010-06-15

    Diamond-like nanocomposite (DLN) thin films, comprising the networks of a-C:H and a-Si:O were deposited on pyrex glass or silicon substrate using gas precursors (e.g., hexamethyldisilane, hexamethyldisiloxane, hexamethyldisilazane, or their different combinations) mixed with argon gas, by plasma enhanced chemical vapor deposition technique. Surface morphology of DLN films was analyzed by atomic force microscopy. High-resolution transmission electron microscopic result shows that the films contain nanoparticles within the amorphous structure. Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, and x-ray photoelectron spectroscopy (XPS) were used to determine the structural change within the DLN films. The hardness and friction coefficient of the films were measured by nanoindentation and scratch test techniques, respectively. FTIR and XPS studies show the presence of C-C, C-H, Si-C, and Si-H bonds in the a-C:H and a-Si:O networks. Using Raman spectroscopy, we also found that the hardness of the DLN films varies with the intensity ratio I{sub D}/I{sub G}. Finally, we observed that the DLN films has a better performance compared to DLC, when it comes to properties like high hardness, high modulus of elasticity, low surface roughness and low friction coefficient. These characteristics are the critical components in microelectromechanical systems (MEMS) and emerging nanoelectromechanical systems (NEMS).

  5. Ceramic Composite Thin Films

    Science.gov (United States)

    Ruoff, Rodney S. (Inventor); Stankovich, Sasha (Inventor); Dikin, Dmitriy A. (Inventor); Nguyen, SonBinh T. (Inventor)

    2013-01-01

    A ceramic composite thin film or layer includes individual graphene oxide and/or electrically conductive graphene sheets dispersed in a ceramic (e.g. silica) matrix. The thin film or layer can be electrically conductive film or layer depending the amount of graphene sheets present. The composite films or layers are transparent, chemically inert and compatible with both glass and hydrophilic SiOx/silicon substrates. The composite film or layer can be produced by making a suspension of graphene oxide sheet fragments, introducing a silica-precursor or silica to the suspension to form a sol, depositing the sol on a substrate as thin film or layer, at least partially reducing the graphene oxide sheets to conductive graphene sheets, and thermally consolidating the thin film or layer to form a silica matrix in which the graphene oxide and/or graphene sheets are dispersed.

  6. Antifouling coatings via plasma polymerization and atom transfer radical polymerization on thin film composite membranes for reverse osmosis

    Science.gov (United States)

    Hirsch, Ulrike; Ruehl, Marco; Teuscher, Nico; Heilmann, Andreas

    2018-04-01

    A major drawback to otherwise highly efficient membrane-based desalination techniques like reverse osmosis (RO) is the susceptibility of the membranes to biofouling. In this work, a combination of plasma activation, plasma bromination and surface-initiated atom transfer radical polymerization (si-ATRP) of hydrophilic and zwitterionic monomers, namely hydroxyethyl methacrylate (HEMA), 2-methacryloyloxyethyl phosphorylcholine (MPC) and [2-(methacryloyloxy)ethyl]-dimethyl-(3-sulfopropyl)ammonium hydroxide (SBMA), was applied to generate non-specific, anti-adhesive coatings on thin film composite (TFC) membranes. The antifouling effect of the coatings was shown by short-time batch as well as long-time steady state cultivation experiments with the microorganism Pseudomonas fluorescens. It could be shown that plasma functionalization and polymerization is possible on delicate thin film composite membranes without restricting their filtration performance. All modified membranes showed an increased resistance towards the adhesion of Pseudomonas fluorescens. On average, the biofilm coverage was reduced by 51.4-12.6% (for HEMA, SBMA, and MPC), the highest reduction was monitored for MPC with a biofilm reduction by 85.4%. The hydrophilic coatings applied did not only suppress the adhesion of Pseudomonas fluorescens, but also significantly increase the permeate flux of the membranes relative to uncoated membranes. The stability of the coatings was however not ideal and will have to be improved for future commercial use.

  7. Optical properties of diamond like carbon nanocomposite thin films

    Science.gov (United States)

    Alam, Md Shahbaz; Mukherjee, Nillohit; Ahmed, Sk. Faruque

    2018-05-01

    The optical properties of silicon incorporated diamond like carbon (Si-DLC) nanocomposite thin films have been reported. The Si-DLC nanocomposite thin film deposited on glass and silicon substrate by radio frequency plasma enhanced chemical vapor deposition (RF-PECVD) process. Fourier transformed infrared spectroscopic analysis revealed the presence of different bonding within the deposited films and deconvolution of FTIR spectra gives the chemical composition i.e., sp3/sp2 ratio in the films. Optical band gap calculated from transmittance spectra increased from 0.98 to 2.21 eV with a variation of silicon concentration from 0 to 15.4 at. %. Due to change in electronic structure by Si incorporation, the Si-DLC film showed a broad photoluminescence (PL) peak centered at 467 nm, i.e., in the visible range and its intensity was found to increase monotonically with at. % of Si.

  8. Controlling thin film structure for the dewetting of catalyst nanoparticle arrays for subsequent carbon nanofiber growth

    International Nuclear Information System (INIS)

    Randolph, S J; Fowlkes, J D; Melechko, A V; Klein, K L; III, H M Meyer; Simpson, M L; Rack, P D

    2007-01-01

    Vertically aligned carbon nanofiber (CNF) growth is a catalytic chemical vapor deposition process in which structure and functionality is controlled by the plasma conditions and the properties of the catalyst nanoparticles that template the fiber growth. We have found that the resultant catalyst nanoparticle network that forms by the dewetting of a continuous catalyst thin film is dependent on the initial properties of the thin film. Here we report the ability to tailor the crystallographic texture and composition of the nickel catalyst film and subsequently the nanoparticle template by varying the rf magnetron sputter deposition conditions. After sputtering the Ni catalyst thin films, the films are heated and exposed to an ammonia dc plasma, to chemically reduce the native oxide on the films and induce dewetting of the film to form nanoparticles. Subsequent nanoparticle treatment in an acetylene plasma at high substrate temperature results in CNF growth. Evidence is presented that the texture and composition of the nickel thin film has a significant impact on the structure and composition of the formed nanoparticle, as well as the resultant CNF morphology. Nickel films with a preferred (111) or (100) texture were produced and conditions favoring interfacial silicidation reactions were identified and investigated. Both compositional and structural analysis of the films and nanoparticles indicate that the properties of the as-deposited Ni catalyst film influences the subsequent nanoparticle formation and ultimately the catalytic growth of the carbon nanofibers

  9. On the origin of the changes in the opto-electrical properties of boron-doped zinc oxide films after plasma surface treatment for thin-film silicon solar cell applications

    Science.gov (United States)

    Le, Anh Huy Tuan; Kim, Youngkuk; Lee, Youn-Jung; Hussain, Shahzada Qamar; Nguyen, Cam Phu Thi; Lee, Jaehyung; Yi, Junsin

    2018-03-01

    The modification of the steep and sharp valleys on the surface of the boron-doped zinc oxide (BZO) front electrodes by plasma surface treatment is a critical process for avoiding a significant reduction in the electrical performance of thin-film silicon solar cells. In this work, we report the origin of the changes in the electrical and optical properties of the BZO films that occur after this process. On the basis of an analysis of the chemical states, we found an improvement of the carrier concentration along with the treatment time that was mainly due to an increase of the oxygen vacancy. This indicated a deficiency of the oxygen in the BZO films under argon-ion bombardment. The red-shift of the A1 longitudinal optical mode frequency in the Raman spectra that was attributed to the existence of vacancy point defects within the films also strengthened this argument. The significant reduction of the haze ratio as well as the appearance of interference peaks on the transmittance spectra as the treatment time was increased were mainly due to the smoothing of the film surface, which indicated a degradation of the light-scattering capability of the BZO films. We also observed a gain of the visible-region transmittance that was attributed to the decrease of the thickness of the BZO films after the plasma surface treatment, instead of the crystallinity improvement. On the basis of our findings, we have proposed a further design rule of the BZO front electrodes for thin-film silicon solar cell applications.

  10. Characterization of gadolinium oxide thin films with CF{sub 4} plasma treatment for resistive switching memory applications

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Jer-Chyi, E-mail: jcwang@mail.cgu.edu.tw [Department of Electronic Engineering, Chang Gung University, 259 Wen-Hwa 1st Road, Kwei-Shan 333, Tao-Yuan, Taiwan (China); Ye, Yu-Ren [Department of Electronic Engineering, Chang Gung University, 259 Wen-Hwa 1st Road, Kwei-Shan 333, Tao-Yuan, Taiwan (China); Lai, Chao-Sung, E-mail: cslai@mail.cgu.edu.tw [Department of Electronic Engineering, Chang Gung University, 259 Wen-Hwa 1st Road, Kwei-Shan 333, Tao-Yuan, Taiwan (China); Lin, Chih-Ting [Department of Electronic Engineering, Chang Gung University, 259 Wen-Hwa 1st Road, Kwei-Shan 333, Tao-Yuan, Taiwan (China); Lu, Hsin-Chun [Department of Chemical and Materials Engineering, Chang Gung University, Kwei-Shan 333, Tao-Yuan, Taiwan (China); Wu, Chih-I [Graduated Institute of Photonics and Optoelectronics, National Taiwan University, Taipei 106, Taiwan (China); Department of Electrical Engineering, National Taiwan University, Taipei 106, Taiwan (China); Wang, Po-Sheng [Graduated Institute of Photonics and Optoelectronics, National Taiwan University, Taipei 106, Taiwan (China)

    2013-07-01

    The effect of the CF{sub 4} plasma treatment on the gadolinium oxide (Gd{sub x}O{sub y}) thin films for the resistive random access memory (RRAM) applications was investigated. The material properties of the fluorine incorporated Gd{sub x}O{sub y} films were analyzed by the X-ray photoelectron spectroscopy (XPS), ultraviolet photoelectron spectroscopy (UPS) and ultraviolet–visible spectroscopy (UV–VIS). Further, the set and reset voltages of the Pt/Gd{sub x}O{sub y}/W RRAM devices with the CF{sub 4} plasma treatment were effectively reduced to −1.15 and 2.1 V respectively owing to the low Schottky barrier height. The formation of Gd-F bonds can prevent the oxygen atoms from out-diffusing through Pt grain boundaries into the atmosphere, leading to the superior retention characteristics for over 10{sup 4} s. The CF{sub 4} plasma treated Gd{sub x}O{sub y} RRAMs can sustain a resistance ratio of 10{sup 2} for more than 800 times stable set/reset cycling, suitable for future low-voltage and high-performance nonvolatile memory operation.

  11. Host thin films incorporating nanoparticles

    Science.gov (United States)

    Qureshi, Uzma

    The focus of this research project was the investigation of the functional properties of thin films that incorporate a secondary nanoparticulate phase. In particular to assess if the secondary nanoparticulate material enhanced a functional property of the coating on glass. In order to achieve this, new thin film deposition methods were developed, namely use of nanopowder precursors, an aerosol assisted transport technique and an aerosol into atmospheric pressure chemical vapour deposition system. Aerosol assisted chemical vapour deposition (AACVD) was used to deposit 8 series of thin films on glass. Five different nanoparticles silver, gold, ceria, tungsten oxide and zinc oxide were tested and shown to successfully deposit thin films incorporating nanoparticles within a host matrix. Silver nanoparticles were synthesised and doped within a titania film by AACVD. This improved solar control properties. A unique aerosol assisted chemical vapour deposition (AACVD) into atmospheric pressure chemical vapour deposition (APCVD) system was used to deposit films of Au nanoparticles and thin films of gold nanoparticles incorporated within a host titania matrix. Incorporation of high refractive index contrast metal oxide particles within a host film altered the film colour. The key goal was to test the potential of nanopowder forms and transfer the suspended nanopowder via an aerosol to a substrate in order to deposit a thin film. Discrete tungsten oxide nanoparticles or ceria nanoparticles within a titanium dioxide thin film enhanced the self-cleaning and photo-induced super-hydrophilicity. The nanopowder precursor study was extended by deposition of zinc oxide thin films incorporating Au nanoparticles and also ZnO films deposited from a ZnO nanopowder precursor. Incorporation of Au nanoparticles within a VO: host matrix improved the thermochromic response, optical and colour properties. Composite VC/TiC and Au nanoparticle/V02/Ti02 thin films displayed three useful

  12. Room temperature photoluminescence spectrum modeling of hydrogenated amorphous silicon carbide thin films by a joint density of tail states approach and its application to plasma deposited hydrogenated amorphous silicon carbide thin films

    International Nuclear Information System (INIS)

    Sel, Kıvanç; Güneş, İbrahim

    2012-01-01

    Room temperature photoluminescence (PL) spectrum of hydrogenated amorphous silicon carbide (a-SiC x :H) thin films was modeled by a joint density of tail states approach. In the frame of these analyses, the density of tail states was defined in terms of empirical Gaussian functions for conduction and valance bands. The PL spectrum was represented in terms of an integral of joint density of states functions and Fermi distribution function. The analyses were performed for various values of energy band gap, Fermi energy and disorder parameter, which is a parameter that represents the width of the energy band tails. Finally, the model was applied to the measured room temperature PL spectra of a-SiC x :H thin films deposited by plasma enhanced chemical vapor deposition system, with various carbon contents, which were determined by X-ray photoelectron spectroscopy measurements. The energy band gap and disorder parameters of the conduction and valance band tails were determined and compared with the optical energies and Urbach energies, obtained by UV–Visible transmittance measurements. As a result of the analyses, it was observed that the proposed model sufficiently represents the room temperature PL spectra of a-SiC x :H thin films. - Highlights: ► Photoluminescence spectra (PL) of the films were modeled. ► In the model, joint density of tail states and Fermi distribution function are used. ► Various values of energy band gap, Fermi energy and disorder parameter are applied. ► The model was applied to the measured PL of the films. ► The proposed model represented the room temperature PL spectrum of the films.

  13. Electronic transport in mixed-phase hydrogenated amorphous/nanocrystalline silicon thin films

    Science.gov (United States)

    Wienkes, Lee Raymond

    Interest in mixed-phase silicon thin film materials, composed of an amorphous semiconductor matrix in which nanocrystalline inclusions are embedded, stems in part from potential technological applications, including photovoltaic and thin film transistor technologies. Conventional mixed-phase silicon films are produced in a single plasma reactor, where the conditions of the plasma must be precisely tuned, limiting the ability to adjust the film and nanoparticle parameters independently. The films presented in this thesis are deposited using a novel dual-plasma co-deposition approach in which the nanoparticles are produced separately in an upstream reactor and then injected into a secondary reactor where an amorphous silicon film is being grown. The degree of crystallinity and grain sizes of the films are evaluated using Raman spectroscopy and X-ray diffraction respectively. I describe detailed electronic measurements which reveal three distinct conduction mechanisms in n-type doped mixed-phase amorphous/nanocrystalline silicon thin films over a range of nanocrystallite concentrations and temperatures, covering the transition from fully amorphous to ~30% nanocrystalline. As the temperature is varied from 470 to 10 K, we observe activated conduction, multiphonon hopping (MPH) and Mott variable range hopping (VRH) as the nanocrystal content is increased. The transition from MPH to Mott-VRH hopping around 100K is ascribed to the freeze out of the phonon modes. A conduction model involving the parallel contributions of these three distinct conduction mechanisms is shown to describe both the conductivity and the reduced activation energy data to a high accuracy. Additional support is provided by measurements of thermal equilibration effects and noise spectroscopy, both done above room temperature (>300 K). This thesis provides a clear link between measurement and theory in these complex materials.

  14. NMR characterization of thin films

    Science.gov (United States)

    Gerald II, Rex E.; Klingler, Robert J.; Rathke, Jerome W.; Diaz, Rocio; Vukovic, Lela

    2010-06-15

    A method, apparatus, and system for characterizing thin film materials. The method, apparatus, and system includes a container for receiving a starting material, applying a gravitational force, a magnetic force, and an electric force or combinations thereof to at least the starting material, forming a thin film material, sensing an NMR signal from the thin film material and analyzing the NMR signal to characterize the thin film of material.

  15. NMR characterization of thin films

    Science.gov (United States)

    Gerald, II, Rex E.; Klingler, Robert J.; Rathke, Jerome W.; Diaz, Rocio; Vukovic, Lela

    2008-11-25

    A method, apparatus, and system for characterizing thin film materials. The method, apparatus, and system includes a container for receiving a starting material, applying a gravitational force, a magnetic force, and an electric force or combinations thereof to at least the starting material, forming a thin film material, sensing an NMR signal from the thin film material and analyzing the NMR signal to characterize the thin film of material.

  16. Antibacterial performance on plasma polymerized heptylamine films loaded with silver nanoparticles

    Science.gov (United States)

    Lin, Yu-Chun; Lin, Chia-Chun; Lin, Chih-Hao; Wang, Meng-Jiy

    2017-01-01

    The antibacterial performance of the plasma-polymerized (pp) heptylamine thin films loaded with silver nanoparticles was evaluated against the colonization of Escherichia coli and Staphylococcus aureus. The properties including the thickness and chemical composition of the as deposited HApp films were modulated by adjusting plasma parameters. The acquired results showed that the film thickness was controlled in the range of 20 to 400 nm by adjusting deposition time. The subsequent immersion of the HApp thin films in silver nitrate solutions result in the formation of amine-metal complexes, in which the silver nanoparticles were reduced directly on the matrices to form Ag@HApp. The reduction reaction of silver was facilitated by applying NaBH4 as a reducing agent. The results of physicochemical analyses including morphological analysis and ellipsometry revealed that the silver nanoparticles were successfully reduced on the HApp films, and the amount of reduced silver was closely associated which the thickness of the plasma-polymerized films, the concentration of applied metal ions solutions, and the time of immobilization. Regarding the antibacterial performance, the Ag@HApp films reduced by NaBH4 showed antibacterial abilities of 70.1 and 68.2% against E. coli and S. aureus, respectively.

  17. Rare Earth Oxide Thin Films

    CERN Document Server

    Fanciulli, Marco

    2007-01-01

    Thin rare earth (RE) oxide films are emerging materials for microelectronic, nanoelectronic, and spintronic applications. The state-of-the-art of thin film deposition techniques as well as the structural, physical, chemical, and electrical properties of thin RE oxide films and of their interface with semiconducting substrates are discussed. The aim is to identify proper methodologies for the development of RE oxides thin films and to evaluate their effectiveness as innovative materials in different applications.

  18. Thin-film solar cells

    International Nuclear Information System (INIS)

    Aberle, Armin G.

    2009-01-01

    The rapid progress that is being made with inorganic thin-film photovoltaic (PV) technologies, both in the laboratory and in industry, is reviewed. While amorphous silicon based PV modules have been around for more than 20 years, recent industrial developments include the first polycrystalline silicon thin-film solar cells on glass and the first tandem solar cells based on stacks of amorphous and microcrystalline silicon films ('micromorph cells'). Significant thin-film PV production levels are also being set up for cadmium telluride and copper indium diselenide.

  19. Process for forming thin film, heat treatment process of thin film sheet, and heat treatment apparatus therefor

    International Nuclear Information System (INIS)

    Watanabe, S.

    1984-01-01

    The invention provides a process for forming a magnetic thin film on a base film, a heat treatment process of a thin film sheet consisting of the base film and the magnetic thin film, and an apparatus for performing heat treatment of the thin film sheet. Tension applied to the thin film sheet is substantially equal to that applied to the base film when the magnetic thin film is formed thereon. Then, the thin film sheet is treated with heat. The thin film sheet is heated with a given temperature gradient to a reactive temperature at which heat shrinkage occurs, while the tension is being applied thereto. Thereafter, the thin film sheet to which the tension is still applied is cooled with substantially the same temperature gradient as applied in heating. The heat treatment apparatus has a film driving unit including a supply reel, a take-up reel, a drive source and guide rollers; a heating unit including heating plates, heater blocks and a temperature controller for heating the sheet to the reactive temperature; and a heat insulating unit including a thermostat and another temperature controller for maintaining the sheet at the nonreactive temperature which is slightly lower than the reactive temperature

  20. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    Science.gov (United States)

    Naddaf, M.; Saloum, S.

    2008-09-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyledisiloxane (HMDSO)/O2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions ( \\chi _{O_2 } =0 , 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at \\chi _{O_2 } =0 exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (~one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O2 mixtures exhibit two separated 'green-blue' and 'yellow-green' PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm-1) in the spectral range of their PL emission, attractive for possible integrated optics devices.

  1. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    International Nuclear Information System (INIS)

    Naddaf, M; Saloum, S

    2008-01-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyledisiloxane (HMDSO)/O 2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions (χ O 2 =0, 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at (χ O 2 =0 exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (∼one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O 2 mixtures exhibit two separated 'green-blue' and 'yellow-green' PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm -1 ) in the spectral range of their PL emission, attractive for possible integrated optics devices

  2. Application of femtosecond laser ablation inductively coupled plasma mass spectrometry for quantitative analysis of thin Cu(In,Ga)Se{sub 2} solar cell films

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seokhee [School of Mechatronics, Gwangju Institute of Science and Technology, 1 Oryong-dong, Buk-gu, Gwangju 500-712 (Korea, Republic of); Gonzalez, Jhanis J. [Lawrence Berkeley National Laboratory, 1 Cyclotron Road, Berkeley, CA 94720 (United States); Applied Spectra Inc., 46665 Fremont Boulevard, Fremont, CA 94538 (United States); Yoo, Jong H. [Applied Spectra Inc., 46665 Fremont Boulevard, Fremont, CA 94538 (United States); Chirinos, Jose R. [Lawrence Berkeley National Laboratory, 1 Cyclotron Road, Berkeley, CA 94720 (United States); Facultad de Ciencias, Universidad Central de Venezuela, Caracas 1041A (Venezuela, Bolivarian Republic of); Russo, Richard E. [Lawrence Berkeley National Laboratory, 1 Cyclotron Road, Berkeley, CA 94720 (United States); Applied Spectra Inc., 46665 Fremont Boulevard, Fremont, CA 94538 (United States); Jeong, Sungho, E-mail: shjeong@gist.ac.kr [School of Mechatronics, Gwangju Institute of Science and Technology, 1 Oryong-dong, Buk-gu, Gwangju 500-712 (Korea, Republic of)

    2015-02-27

    This work reports that the composition of Cu(In,Ga)Se{sub 2} (CIGS) thin solar cell films can be quantitatively predicted with high accuracy and precision by femtosecond laser ablation-inductively coupled plasma-mass spectrometry (fs-LA-ICP-MS). It is demonstrated that the results are strongly influenced by sampling conditions during fs-laser beam (λ = 1030 nm, τ = 450 fs) scanning on the CIGS surface. The fs-LA-ICP-MS signals measured at optimal sampling conditions generally provide a straight line calibration with respect to the reference concentrations measured by inductively coupled plasma optical emission spectroscopy (ICP-OES). The concentration ratios predicted by fs-LA-ICP-MS showed high accuracy, to 95–97% of the values measured with ICP-OES, for Cu, In, Ga, and Se elements. - Highlights: • Laser ablation inductively coupled plasma mass spectrometry of thin film is reported. • Concentration ratio prediction with a confidence level of 95–97% is achieved. • Quantitative determination of composition is demonstrated.

  3. Ion beam-based characterization of multicomponent oxide thin films and thin film layered structures

    International Nuclear Information System (INIS)

    Krauss, A.R.; Rangaswamy, M.; Lin, Yuping; Gruen, D.M.; Schultz, J.A.; Schmidt, H.K.; Chang, R.P.H.

    1992-01-01

    Fabrication of thin film layered structures of multi-component materials such as high temperature superconductors, ferroelectric and electro-optic materials, and alloy semiconductors, and the development of hybrid materials requires understanding of film growth and interface properties. For High Temperature Superconductors, the superconducting coherence length is extremely short (5--15 Angstrom), and fabrication of reliable devices will require control of film properties at extremely sharp interfaces; it will be necessary to verify the integrity of thin layers and layered structure devices over thicknesses comparable to the atomic layer spacing. Analytical techniques which probe the first 1--2 atomic layers are therefore necessary for in-situ characterization of relevant thin film growth processes. However, most surface-analytical techniques are sensitive to a region within 10--40 Angstrom of the surface and are physically incompatible with thin film deposition and are typically restricted to ultra high vacuum conditions. A review of ion beam-based analytical methods for the characterization of thin film and multi-layered thin film structures incorporating layers of multicomponent oxides is presented. Particular attention will be paid to the use of time-of-flight techniques based on the use of 1- 15 key ion beams which show potential for use as nondestructive, real-time, in-situ surface diagnostics for the growth of multicomponent metal and metal oxide thin films

  4. Low cost thin film poly-silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2005-07-01

    This report presents the results of a project to design and develop a high density plasma based thin-film poly-silicon (TFPS) deposition system based on PQL proprietary advanced plasma technology to produce semiconductor quality TFPS for fabricating a TFPS solar cell. Details are given of the TFPS deposition system, the material development programme, solar cell structure, and cell efficiencies. The reproducibility of the deposition process and prospects for commercial exploitation are discussed.

  5. Deposition of polymer films in low pressure reactive plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Biederman, H.

    1981-12-11

    Sputtering and plasma polymerization have found wide application as deposition techniques and have been extensively studied. R.f. sputtering of plastics, in particular of polytetrafluoroethylene, are discussed in the first part of this paper. In the second part, the general concept of plasma polymerization is considered and some examples of applications of plasma-polymerized films are presented. Special attention is paid to fluorocarbon and fluorochlorocarbon films. It has been suggested that these films could be used in thin film capacitors or as passivating layers for integrated circuits. In the optical field some of these films have been used as convenient moisture-resistant, protective and antireflecting coatings. Their mechanical properties have also been examined with the intention of using them for reducing surface friction. More recently some metals have been incorporated into fluorocarbon films to obtain layers with novel properties. Experiments in which films were prepared by the plasma polymerization of certain Freons are described. Some electrical and optical properties of these films are presented. High dielectric losses were obtained in a metal/film/metal sandwich configuration and the possible influence of ambient atmospheric effects on these measurements is discussed.

  6. Opto-Acoustic Method for the Characterization of Thin-Film Adhesion

    Directory of Open Access Journals (Sweden)

    Sanichiro Yoshida

    2016-05-01

    Full Text Available The elastic property of the film-substrate interface of thin-film systems is characterized with an opto-acoustic method. The thin-film specimens are oscillated with an acoustic transducer at audible frequencies, and the resultant harmonic response of the film surface is analyzed with optical interferometry. Polystyrene, Ti, Ti-Au and Ti-Pt films coated on the same silicon substrate are tested. For each film material, a pair of specimens is prepared; one is coated on a silicon substrate after the surface is treated with plasma bombardment, and the other is coated on an identical silicon substrate without a treatment. Experiments indicate that both the surface-treated and untreated specimens of all film materials have resonance in the audible frequency range tested. The elastic constant of the interface corresponding to the observed resonance is found to be orders of magnitude lower than that of the film or substrate material. Observations of these resonance-like behaviors and the associated stiffness of the interface are discussed.

  7. Effects of bias voltage on the corrosion resistance of titanium nitride thin films fabricated by dynamic plasma immersion ion implantation-deposition

    International Nuclear Information System (INIS)

    Tian Xiubo; Fu, Ricky K. Y.; Chu, Paul K.

    2002-01-01

    Dynamic plasma-based thin-film deposition incorporating ion mixing and plasma immersion is an effective technique to synthesize nitride-based hard films. We have fabricated TiN films using a filtered titanium vacuum arc in a nitrogen plasma environment. A pulsed high voltage is applied to the target for a short time when the metallic arc is fired to attain simultaneous plasma deposition and ion mixing. We investigate the dependence of the corrosion resistance and interfacial structure of the treated samples on the applied voltage. Our Auger results reveal an oxygen-rich surface film due to the non-ultra-high-vacuum conditions and high affinity of oxygen to titanium. The corrosion current is reduced by two orders of magnitude comparing the sample processed at 8 kV to the untreated sample, but the 23 kV sample unexpectedly shows worse results. The pitting potential diminishes substantially although the corrosion current is similar to that observed in the 8 kV sample. The polarization test data are consistent with our scanning electron microscopy observation, corroborating the difference in the pitting distribution and appearance. This anomalous behavior is believed to be due to the change in the chemical composition as a result of high-energy ion bombardment

  8. Modification of the Properties of Vanadium Oxide Thin Films by Plasma-Immersion Ion Implantation

    Directory of Open Access Journals (Sweden)

    Sergey Burdyukh

    2018-01-01

    Full Text Available The paper describes the effect of doping with hydrogen and tungsten by means of plasma-immersion ion implantation (PIII on the properties of vanadium dioxide and hydrated vanadium pentoxide films. It is shown that the parameters of the metal-insulator phase transition in VO2 thin films depend on the hydrogen implantation dose. Next, we explore the effect of PIII on composition, optical properties, and the internal electrochromic effect (IECE in V2O5·nH2O films. The variations in the composition and structure caused by the hydrogen insertion, as well as those caused by the electrochromic effect, are studied by nuclear magnetic resonance, thermogravimetry, Raman spectroscopy, and X-ray structural analysis. It is shown that the ion implantation-induced hydrogenation can substantially enhance the manifestation and performance of the IECE in V2O5 xerogel films. Finally, the effect of PIII-assisted doping with W on the parameters of electrical switching in Au/V2O5·nH2O/Au sandwich structures is examined. It is shown that implanting small tungsten doses improves the switching parameters after forming. When implanting large doses, switching is observed without electroforming, and if electroforming is applied, the switching effect, on the contrary, disappears.

  9. Titanium dioxide (TIO2) thin film and plasma properties in RF magnetron sputtering

    International Nuclear Information System (INIS)

    Ali, Riyaz Ahmad Mohamed; Nayan, Nafarizal

    2013-01-01

    Lately, titanium dioxide (TiO 2 ) films with anatase crystalline property received numerous attentions as unique material properties. There are wide applications of TiO 2 thin film such as for photocatalytic application in solar cell. In the present study, radio frequency (RF) magnetron sputtering technique has been used to produce high dense, homogeneously controllable film layer at low deposition temperature using titanium (Ti) target. The diameter of the Ti target is 3 inch with fixed discharge power of 400W. Magnetron sputtering plasma has been produced in high purity 99.99% Argon (Ar) and 99.99% Oxygen (O 2 ) environment pressure ranging from 5 to 20 mTorr. The TiO2 were growth on silicon and glass substrates. Substrate temperature during deposition was kept constant at 400°C. The distance between target and substrate holder was maintain at 14 cm with rotation of 10 rotation-per-minutes. Our X-ray diffraction result, shows anatase crystalline successfully formed with characterization peaks of plane (101) at 2θ = 25.28°, plane (202) at 2θ = 48.05° and plane (211) at 2θ = 55.06°. In addition, it is our interest to study the plasma properties and optical spectrum of Ti, Ti+ , O- , ArM and Ar+ in the chamber during the deposition process. Result of emission line intensities, electron density and temperature from optical spectroscope and Langmuir probe will be discuss further during the workshop. This works were supported by Graduate Incentive Scheme of Universiti Tun Hussein Onn Malaysia (UTHM) and Fundamental Research Grant Scheme of Ministry of Higher Education, Malaysia. (author)

  10. Plasma dynamics study by fast imaging and Sm1-xNdxNiO3 thin film deposition

    International Nuclear Information System (INIS)

    Lafane, S.; Kerdja, T.; Abdelli-Messaci, S.; Malek, S.; Maaza, M.

    2009-01-01

    The plume expansion dynamics of an ablated target of Sm 2 O 3 , Nd 2 O 3 and NiO mixture oxides by KrF laser into 0.2 mbar oxygen atmosphere has been investigated using fast imaging. The study was carried out for two different laser fluences 2 and 3 J cm -2 . It was found that at early time delays, the expansion is still linear, independently of the laser fluence. However, as time evolves, the plume is decelerated and comes to rest. The plasma plume dynamics was analysed in the framework of Predtechensky and Mayorov model and drag model. It was found that Predtechensky and Mayorov model gives a general description of the plume expansion. However, at later time delays, it is rather the drag model which is valid. Furthermore, under the same conditions of pressure and fluences used for the plasma study, thin films were deposited at 4 cm from target surface and at temperature of 500 deg. C on (1 0 0) silicon substrates. The obtained layers were characterized by atomic force microscopy and electron dispersive X-ray spectroscopy diagnostics. A correlation between the films properties and the plasma plume dynamics was found.

  11. Antibacterial effects of silver-doped hydroxyapatite thin films sputter deposited on titanium

    International Nuclear Information System (INIS)

    Trujillo, Nathan A.; Oldinski, Rachael A.; Ma, Hongyan; Bryers, James D.; Williams, John D.; Popat, Ketul C.

    2012-01-01

    Since many orthopedic implants fail as a result of loosening, wear, and inflammation caused by repeated loading on the joints, coatings such as hydroxyapatite (HAp) on titanium with a unique topography have been shown to improve the interface between the implant and the natural tissue. Another serious problem with long-term or ideally permanent implants is infection. It is important to prevent initial bacterial colonization as existing colonies have the potential to become encased in an extracellular matrix polymer (biofilm) that is resistant to antibacterial agents. In this study, plasma-based ion implantation was used to examine the effects of pre-etching on plain titanium. Topographical changes to the titanium samples were examined and compared via scanning electron microscopy. Hydroxyapatite and silver-doped hydroxyapatite thin films were then sputter deposited on titanium substrates etched at − 700 eV. For silver-doped films, two concentrations of silver (∼ 0.5 wt.% and ∼ 1.5 wt.%) were used. Silver concentrations in the film were determined using energy dispersive X-ray spectroscopy. Hydroxyapatite film thicknesses were determined by measuring the surface profile using contact profilometry. Staphylococcus epidermidis and Pseudomonas aeruginosa adhesion studies were performed on plain titanium, titanium coated with hydroxyapatite, titanium coated with ∼ 0.5 wt.% silver-doped hydroxyapatite, and titanium coated with ∼ 1.5 wt.% silver-doped hydroxyapatite. Results indicate that less bacteria adhered to surfaces containing hydroxyapatite and silver; further, as the hydroxyapatite films delaminated, silver ions were released which killed bacteria in suspension. - Highlights: ► We have developed a combination of plasma-based ion implantation and ion beam sputter deposition technique. ► Silver-doped hydroxyapatite thin films on titanium were developed. ► The thin films showed the ability to control the concentration of silver that is doped within the

  12. DC magnetron sputtering prepared Ag-C thin film anode for thin film lithium ion microbatteries

    International Nuclear Information System (INIS)

    Li, Y.; Tu, J.P.; Shi, D.Q.; Huang, X.H.; Wu, H.M.; Yuan, Y.F.; Zhao, X.B.

    2007-01-01

    An Ag-C thin film was prepared by DC magnetron co-sputtering, using pure silver and graphite as the targets. The microstructure and morphology of the deposited thin film were characterized by X-ray diffraction (XRD) and transmission electron microscopy (TEM). Electrochemical performances of the Ag-C thin film anode were investigated by means of discharge/charge and cyclic voltammogram (CV) tests in model cells. The electrochemical impedance spectrum (EIS) characteristics and the chemical diffusion coefficient, D Li of the Ag-C thin film electrode at different discharging states were discussed. It was believed that the excellent cycling performance of the Ag-C electrode was ascribed to the good conductivity of silver and the volume stability of the thin film

  13. Compensation of decreased ion energy by increased hydrogen dilution in plasma deposition of thin film silicon solar cells at low substrate temperatures

    NARCIS (Netherlands)

    Verkerk, A.D.; de Jong, M.M.; Rath, J.K.; Brinza, M.; Schropp, R.E.I.; Goedheer, W.J.; Krzhizhanovskaya, V.V.; Gorbachev, Y.E.; Orlov, K.E.; Khilkevitch, E.M.; Smirnov, A.S.

    2009-01-01

    In order to deposit thin film silicon solar cells on plastics and papers, the deposition process needs to be adapted for low deposition temperatures. In a very high frequency plasma-enhanced chemical vapor deposition (VHF PECVD) process, both the gas phase and the surface processes are affected by

  14. Electrospun fibrous thin film microextraction coupled with desorption corona beam ionization-mass spectrometry for rapid analysis of antidepressants in human plasma.

    Science.gov (United States)

    Chen, Di; Hu, Yu-Ning; Hussain, Dilshad; Zhu, Gang-Tian; Huang, Yun-Qing; Feng, Yu-Qi

    2016-05-15

    Appropriate sample preparations prior to analysis can significantly enhance the sensitivity of ambient ionization techniques, especially during the enrichment or purification of analytes in the presence of complex biological matrix. Here in, we developed a rapid analysis method by the combination of thin film microextraction (TFME) and desorption corona beam ionization (DCBI) for the determination of antidepressants in human plasma. Thin films used for extraction consisted of sub-micron sized highly ordered mesoporous silica-carbon composite fibers (OMSCFs), simply prepared by electrospinning and subsequent carbonization. Typically, OMSCFs thin film was immersed into the diluted plasma for extraction of target analytes and then directly subjected to the DCBI-MS for detection. Size-exclusion effect of mesopores contributed to avoid of the protein precipitation step prior to extraction. Mass transfer was benefited from high surface-to-volume ratio which is attributed to macroporous network and ordered mesostructures. Moreover, the OMSCFs provided mixed-mode hydrophobic/ion-exchange interactions towards target analytes. Thus, the detection sensitivity was greatly improved due to effective enrichment of the target analytes and elimination of matrix interferences. After optimization of several parameters related to extraction performance, the proposed method was eventually applied for the determination of three antidepressants in human plasma. The calibration curves were plotted in the range of 5-1000 ng/mL with acceptable linearity (R(2) >0.983). The limits of detection (S/N=3) of three antidepressants were in ranges of 0.3-1 ng/mL. Reproducibility was achieved with RSD less than 17.6% and the relative recoveries were in ranges of 83.6-116.9%. Taken together, TFME-DCBI-MS method offers a powerful capacity for rapid analysis to achieve much-improved sensitivity. Copyright © 2016 Elsevier B.V. All rights reserved.

  15. PEALD grown high-k ZrO{sub 2} thin films on SiC group IV compound semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Khairnar, A. G., E-mail: agkhairnar@gmail.com; Patil, V. S.; Agrawal, K. S.; Salunke, R. S.; Mahajan, A. M., E-mail: ammahajan@nmu.ac.in [North Maharashtra University, Department of Electronics, School of Physical Sciences (India)

    2017-01-15

    The study of ZrO{sub 2} thin films on SiC group IV compound semiconductor has been studied as a high mobility substrates. The ZrO{sub 2} thin films were deposited using the Plasma Enhanced Atomic Layer Deposition System. The thickness of the thin films were measured using ellipsometer and found to be 5.47 nm. The deposited ZrO{sub 2} thin films were post deposition annealed in rapid thermal annealing chamber at temperature of 400°Ð¡. The atomic force microscopy and X-гау photoelectron spectroscopy has been carried out to study the surface topography, roughness and chemical composition of thin film, respectively.

  16. Thin film metal-oxides

    CERN Document Server

    Ramanathan, Shriram

    2009-01-01

    Presents an account of the fundamental structure-property relations in oxide thin films. This title discusses the functional properties of thin film oxides in the context of applications in the electronics and renewable energy technologies.

  17. Enhanced photoluminescence from ring resonators in hydrogenated amorphous silicon thin films at telecommunications wavelengths.

    Science.gov (United States)

    Patton, Ryan J; Wood, Michael G; Reano, Ronald M

    2017-11-01

    We report enhanced photoluminescence in the telecommunications wavelength range in ring resonators patterned in hydrogenated amorphous silicon thin films deposited via low-temperature plasma enhanced chemical vapor deposition. The thin films exhibit broadband photoluminescence that is enhanced by up to 5 dB by the resonant modes of the ring resonators due to the Purcell effect. Ellipsometry measurements of the thin films show a refractive index comparable to crystalline silicon and an extinction coefficient on the order of 0.001 from 1300 nm to 1600 nm wavelengths. The results are promising for chip-scale integrated optical light sources.

  18. Thin films and nanomaterials

    International Nuclear Information System (INIS)

    Jayakumar, S.; Kannan, M.D.; Prasanna, S.

    2012-01-01

    The objective of this book is to disseminate the most recent research in Thin Films, Nanomaterials, Corrosion and Metallurgy presented at the International Conference on Advanced Materials (ICAM 2011) held in PSG College of Technology, Coimbatore, India during 12-16 December 2011. The book is a compilation of 113 chapters written by active researchers providing information and critical insights into the recent advancements that have taken place. Important new applications are possible today in the fields of microelectronics, opto-electronics, metallurgy and energy by the application of thin films on solid surfaces. Recent progress in high vacuum technology and new materials has a remarkable effect in thin film quality and cost. This has led to the development of new single or multi-layered thin film devices with diverse applications in a multitude of production areas, such as optics, thermal barrier coatings and wear protections, enhancing service life of tools and to protect materials against thermal and atmospheric influence. On the other hand, thin film process techniques and research are strongly related to the basic research activities in nano technology, an increasingly important field with countless opportunities for applications due to the emergence of new properties at the nanoscale level. Materials and structures that are designed and fabricated at the nano scale level, offer the potential to produce new devices and processes that may enhance efficiencies and reduce costs in many areas, as photovoltaic systems, hydrogen storage, fuel cells and solar thermal systems. In the book, the contributed papers are classified under two sections i) thin films and ii) nanomaterials. The thin film section includes single or multi layer conducting, insulating or semiconducting films synthesized by a wide variety of physical or chemical techniques and characterized or analyzed for different applications. The nanomaterials section deals with novel or exciting materials

  19. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O{sub 2} induced remote plasma: effect of oxygen fraction

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M; Saloum, S [Department of Physics, Atomic Energy Commission of Syria (AECS), PO Box 6091 Damascus (Syrian Arab Republic)], E-mail: scientific6@aec.org.sy

    2008-09-07

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyledisiloxane (HMDSO)/O{sub 2} mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions ({chi}{sub O{sub 2}}=0, 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at ({chi}{sub O{sub 2}}=0 exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease ({approx}one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O{sub 2} mixtures exhibit two separated 'green-blue' and 'yellow-green' PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm{sup -1}) in the spectral range of their PL emission, attractive for possible integrated optics devices.

  20. Dry Etching Characteristics of Amorphous Indium-Gallium-Zinc-Oxide Thin Films

    International Nuclear Information System (INIS)

    Zheng Yanbin; Li Guang; Wang Wenlong; Li Xiuchang; Jiang Zhigang

    2012-01-01

    Amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) backplane technology is the best candidate for flat panel displays (FPDs). In this paper, a-IGZO TFT structures are described. The effects of etch parameters (rf power, dc-bias voltage and gas pressure) on the etch rate and etch profile are discussed. Three kinds of gas mixtures are compared in the dry etching process of a-IGZO thin films. Lastly, three problems are pointed out that need to be addressed in the dry etching process of a-IGZO TFTs. (plasma technology)

  1. Characterization of thin TiO2 films prepared by plasma enhanced chemical vapour deposition for optical and photocatalytic applications

    International Nuclear Information System (INIS)

    Sobczyk-Guzenda, A.; Gazicki-Lipman, M.; Szymanowski, H.; Kowalski, J.; Wojciechowski, P.; Halamus, T.; Tracz, A.

    2009-01-01

    Thin titanium oxide films were deposited using a radio frequency (RF) plasma enhanced chemical vapour deposition method. Their optical properties and thickness were determined by means of ultraviolet-visible absorption spectrophotometry. Films of the optical parameters very close to those of titanium dioxide have been obtained at the high RF power input. Their optical quality is high enough to allow for their use in a construction of stack interference optical filters. At the same time, these materials exhibit strong photocatalytic effects. The results of structural analysis, carried out by Raman Shift Spectroscopy, show that the coatings posses amorphous structure. However, Raman spectra of the same films subjected to thermal annealing at 450 o C disclose an appearance of a crystalline form, namely that of anatase. Surface morphology of the films has also been characterized by Atomic Force Microscopy revealing granular, broccoli-like topography of the films.

  2. Thermal recrystallization of physical vapor deposition based germanium thin films on bulk silicon (100)

    KAUST Repository

    Hussain, Aftab M.

    2013-08-16

    We demonstrate a simple, low-cost, and scalable process for obtaining uniform, smooth surfaced, high quality mono-crystalline germanium (100) thin films on silicon (100). The germanium thin films were deposited on a silicon substrate using plasma-assisted sputtering based physical vapor deposition. They were crystallized by annealing at various temperatures ranging from 700 °C to 1100 °C. We report that the best quality germanium thin films are obtained above the melting point of germanium (937 °C), thus offering a method for in-situ Czochralski process. We show well-behaved high-κ /metal gate metal-oxide-semiconductor capacitors (MOSCAPs) using this film. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Study of the optical properties of deposited thin films on glass of HMDSO(C6H18Si2O) plasma

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.

    2007-06-01

    Deposition of amorphous silicone like (Si:Ox:Cy:Hz) thin films in a remote RF hollow cathode discharge plasma using HMDSO (C 6 H 1 8Si 2 O) as monomer and Ar as feed gas, has been investigated for films optical constants as a function of RF power (100-300 W) and precursor flow rate (1-10 sccm), using different substrates: Quartz, BK7, and Glass. The optical constants (refractive index, extinction coefficient and dielectric constant) have been obtained by reflection/transmission measurements in the range 300-700 nm. The optical energy-band gap Eg and the optical-absorption tail E have been estimated from optical absorption spectra. The results were interpreted using plasma diagnostic by optical emission spectroscopy.(author)

  4. Preparation of Pb(Zr, Ti)O3 Thin Films on Glass Substrates

    Science.gov (United States)

    Hioki, Tsuyoshi; Akiyama, Masahiko; Ueda, Tomomasa; Onozuka, Yutaka; Hara, Yujiro; Suzuki, Kouji

    2000-09-01

    Lead-zirconate-titanate (PZT) thin films were prepared on non-alkaline glass substrates widely used in liquid crystal display (LCD) devices, by plasma-assisted magnetron RF sputtering with an immersed coil. After preparation of the PZT thin film, the glass was available for use in LCD device processing. No mutual diffusion of the elements was recognized between the glass substrate and the bottom electrode. The PZT layer had a dense film structure with rectangular and columnar grains, and only its perovskite phase was crystalline. PZT thin films on a glass substrate had leakage current densities of about 10-8 A/cm2, acceptable hysteresis loop shapes with the remanent polarization (Pr) of 45 μC/cm2 and the coercive field (Ec) of 90 kV/cm. Ferroelectric properties on a glass substrate almost conform with those on a Si-based substrate.

  5. Lowering of L10 phase transition temperature of FePt thin films by single shot H+ ion exposure using plasma focus device

    International Nuclear Information System (INIS)

    Pan, Z.Y.; Lin, J.J.; Zhang, T.; Karamat, S.; Tan, T.L.; Lee, P.; Springham, S.V.; Ramanujan, R.V.; Rawat, R.S.

    2009-01-01

    FePt thin films are exposed to pulsed energetic H + ion beam from plasma focus. In irradiated films, the phase transition from the low K u disordered face-centered-cubic structure to high K u ordered face-centered-tetragonal phase was achieved at 400 deg. C with the order parameter S ranging from 0.73 to 0.83, high coercivity of about 5356 kA/m, high negative nucleation field of about 7700 kA/m and high squareness ratio ranging from 0.73 to 0.79. The advantage of using plasma focus device is that it can lower phase transition temperature and significantly enhance the magnetic properties by a pulsed single shot exposure

  6. Design and fabrication of a chamber for the deposit of thin films by laser ablation

    International Nuclear Information System (INIS)

    Chirino O, S.; Escobar A, I.; Camps C, E.; Garcia E, J.I.

    2000-01-01

    The laser ablation technique is an alternative for the obtention of thin films which is less expensive, more reliable, efficient and with some advantages with respect to conventional processes. On of the most important components which forms a laser ablation system is the vacuum chamber, that has as general purposes the following: a) To carry out studies about plasma such as optical emission spectroscopy and measurements by deflectometry. b) To carry out an In situ monitoring about the film growth through the reflectivity measurements of the combination substrate-film. c) To deposit thin films of different materials such as oxides, carbon, metals, etc. In this work it is showed how the vacuum chamber was designed and made to perform the store of thin films by laser ablation and for characterising the formed plasma as a result of the ablation process. The chamber design was enough versatile that will allow to add it more accessory just making it simple modifications. Its cost was very cheap more or less one twentieth of a commercial chamber. (Author)

  7. Study of electrochemical properties of thin film materials obtained using plasma technologies for production of electrodes for pacemakers

    International Nuclear Information System (INIS)

    Obrezkov, O I; Vinogradov, V P; Krauz, V I; Mozgrin, D V; Guseva, I A; Andreev, E S; Zverev, A A; Starostin, A L

    2016-01-01

    Studies of thin film materials (TFM) as coatings of tips of pacemaker electrodes implanted into the human heart have been performed. TFM coatings were deposited in vacuum by arc magnetron discharge plasma, by pulsed discharge of “Plasma Focus”, and by electron beam evaporation. Simulation of electric charge transfer to the heart in physiological blood- imitator solution and determination of electrochemical properties of the coatings were carried out. TFM of highly developed surface of contact with tissue was produced by argon plasma spraying of titanium powder with subsequent coating by titanium nitride in vacuum arc assisted by Ti ion implantation. The TFM coatings of pacemaker electrode have passed necessary clinical tests and were used in medical practice. They provide low voltage myocardium stimulation thresholds within the required operating time. (paper)

  8. Pulsed laser deposition of high Tc superconducting thin films

    International Nuclear Information System (INIS)

    Singh, R.K.; Narayan, J.

    1990-01-01

    This paper reports on the pulsed laser evaporation (PLE) technique for deposition of thin films characterized by a number of unique properties. Based on the experimental characteristics, a theoretical model is developed which considers the formation and anisotropic three dimensional expansion of the laser generated plasma. This model explains most of the experimental features observed in PLE. We have also employed the PLE technique for in-situ fabrication of YBa 2 Cu 3 O 7 superconducting thin films on different substrates in the temperature range of 500--650 degrees C. At temperatures below 600 degrees C, a biased interposing ring between the substrate and the target was found to significantly improve the superconducting properties. The minimum ion channeling yields were between 3--3.5% for films deposited on (100) SrTiO 3 and (100) LaAlO 3 substrates

  9. Photo-induced hydrophilicity of TiO2-xNx thin films on PET plates

    International Nuclear Information System (INIS)

    Chou, H.-Y.; Lee, E.-K.; You, J.-W.; Yu, S.-S.

    2007-01-01

    TiO 2-x N x thin films were deposited on PET (polyethylene terephthalate) plates by sputtering a TiN target in a N 2 /O 2 plasma and without heating. X-ray photoemission spectroscopy (XPS) was used to investigate the N 1s, Ti 2p core levels and the nitrogen composition in the TiO 2-x N x films. The results indicate that Ti-O-N bonds are formed in the thin films. Two nitrogen states, substitution and interstitial nitrogen atoms, were attributed to peaks at 396 and 399 eV, respectively. It was observed that the nitrogen atoms occupy both the substitutive and interstitial sites in respective of the nitrogen content in the thin films. UV-VIS absorption spectroscopy of PET coated thin films shows a significant shift of the absorption edge to lower energy in the visible-light region. UV and visible-light irradiation are used to activate PET coated thin films for the development of hydrophilicity. The photo-induced surface wettability conversion reaction of the thin films has been investigated by means of water contact angle measurement. PET plates coated with TiO 2-x N x thin films are found to exhibit lower water contact angle than non-coated plates when the surface is illuminated with UV and visible light. The effects of nitrogen doping on photo-generated hydrophilicity of the thin films are investigated in this work

  10. Thin film device applications

    CERN Document Server

    Kaur, Inderjeet

    1983-01-01

    Two-dimensional materials created ab initio by the process of condensation of atoms, molecules, or ions, called thin films, have unique properties significantly different from the corresponding bulk materials as a result of their physical dimensions, geometry, nonequilibrium microstructure, and metallurgy. Further, these characteristic features of thin films can be drasti­ cally modified and tailored to obtain the desired and required physical characteristics. These features form the basis of development of a host of extraordinary active and passive thin film device applications in the last two decades. On the one extreme, these applications are in the submicron dimensions in such areas as very large scale integration (VLSI), Josephson junction quantum interference devices, magnetic bubbles, and integrated optics. On the other extreme, large-area thin films are being used as selective coatings for solar thermal conversion, solar cells for photovoltaic conver­ sion, and protection and passivating layers. Ind...

  11. Plasma deposition of polymer composite films incorporating nanocellulose whiskers

    Science.gov (United States)

    Samyn, P.; Airoudj, A.; Laborie, M.-P.; Mathew, A. P.; Roucoules, V.

    2011-11-01

    In a trend for sustainable engineering and functionalization of surfaces, we explore the possibilities of gas phase processes to deposit nanocomposite films. From an analysis of pulsed plasma polymerization of maleic anhydride in the presence of nanocellulose whiskers, it seems that thin nanocomposite films can be deposited with various patterns. By specifically modifying plasma parameters such as total power, duty cycle, and monomer gas pressure, the nanocellulose whiskers are either incorporated into a buckled polymer film or single nanocellulose whiskers are deposited on top of a polymeric film. The density of the latter can be controlled by modifying the exact positioning of the substrate in the reactor. The resulting morphologies are evaluated by optical microscopy, AFM, contact angle measurements and ellipsometry.

  12. Biomimetic thin film synthesis

    Energy Technology Data Exchange (ETDEWEB)

    Graff, G.L.; Campbell, A.A.; Gordon, N.R.

    1995-05-01

    The purpose of this program is to develop a new process for forming thin film coatings and to demonstrate that the biomimetic thin film technology developed at PNL is useful for industrial applications. In the biomimetic process, mineral deposition from aqueous solution is controlled by organic functional groups attached to the underlying substrate surface. The coatings process is simple, benign, inexpensive, energy efficient, and particularly suited for temperature sensitive substrate materials (such as polymers). In addition, biomimetic thin films can be deposited uniformly on complex shaped and porous substrates providing a unique capability over more traditional line-of-sight methods.

  13. TiO2 thin and thick films grown on Si/glass by sputtering of titanium targets in an RF inductively coupled plasma

    International Nuclear Information System (INIS)

    Valencia-Alvarado, R; López-Callejas, R; Mercado-Cabrera, A; Peña-Eguiluz, R; Muñoz-Castro, A E; Rodríguez-Méndez, B G; De la Piedad-Beneitez, A; De la Rosa-Vázquez, J M

    2015-01-01

    TiO 2 thin and thick films were deposited on silicon/glass substrates using RF inductive plasma in continuous wave. The films thickness, as well as phases control, is achieved with a gradual increase in temperature substrates varying supplied RF power or working gas pressure besides deposition time as well. The deposition conditions were: argon 80%/oxygen 20% carefully calibrated mixture of 2 to 7×10 −2 mbar as working gas pressure range. Deposition time 0.5 to 5 hours, 500 or 600 W RF power at 13.56 MHz frequency and 242-345 °C substrates temperature range. The titanium dioxide deposited on the substrates is grown by sputtering of a titanium target negatively polarized at 3-5 kV DC situated 14 mm in front of such substrates. The plasma reactor is a simple Pyrex-like glass cylindrical vessel of 50 cm long and 20 cm in diameter. Using the before describe plasma parameters we obtained films only anatase and both anatase/rutile phases with stoichiometric different. The films were characterized by X-ray photoelectron spectroscopy (XPS), stylus profilometer, X-ray diffraction (XRD), scanning electron microscopy (SEM) and Raman spectroscopy. (paper)

  14. Characteristics of thin film fullerene coatings formed under different deposition conditions by power ion beams

    International Nuclear Information System (INIS)

    Petrov, A.V.; Ryabchikov, A.I.; Struts, V.K.; Usov, Yu.P.; Renk, T.J.

    2007-01-01

    Carbon allotropic form - C 60 and C 70 can be used in microelectronics, superconductors, solar batteries, logic and memory devices to increase processing tool wear resistance, as magnetic nanocomposite materials for record and storage information, in biology, medicine and pharmacology. In many cases it is necessary to have a thin-film containing C 60 and C 70 fullerene carbon coatings. A possibility in principle of thin carbon films formation with nanocrystalline structure and high content ∼30-95% of C 60 and C 70 fullerene mixture using the method of graphite targets sputtering by a power ion beam has been shown. Formation of thin-film containing C 60 and C 70 fullerene carbon coatings were carried out by means of deposition of ablation plasma on silicon substrates. Ablation plasma was generated as result of interaction of high-power pulsed ion beams (HPPIB) with graphite targets of different densities. It has been demonstrated that formation of fullerenes, their amount and characteristics of thin-film coatings depend on the deposition conditions. The key parameter for such process is the deposition rate, which determines thin film formation conditions and, subsequently, its structure and mechanical properties. Nano-hardness, Young module, adhesion to mono-crystalline silicon substrate, friction coefficient, roughness surface of synthesized coatings at the different deposition conditions were measured. These characteristics are under influence of such main process parameters as energy density of HPPIB, which, in turn, determinates the density and temperature of ablation plasma and deposition speed, which is thickness of film deposited for one pulse of ion current. Nano-hardness and Young module meanings are higher at the increasing of power density of ion beam. Adhesion value is less at the high deposition speed. As rule, friction coefficient depends on vice versa from roughness. (authors)

  15. Metalorganic chemical vapor deposition of Er{sub 2}O{sub 3} thin films: Correlation between growth process and film properties

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)], E-mail: michelaria.giangregorio@ba.imip.cnr.it; Losurdo, Maria; Sacchetti, Alberto; Capezzuto, Pio; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)

    2009-02-27

    Er{sub 2}O{sub 3} thin films have been grown by metalorganic chemical vapor deposition (MOCVD) at 600 deg. C on different substrates, including glass, Si (100) and sapphire (0001) using tris(isopropylcyclopentadienyl)erbium and O{sub 2}. The effects of growth parameters such as the substrate, the O{sub 2} plasma activation and the temperature of organometallic precursor injection, on the nucleation/growth kinetics and, consequently, on film properties have been investigated. Specifically, very smooth (111)-oriented Er{sub 2}O{sub 3} thin films (the root mean square roughness is 0.3 nm) are achieved on Si (100), {alpha}-Al{sub 2}O{sub 3} (0001) and amorphous glass by MOCVD. Growth under O{sub 2} remote plasma activation results in an increase in growth rate and in (100)-oriented Er{sub 2}O{sub 3} films with high refractive index and transparency in the visible photon energy range.

  16. Infrared analysis of thin films: amorphous, hydrogenated carbon on silicon

    International Nuclear Information System (INIS)

    Jacob, Wolfgang; Keudell, Achim von; Schwarz-Selinger, Thomas

    2000-01-01

    The infrared analysis of thin films on a thick substrate is discussed using the example of plasma-deposited, amorphous, hydrogenated carbon layers (a-C:H) on silicon substrates. The framework for the optical analysis of thin films is presented. The main characteristic of thin film optics is the occurrence of interference effects due to the coherent superposition of light multiply reflected at the various internal and external interfaces of the optical system. These interference effects lead to a sinusoidal variation of the transmitted and reflected intensity. As a consequence, the Lambert-Beer law is not applicable for the determination of the absorption coefficient of thin films. Furthermore, observable changes of the transmission and reflection spectra occur in the vicinity of strong absorption bands due to the Kramers-Kronig relation. For a sound data evaluation these effects have to be included in the analysis. To be able to extract the full information contained in a measured optical thin film spectrum, an experimentally measured spectrum has to be simulated using the full formalism including the Kramers-Kronig relation. Infrared absorption spectra and the resulting k spectra in the range of the CH vibrational bands around 3000 cm -1 are presented for a variety of a-C:H layers. The shape and the total intensity of the peak are quite sensitive to the film structure. Soft, polymerlike hydrocarbon layers are characterized by a well structured, intense IR absorption band, while hard, amorphous, hydrogenated carbon layers exhibit a structureless, broad IR absorption band with relative low intensity. The k spectra of the CH vibrational bands can be considered as fingerprint for the type of a-C:H film. (author)

  17. Thin Film Photovoltaic Partnership Project | Photovoltaic Research | NREL

    Science.gov (United States)

    Thin Film Photovoltaic Partnership Project Thin Film Photovoltaic Partnership Project NREL's Thin Film Photovoltaic (PV) Partnership Project led R&D on emerging thin-film solar technologies in the United States from 1994 to 2009. The project made many advances in thin-film PV technologies that allowed

  18. RF plasma deposition of thin SixGeyCz:H films using a combination of organometallic source materials

    International Nuclear Information System (INIS)

    Rapiejko, C.; Gazicki-Lipman, M.; Klimek, L.; Szymanowski, H.; Strojek, M.

    2004-01-01

    Elements of the IV group of periodic table have been strongly present in the fast development of PECVD techniques for the last two decades at least. As a result, deposition technologies of such materials as a-Si:H, a-C:H, mμ-C:H or DLC have been successfully established. What has followed is an ever growing interest in binary systems of the A x (IV)B y (IV):H kind. One possible way to deposit such systems is to use organosilicon compounds (to deposit Si x C y :H films) or organogermanium compounds (to deposit Ge x C y :H films), as source substances. The present paper reports on a RF plasma deposition of a Si x Ge y C z :H ternary system, using a combination of organosilicon and organogermanium compounds. Thin Si/Ge/C films have been fabricated in a small volume (ca. 2 dm 3 ) parallel plate RF plasma reactor using, as a source material, a combination of tetramethylsilane (TMS) and tetramethylgermanium (TMG) vapours carried by argon. SEM investigations reveal a continuous compact character of the coatings and their uniform thickness. The elemental composition of the films has been studied using EDX analysis. The results of the analysis show that the elemental composition of the films can be controlled by both the TMG/TMS ratio of the initial mixture and the RF power input. Ellipsometric measurements show good homogeneity of these materials. Chemical bonding in the films has been studied using the FTIR technique. Bandgap calculations have been carried out using ellipsometric data and by applying both the Tauc law and the Moss approach

  19. Large-area SnO2: F thin films by offline APCVD

    International Nuclear Information System (INIS)

    Wang, Yan; Wu, Yucheng; Qin, Yongqiang; Zhang, Zhihai; Shi, Chengwu; Zhang, Qingfeng; Li, Changhao; Xia, Xiaohong; Sun, Stanley; Chen, Leon

    2011-01-01

    Highlights: → Large-area (1245 mm x 635 mm) FTO thin films were successfully deposited by offline APCVD process. → The as-prepared FTO thin films with sheet resistance 8-11 Ω/□ and direct transmittance more than 83% exhibited better than that of the online ones. → The maximum quantum efficiency of the solar cells based on offline FTO substrate was 0.750 at wavelength 540 nm. → The power of the solar modules using the offline FTO as glass substrates was 51.639 W, higher than that of the modules based on the online ones. -- Abstract: In this paper, we reported the successful preparation of fluorine-doped tin oxide (FTO) thin films on large-area glass substrates (1245 mm x 635 mm x 3 mm) by self-designed offline atmospheric pressure chemical vapor deposition (APCVD) process. The FTO thin films were achieved through a combinatorial chemistry approach using tin tetrachloride, water and oxygen as precursors and Freon (F-152, C2H4F2) as dopant. The deposited films were characterized for crystallinity, morphology (roughness) and sheet resistance to aid optimization of materials suitable for solar cells. We got the FTO thin films with sheet resistance 8-11 Ω/□ and direct transmittance more than 83%. X-ray diffraction (XRD) characterization suggested that the as-prepared FTO films were composed of multicrystal, with the average crystal size 200-300 nm and good crystallinity. Further more, the field emission scanning electron microscope (FESEM) images showed that the films were produced with good surface morphology (haze). Selected samples were used for manufacturing tandem amorphous silicon (a-Si:H) thin film solar cells and modules by plasma enhanced chemical vapor deposition (PECVD). Compared with commercially available FTO thin films coated by online chemical vapor deposition, our FTO coatings show excellent performance resulting in a high quantum efficiency yield for a-Si:H solar cells and ideal open voltage and short circuit current for a-Si:H solar

  20. Plasma-polymerized perfluoro(methylcyclohexane) coating on ethylene propylene diene elastomer surface: Effect of plasma processing condition on the deposition kinetics, morphology and surface energy of the film

    International Nuclear Information System (INIS)

    Tran, N.D.; Dutta, N.K.; Choudhury, N. Roy

    2005-01-01

    Plasma polymerization of perfluoro (methylcyclohexane) was carried out under cold plasma process operated at 13.56 MHz to deposit pore-free, uniform, ultra-thin film on an ethylene propylene diene terpolymer (EPDM) substrate in a view to modify the surface characteristics. The plasma fluoropolymeric films were formed at different plasma treatment times (from 20 s to 16 min), applied powers (20 to 100 W) and precursor flow rates to produce high quality films in a controllable yet tunable fashion. Scanning electron microscopy was employed successfully to characterize the evolution of the morphological feature in the film and also to determine the thickness of the coating. The surface energy of the film was determined by sessile drop method using different solvents as probe liquids. It is observed that a pore-free homogeneous plasma polymer thin film is formed within 20 s of treatment time, however, the morphology of the film depends on the plasma processing conditions, such as plasma power, precursor flow rate and deposition time. With increased time and power at a constant flow rate, the morphology of the film progressively changes from flat smooth to globular and rough. The kinetics and activation energy of the plasma polymer film deposition process were also estimated. The surface energy of the EPDM substrate decreased dramatically with plasma coating, however, it appears to be independent of the treatment time

  1. Holographic tracking of quantized intra-film segments during interferometric laser processing of SiOx thin films(Conference Presentation)

    Science.gov (United States)

    Ho, Stephen; Domke, Matthias; Huber, Heinz P.; Herman, Peter P.

    2017-03-01

    Interferometric femtosecond laser processing of thin dielectric films has recently opened the novel approach for quantized nanostructuring from inside the film, driven by the rapid formation of periodic thin nanoscale plasma disks of 20 to 45 nm width, separated on half-wavelength, λ/2nfilm, spacing (refractive index, nfilm). The nano-disk explosions enable intra-film cleaving of subwavelength cavities at single or multiple periodic depths, enabling the formation of intra-film blisters with nanocavities and the digital ejection at fractional film depths with quantized-depth thickness defined by the laser wavelength. For this paper, the physical mechanisms and ablation dynamics underlying the intra-film cleavage of SiOx thin films were investigated by laser pump-probe microscopy with high temporal dynamic range recorded in a wide time-frame between 100 fs and 10 μs. The long time scales revealed a new observation method as Newton's Rings (observed 50 ns) of the laser-ablated film fragments. For the first time to our knowledge, the holographic tracking reveals the clustering of large mechanically ejected nano-film planes into distinct speed groups according to the multiple of λ/2nfilm in the film. The observation verifies a new `quantized' form of photo-mechanical laser "lift-off".

  2. Characterization of organic thin films

    CERN Document Server

    Ulman, Abraham; Evans, Charles A

    2009-01-01

    Thin films based upon organic materials are at the heart of much of the revolution in modern technology, from advanced electronics, to optics to sensors to biomedical engineering. This volume in the Materials Characterization series introduces the major common types of analysis used in characterizing of thin films and the various appropriate characterization technologies for each. Materials such as Langmuir-Blodgett films and self-assembled monolayers are first introduced, followed by analysis of surface properties and the various characterization technologies used for such. Readers will find detailed information on: -Various spectroscopic approaches to characterization of organic thin films, including infrared spectroscopy and Raman spectroscopy -X-Ray diffraction techniques, High Resolution EELS studies, and X-Ray Photoelectron Spectroscopy -Concise Summaries of major characterization technologies for organic thin films, including Auger Electron Spectroscopy, Dynamic Secondary Ion Mass Spectrometry, and Tra...

  3. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  4. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    International Nuclear Information System (INIS)

    Marciano, F.R.; Bonetti, L.F.; Pessoa, R.S.; Massi, M.; Santos, L.V.; Trava-Airoldi, V.J.

    2009-01-01

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  5. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Marciano, F.R., E-mail: fernanda@las.inpe.b [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil); Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Bonetti, L.F. [Clorovale Diamantes Industria e Comercio Ltda, Estr. do Torrao de Ouro, 500-Sao Jose dos Campos, 12229-390, SP (Brazil); Pessoa, R.S.; Massi, M. [Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Santos, L.V.; Trava-Airoldi, V.J. [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil)

    2009-08-03

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  6. Characterization of Ag-doped vanadium oxide (AgxV2O5) thin film for cathode of thin film battery

    International Nuclear Information System (INIS)

    Hwang, H.S.; Oh, S.H.; Kim, H.S.; Cho, W.I.; Cho, B.W.; Lee, D.Y.

    2004-01-01

    The effect of silver co-sputtering on the characteristics of amorphous V 2 O 5 films, grown by dc reactive sputtering, is investigated. The co-sputtering process influences the growth mechanism as well as the characteristics of the V 2 O 5 films. X-ray diffraction (XRD), Inductively coupled plasma-atomic emission spectrometry (ICP-AES), field emission-scanning electron microscopy (FE-SEM), Fourier transform infrared spectrometry (FT-IR) and X-ray photoelectron spectrometry (XPS) results indicate that the microstructure of the V 2 O 5 films is affected by the rf power of the co-sputtered silver. In addition, an all-solid-state thin film battery with full cell structure of Li/LiPON/Ag x V 2 O 5 /Pt has been fabricated. It is found that the silver co-sputtered V 2 O 5 cathode film exhibits better cycle performance than an undoped one

  7. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  8. Atomic layer deposition of Ru thin film using N{sub 2}/H{sub 2} plasma as a reactant

    Energy Technology Data Exchange (ETDEWEB)

    Hong, Tae Eun [Busan Center, Korea Basic Science Institute, 1275 Jisadong, Gangseogu, Busan, 618-230 (Korea, Republic of); Mun, Ki-Yeung; Choi, Sang-Kyung; Park, Ji-Yoon [School of Materials Science and Engineering Yeungnam University 214-1, Dae-dong, Gyeongsan-si, Gyeongsangbuk-do, 712-749 (Korea, Republic of); Kim, Soo-Hyun, E-mail: soohyun@ynu.ac.kr [School of Materials Science and Engineering Yeungnam University 214-1, Dae-dong, Gyeongsan-si, Gyeongsangbuk-do, 712-749 (Korea, Republic of); Cheon, Taehoon [Center for Core Research Facilities, Daegu Gyeongbuk Institute of Science and Technology, Sang-ri, Hyeonpung-myeon, Dalseong-gun, Daegu (Korea, Republic of); Kim, Woo Kyoung [School of Chemical Engineering, Yeungnam University, 214-1, Dae-dong, Gyeongsan-si, Gyeongsangbuk-do, 712-749 (Korea, Republic of); Lim, Byoung-Yong; Kim, Sunjung [School of Materials Science and Engineering, University of Ulsan, Mugeo-dong, Nam-go, Ulsan, 680-749 (Korea, Republic of)

    2012-07-31

    Ruthenium (Ru) thin films were grown by atomic layer deposition using IMBCHRu [({eta}6-1-Isopropyl-4-MethylBenzene)({eta}4-CycloHexa-1,3-diene)Ruthenium(0)] as a precursor and a nitrogen-hydrogen mixture (N{sub 2}/H{sub 2}) plasma as a reactant, at the substrate temperature of 270 Degree-Sign C. In the wide range of the ratios of N{sub 2} and total gas flow rates (fN{sub 2}/N{sub 2} + H{sub 2}) from 0.12 to 0.70, pure Ru films with negligible nitrogen incorporation of 0.5 at.% were obtained, with resistivities ranging from {approx} 20 to {approx} 30 {mu} Ohm-Sign cm. A growth rate of 0.057 nm/cycle and negligible incubation cycle for the growth on SiO{sub 2} was observed, indicating the fast nucleation of Ru. The Ru films formed polycrystalline and columnar grain structures with a hexagonal-close-packed phase. Its resistivity was dependent on the crystallinity, which could be controlled by varying the deposition parameters such as plasma power and pulsing time. Cu was electroplated on a 10-nm-thick Ru film. Interestingly, it was found that the nitrogen could be incorporated into Ru at a higher reactant gas ratio of 0.86. The N-incorporated Ru film ({approx} 20 at.% of N) formed a nanocrystalline and non-columnar grain structure with the resistivity of {approx} 340 {mu} Ohm-Sign cm. - Highlights: Black-Right-Pointing-Pointer Atomic layer deposition (ALD) of Ru and N-incorporated Ru film using N{sub 2}/H{sub 2} plasma. Black-Right-Pointing-Pointer The growth rate of 0.057 nm/cycle and negligible incubation cycle. Black-Right-Pointing-Pointer A low resistivity of Ru ({approx} 16.5 {mu} Ohm-Sign cm) at the deposition temperature of 270 Degree-Sign C. Black-Right-Pointing-Pointer Electroplating of Cu on a 10-nm-thick ALD-Ru film.

  9. Self-Limited Growth in Pentacene Thin Films.

    Science.gov (United States)

    Pachmajer, Stefan; Jones, Andrew O F; Truger, Magdalena; Röthel, Christian; Salzmann, Ingo; Werzer, Oliver; Resel, Roland

    2017-04-05

    Pentacene is one of the most studied organic semiconducting materials. While many aspects of the film formation have already been identified in very thin films, this study provides new insight into the transition from the metastable thin-film phase to bulk phase polymorphs. This study focuses on the growth behavior of pentacene within thin films as a function of film thickness ranging from 20 to 300 nm. By employing various X-ray diffraction methods, combined with supporting atomic force microscopy investigations, one crystalline orientation for the thin-film phase is observed, while three differently tilted bulk phase orientations are found. First, bulk phase crystallites grow with their 00L planes parallel to the substrate surface; second, however, crystallites tilted by 0.75° with respect to the substrate are found, which clearly dominate the former in ratio; third, a different bulk phase polymorph with crystallites tilted by 21° is found. The transition from the thin-film phase to the bulk phase is rationalized by the nucleation of the latter at crystal facets of the thin-film-phase crystallites. This leads to a self-limiting growth of the thin-film phase and explains the thickness-dependent phase behavior observed in pentacene thin films, showing that a large amount of material is present in the bulk phase much earlier during the film growth than previously thought.

  10. PLD prepared nanostructured Pt-CeO{sub 2} thin films containing ionic platinum

    Energy Technology Data Exchange (ETDEWEB)

    Vorokhta, M., E-mail: vorohtam@gmail.com [Charles University in Prague, Faculty of Mathematics and Physics, Department of Surface and Plasma Science, V Holešovičkách 2, 18000 Prague 8 (Czech Republic); Khalakhan, I.; Matolínová, I.; Nováková, J.; Haviar, S. [Charles University in Prague, Faculty of Mathematics and Physics, Department of Surface and Plasma Science, V Holešovičkách 2, 18000 Prague 8 (Czech Republic); Lančok, J.; Novotný, M. [Institute of Physics, Academy of Sciences of the Czech Republic, Na Slovance 2, 182 21 Prague, Czhech Republic (Czech Republic); Yoshikawa, H. [National Institute for Materials Science, Sengen 1-2-1, Tsukuba, Ibaraki 305-0047 (Japan); Matolín, V. [Charles University in Prague, Faculty of Mathematics and Physics, Department of Surface and Plasma Science, V Holešovičkách 2, 18000 Prague 8 (Czech Republic)

    2017-02-28

    Highlights: • Nanostructured Pt-CeO{sub 2} thin catalyst films were grown on plasma etched and non-etched carbon substrates by pulsed laser deposition. • The surface composition of the nanostructured Pt-CeO{sub 2} films was investigated by surface analysis techniques. • The effect of film roughening was separated from the effect of platinum-ceria atomic interactions. - Abstract: The composition of nanostructured Pt-CeO{sub 2} films on graphite substrates prepared by pulsed laser deposition has been investigated by means of hard X-ray photoelectron spectroscopy, scanning electron microscopy, high resolution transmission electron microscopy, and atomic force microscopy. The influence of morphology of the graphite substrates was investigated with respect to the relative concentrations of ionic and metallic Pt species in the films. It was found that the degree of Pt{sup 2+} enrichment is directly related to the surface morphology of graphite substrates. In particular, the deposition of Pt-CeO{sub 2} films on rough graphite substrate etched in oxygen plasma yielded nanostructured Pt-CeO{sub 2} catalyst films with high surface area and high Pt{sup 2+}/Pt{sup 0} ratio. The presented results demonstrate that PLD is a suitable method for the preparation of thin Pt-CeO{sub 2} catalyst films for fuel cell applications.

  11. Enhancement of the light-scattering ability of Ga-doped ZnO thin films using SiO{sub x} nano-films prepared by atmospheric pressure plasma deposition system

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Kow-Ming [Department of Electronics Engineering and Institute of Electronics, National Chiao Tung University, 1001 Ta Hsueh Road, Hsinchu 30010, Taiwan, ROC (China); Ho, Po-Ching, E-mail: raymondsam.ee98g@nctu.edu.tw [Department of Electronics Engineering and Institute of Electronics, National Chiao Tung University, 1001 Ta Hsueh Road, Hsinchu 30010, Taiwan, ROC (China); Ariyarit, Atthaporn [Department of Electronics Engineering and Institute of Electronics, National Chiao Tung University, 1001 Ta Hsueh Road, Hsinchu 30010, Taiwan, ROC (China); Yang, Kuo-Hui; Hsu, Jui-Mei; Wu, Chin-Jyi; Chang, Chia-Chiang [Industrial Technology Research Institute, Mechanical and Systems Research Laboratories, Hsinchu 31040, Taiwan, ROC (China)

    2013-12-02

    To enhance the light-trapping qualities of silicon thin-film solar cells, the use of transparent conductive oxide with high haze and high conductivity is essential. This study investigated an eco-friendly technique that used bilayer Ga-doped zinc oxide/SiO{sub x} films prepared with an atmospheric pressure plasma jet to achieve high haze and low resistivity. A minimum resistivity of 6.00 × 10{sup −4} Ω·cm was achieved at 8 at.% gallium doping. Examination of X-ray diffraction spectra showed that increased film thickness led to increased carrier concentration in GZO bilayers. The optimal bilayer GZO film achieved considerably higher haze values in the visible and NIR regions, compared with Asahi U-type fluorine doped tin oxide. - Highlights: • Ga-doped ZnO (GZO) and SiO{sub x} deposited by atmospheric pressure plasma jet (APPJ) • Deposition uses a water-based precursor and low substrate temperature (< 150 °C). • SiO{sub x} buffer layers deposited by APPJ can control haze value of Ga-doped ZnO films. • GZO/SiO{sub x} achieved the resistivity of 6.00 × 10{sup −4} Ω·cm and haze of 21.5% at 550 nm.

  12. Plasma-enhanced atomic layer deposition of silicon dioxide films using plasma-activated triisopropylsilane as a precursor

    International Nuclear Information System (INIS)

    Jeon, Ki-Moon; Shin, Jae-Su; Yun, Ju-Young; Jun Lee, Sang; Kang, Sang-Woo

    2014-01-01

    The plasma-enhanced atomic layer deposition (PEALD) process was developed as a growth technique of SiO 2 thin films using a plasma-activated triisopropylsilane [TIPS, ((iPr) 3 SiH)] precursor. TIPS was activated by an argon plasma at the precursor injection stage of the process. Using the activated TIPS, it was possible to control the growth rate per cycle of the deposited films by adjusting the plasma ignition time. The PEALD technique allowed deposition of SiO 2 films at temperatures as low as 50 °C without carbon impurities. In addition, films obtained with plasma ignition times of 3 s and 10 s had similar values of root-mean-square surface roughness. In order to evaluate the suitability of TIPS as a precursor for low-temperature deposition of SiO 2 films, the vapor pressure of TIPS was measured. The thermal stability and the reactivity of the gas-phase TIPS with respect to water vapor were also investigated by analyzing the intensity changes of the C–H and Si–H peaks in the Fourier-transform infrared spectrum of TIPS

  13. Characterization of Pb(Zr, Ti)O3 thin films fabricated by plasma enhanced chemical vapor deposition on Ir-based electrodes

    International Nuclear Information System (INIS)

    Lee, Hee-Chul; Lee, Won-Jong

    2002-01-01

    Structural and electrical characteristics of Pb(Zr, Ti)O 3 (PZT) ferroelectric thin films deposited on various Ir-based electrodes (Ir, IrO 2 , and Pt/IrO 2 ) using electron cyclotron resonance plasma enhanced chemical vapor deposition were investigated. On the Ir electrode, stoichiometric PZT films with pure perovskite phase could be obtained over a very wide range of processing conditions. However, PZT films prepared on the IrO 2 electrode contain a large amount of PbO x phases and exhibited high Pb-excess composition. The deposition characteristics were dependent on the behavior of PbO molecules on the electrode surface. The PZT thin film capacitors prepared on the Ir bottom electrode showed different electrical properties depending on top electrode materials. The PZT capacitors with Ir, IrO 2 , and Pt top electrodes showed good leakage current characteristics, whereas those with the Ru top electrode showed a very high leakage current density. The PZT capacitor exhibited the best fatigue endurance with an IrO 2 top electrode. An Ir top electrode provided better fatigue endurance than a Pt top electrode. The PZT capacitor with an Ir-based electrode is thought to be attractive for the application to ferroelectric random access memory devices because of its wide processing window for a high-quality ferroelectric film and good polarization, fatigue, and leakage current characteristics

  14. Silicon nitride gradient film as the underlayer of ultra-thin tetrahedral amorphous carbon overcoat for magnetic recording slider

    Energy Technology Data Exchange (ETDEWEB)

    Wang Guigen, E-mail: wanggghit@yahoo.com [Shenzhen Graduate School, Harbin Institute of Technology, Shenzhen 518055 (China); Kuang Xuping; Zhang Huayu; Zhu Can [Shenzhen Graduate School, Harbin Institute of Technology, Shenzhen 518055 (China); Han Jiecai [Shenzhen Graduate School, Harbin Institute of Technology, Shenzhen 518055 (China); Center for Composite Materials, Harbin Institute of Technology, Harbin 150080 (China); Zuo Hongbo [Center for Composite Materials, Harbin Institute of Technology, Harbin 150080 (China); Ma Hongtao [SAE Technologies Development (Dongguan) Co., Ltd., Dongguan 523087 (China)

    2011-12-15

    Highlights: Black-Right-Pointing-Pointer The ultra-thin carbon films with different silicon nitride (Si-N) film underlayers were prepared. Black-Right-Pointing-Pointer It highlighted the influences of Si-N underlayers. Black-Right-Pointing-Pointer The carbon films with Si-N underlayers obtained by nitriding especially at the substrate bias of -150 V, can exhibit better corrosion protection properties - Abstract: There are higher technical requirements for protection overcoat of magnetic recording slider used in high-density storage fields for the future. In this study, silicon nitride (Si-N) composition-gradient films were firstly prepared by nitriding of silicon thin films pre-sputtered on silicon wafers and magnetic recording sliders, using microwave electron cyclotron resonance plasma source. The ultra-thin tetrahedral amorphous carbon films were then deposited on the Si-N films by filtered cathodic vacuum arc method. Compared with amorphous carbon overcoats with conventional silicon underlayers, the overcoats with Si-N underlayers obtained by plasma nitriding especially at the substrate bias of -150 V, can provide better corrosion protection for high-density magnetic recording sliders.

  15. Silicon nitride gradient film as the underlayer of ultra-thin tetrahedral amorphous carbon overcoat for magnetic recording slider

    International Nuclear Information System (INIS)

    Wang Guigen; Kuang Xuping; Zhang Huayu; Zhu Can; Han Jiecai; Zuo Hongbo; Ma Hongtao

    2011-01-01

    Highlights: ► The ultra-thin carbon films with different silicon nitride (Si-N) film underlayers were prepared. ► It highlighted the influences of Si-N underlayers. ► The carbon films with Si-N underlayers obtained by nitriding especially at the substrate bias of −150 V, can exhibit better corrosion protection properties - Abstract: There are higher technical requirements for protection overcoat of magnetic recording slider used in high-density storage fields for the future. In this study, silicon nitride (Si-N) composition-gradient films were firstly prepared by nitriding of silicon thin films pre-sputtered on silicon wafers and magnetic recording sliders, using microwave electron cyclotron resonance plasma source. The ultra-thin tetrahedral amorphous carbon films were then deposited on the Si-N films by filtered cathodic vacuum arc method. Compared with amorphous carbon overcoats with conventional silicon underlayers, the overcoats with Si-N underlayers obtained by plasma nitriding especially at the substrate bias of −150 V, can provide better corrosion protection for high-density magnetic recording sliders.

  16. Effect of Substrate Roughness on Adhesion and Structural Properties of Ti-Ni Shape Memory Alloy Thin Film.

    Science.gov (United States)

    Kim, Donghwan; Lee, Hyunsuk; Bae, Joohyeon; Jeong, Hyomin; Choi, Byeongkeun; Nam, Taehyun; Noh, Jungpil

    2018-09-01

    Ti-Ni shape memory alloy (SMA) thin films are very attractive material for industrial and medical applications such as micro-actuator, micro-sensors, and stents for blood vessels. An important property besides shape memory effect in the application of SMA thin films is the adhesion between the film and the substrate. When using thin films as micro-actuators or micro-sensors in MEMS, the film must be strongly adhered to the substrate. On the other hand, when using SMA thin films in medical devices such as stents, the deposited alloy thin film must be easily separable from the substrate for efficient processing. In this study, we investigated the effect of substrate roughness on the adhesion of Ti-Ni SMA thin films, as well as the structural properties and phase-transformation behavior of the fabricated films. Ti-Ni SMA thin films were deposited onto etched glass substrates with magnetron sputtering. Radio frequency plasma was used for etching the substrate. The adhesion properties were investigated through progressive scratch test. Structural properties of the films were determined via Feld emission scanning electron microscopy, X-ray diffraction measurements (XRD) and Energy-dispersive X-ray spectroscopy analysis. Phase transformation behaviors were observed with differential scanning calorimetry and low temperature-XRD. Ti-Ni SMA thin film deposited onto rough substrate provides higher adhesive strength than smooth substrate. However the roughness of the substrate has no influence on the growth and crystallization of the Ti-Ni SMA thin films.

  17. The effect of polyimide imidization conditions on adhesion strength of thin metal films on polyimide substrates

    CERN Document Server

    Yoo, S H

    1999-01-01

    The effects of Ar sup + RF plasma precleaning and polyimide curing conditions on the peel strength between Al thin films and polyimides have been studied. The BPDA-PDA polyimide precursor of PI-2611 (Du pont) was spin-coated and cured under various imidization conditions. The cured polyimide substrates were in-situ AR sup + RF plasma cleaned prior to metal deposition. Al-1 % Si-0.5 % Cu thin films were deposited onto the polyimide substrates by using DC magnetron sputtering. The peel strength was enhanced by Ar sup + RF plasma precleaning. The Al/modified PI specimen failed cohesively in the polyimide. The polyimide curing conditions strongly affect the peel strength in the Al/modified PI system.

  18. Design of Faraday cup ion detectors built by thin film deposition

    Energy Technology Data Exchange (ETDEWEB)

    Szalkowski, G.A., E-mail: gszalkowski3@gatech.edu [Department of Nuclear Engineering, Georgia Institute of Technology, 770 State St., Atlanta, GA 30332 (United States); Darrow, D.S., E-mail: ddarrow@pppl.gov [Princeton Plasma Physics Laboratory, P. O. Box 451, Princeton, NJ 08543 (United States); Cecil, F.E., E-mail: fcecil@mines.edu [Department of Physics, Colorado School of Mines, Golden, CO 80401 (United States)

    2017-03-11

    Thin film Faraday cup detectors can provide measurements of fast ion loss from magnetically confined fusion plasmas. These multilayer detectors can resolve the energy distribution of the lost ions in addition to giving the total loss rate. Prior detectors were assembled from discrete foils and insulating sheets. Outlined here is a design methodology for creating detectors using thin film deposition that are suited to particular scientific goals. The intention is to use detectors created by this method on the Joint European Torus (JET) and the National Spherical Torus Experiment-Upgrade (NSTX-U). The detectors will consist of alternating layers of aluminum and silicon dioxide, with layer thicknesses chosen to isolate energies of interest. Thin film deposition offers the advantage of relatively simple and more mechanically robust construction compared to other methods, as well as allowing precise control of film thickness. Furthermore, this depositional fabrication technique places the layers in intimate thermal contact, providing for three-dimensional conduction and dissipation of the ion-produced heating in the layers, rather than the essentially two-dimensional heat conduction in the discrete foil stack implementation.

  19. Coplanar amorphous-indium-gallium-zinc-oxide thin film transistor with He plasma treated heavily doped layer

    Energy Technology Data Exchange (ETDEWEB)

    Jeong, Ho-young [Advanced Display Research Center, Department of Information Display, Kyung Hee University, Dongdaemun-gu, Seoul 130-701 (Korea, Republic of); LG Display R and D Center, 245 Lg-ro, Wollong-myeon, Paju-si, Gyeonggi-do 413-811 (Korea, Republic of); Lee, Bok-young; Lee, Young-jang; Lee, Jung-il; Yang, Myoung-su; Kang, In-byeong [LG Display R and D Center, 245 Lg-ro, Wollong-myeon, Paju-si, Gyeonggi-do 413-811 (Korea, Republic of); Mativenga, Mallory; Jang, Jin, E-mail: jjang@khu.ac.kr [Advanced Display Research Center, Department of Information Display, Kyung Hee University, Dongdaemun-gu, Seoul 130-701 (Korea, Republic of)

    2014-01-13

    We report thermally stable coplanar amorphous-indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs) with heavily doped n{sup +} a-IGZO source/drain regions. Doping is through He plasma treatment in which the resistivity of the a-IGZO decreases from 2.98 Ω cm to 2.79 × 10{sup −3} Ω cm after treatment, and then it increases to 7.92 × 10{sup −2} Ω cm after annealing at 300 °C. From the analysis of X-ray photoelectron spectroscopy, the concentration of oxygen vacancies in He plasma treated n{sup +}a-IGZO does not change much after thermal annealing at 300 °C, indicating thermally stable n{sup +} a-IGZO, even for TFTs with channel length L = 4 μm. Field-effect mobility of the coplanar a-IGZO TFTs with He plasma treatment changes from 10.7 to 9.2 cm{sup 2}/V s after annealing at 300 °C, but the performance of the a-IGZO TFT with Ar or H{sub 2} plasma treatment degrades significantly after 300 °C annealing.

  20. Coplanar amorphous-indium-gallium-zinc-oxide thin film transistor with He plasma treated heavily doped layer

    International Nuclear Information System (INIS)

    Jeong, Ho-young; Lee, Bok-young; Lee, Young-jang; Lee, Jung-il; Yang, Myoung-su; Kang, In-byeong; Mativenga, Mallory; Jang, Jin

    2014-01-01

    We report thermally stable coplanar amorphous-indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs) with heavily doped n + a-IGZO source/drain regions. Doping is through He plasma treatment in which the resistivity of the a-IGZO decreases from 2.98 Ω cm to 2.79 × 10 −3 Ω cm after treatment, and then it increases to 7.92 × 10 −2 Ω cm after annealing at 300 °C. From the analysis of X-ray photoelectron spectroscopy, the concentration of oxygen vacancies in He plasma treated n + a-IGZO does not change much after thermal annealing at 300 °C, indicating thermally stable n + a-IGZO, even for TFTs with channel length L = 4 μm. Field-effect mobility of the coplanar a-IGZO TFTs with He plasma treatment changes from 10.7 to 9.2 cm 2 /V s after annealing at 300 °C, but the performance of the a-IGZO TFT with Ar or H 2 plasma treatment degrades significantly after 300 °C annealing

  1. Thin films for precision optics

    International Nuclear Information System (INIS)

    Araujo, J.F.; Maurici, N.; Castro, J.C. de

    1983-01-01

    The technology of producing dielectric and/or metallic thin films for high precision optical components is discussed. Computer programs were developed in order to calculate and register, graphically, reflectance and transmittance spectra of multi-layer films. The technology of vacuum evaporation of several materials was implemented in our thin-films laboratory; various films for optics were then developed. The possibility of first calculate film characteristics and then produce the film is of great advantage since it reduces the time required to produce a new type of film and also reduces the cost of the project. (C.L.B.) [pt

  2. Thin-film solar cell

    NARCIS (Netherlands)

    Metselaar, J.W.; Kuznetsov, V.I.

    1998-01-01

    The invention relates to a thin-film solar cell provided with at least one p-i-n junction comprising at least one p-i junction which is at an angle alpha with that surface of the thin-film solar cell which collects light during operation and at least one i-n junction which is at an angle beta with

  3. Dual purpose laser ablation-inductively coupled plasma mass spectrometry for pulsed laser deposition and diagnostics of thin film fabrication: preliminary study.

    Science.gov (United States)

    Azdejković, Mersida Janeva; van Elteren, Johannes Teun; Rozman, Kristina Zuzek; Jaćimović, Radojko; Sarantopoulou, Evangelia; Kobe, Spomenka; Cefalas, Alkiviadis Constantinos

    2009-08-15

    PLD (pulsed laser deposition) is an attractive technique to fabricate thin films with a stoichiometry reflecting that of the target material. Conventional PLD instruments are more or less black boxes in which PLD is performed virtually "blind", i.e. without having great control on the important PLD parameters. In this preliminary study, for the first time, a 213 nm Nd-YAG commercial laser ablation-inductively coupled plasma mass spectrometer (LA-ICPMS) intended for microanalysis work was used for PLD under atmospheric pressure and in and ex situ ICPMS analysis for diagnostics of the thin film fabrication process. A PLD demonstration experiment in a He atmosphere was performed with a Sm(13.8)Fe(82.2)Ta(4.0) target-Ta-coated silicon wafer substrate (contraption with defined geometry in the laser ablation chamber) to transfer the permanent magnetic properties of the target to the film. Although this paper is not dealing with the magnetic properties of the film, elemental analysis was applied as a means of depicting the PLD process. It was shown that in situ ICPMS monitoring of the ablation plume as a function of the laser fluence, beam diameter and repetition rate may be used to ensure the absence of large particles (normally having a stoichiometry somewhat different from the target). Furthermore, ex situ microanalysis of the deposited particles on the substrate, using the LA-ICPMS as an elemental mapping tool, allowed for the investigation of PLD parameters critical in the fabrication of a thin film with appropriate density, homogeneity and stoichiometry.

  4. Factors affecting the adhesion of microwave plasma deposited siloxane films on polycarbonate

    International Nuclear Information System (INIS)

    Muir, B.W.; Thissen, H.; Simon, G.P.; Murphy, P.J.; Griesser, H.J.

    2006-01-01

    The effects of a radiofrequency oxygen plasma pretreatment and residual water content in the substrate on the adhesion of microwave plasma deposited tetramethyldisiloxane thin films on Bisphenol-A polycarbonate (BPA-PC) were investigated. Samples were characterised using a crosshatch adhesion test, optical and electron microscopy, and X-ray photoelectron spectroscopy. It was found that the use of a low power (5 W) and low treatment time (0.1 s) oxygen plasma can improve adhesion while greater treatment times (1-30 s) and higher oxygen plasma powers (40 W) resulted in a decreased level of adhesion. In addition, it was shown that a BPA-PC water content greater than 90 ppm resulted in rapid adhesion failure of deposited films at the substrate-plasma polymer interface during outdoor weathering. All films degraded substantially when exposed to environmental weathering, indicating ageing reactions within the plasma polymer films themselves, and at the bulk polymer-coating interface

  5. Thin-Film Material Science and Processing | Materials Science | NREL

    Science.gov (United States)

    Thin-Film Material Science and Processing Thin-Film Material Science and Processing Photo of a , a prime example of this research is thin-film photovoltaics (PV). Thin films are important because cadmium telluride thin film, showing from top to bottom: glass, transparent conducting oxide (thin layer

  6. Nanocrystal thin film fabrication methods and apparatus

    Science.gov (United States)

    Kagan, Cherie R.; Kim, David K.; Choi, Ji-Hyuk; Lai, Yuming

    2018-01-09

    Nanocrystal thin film devices and methods for fabricating nanocrystal thin film devices are disclosed. The nanocrystal thin films are diffused with a dopant such as Indium, Potassium, Tin, etc. to reduce surface states. The thin film devices may be exposed to air during a portion of the fabrication. This enables fabrication of nanocrystal-based devices using a wider range of techniques such as photolithography and photolithographic patterning in an air environment.

  7. Thin plasma-polymerized layers of hexamethyldisiloxane for humidity sensor development

    International Nuclear Information System (INIS)

    Guermat, N.; Bellel, A.; Sahli, S.; Segui, Y.; Raynaud, P.

    2009-01-01

    The response of resistive-type sensors based on thin hexamethyldisiloxane layers to relative humidity (RH) was evaluated. Humidity sensitive layers were plasma polymerized at low frequency glow discharge using a capacitively coupled parallel plate reactor. The sensor design comprises the absorbing layer deposited on clean glass substrate with comb-shape aluminum electrodes (interdigitated structure). The change in electrical impedance of the sensing film was monitored as the device was exposed to humidity. The variation of the plasma-polymerization parameters resulted in different humidity sensing properties which could be correlated to the results of Fourier transform infrared spectroscopy (FTIR). The deposited films exhibited a detectable response to RH ranging from 30 to 95% with low hysteresis, good reproducibility and stability in long-term use. Films with a greater thickness showed a significant decrease in the humidity sensing capability. FTIR analysis revealed the presence of SiH bonding groups, which are frequently linked to the film density. The increase in the plasma discharge power induced also a significant decrease in the diffusion process of water vapor inside the sensitive layer bulk.

  8. On the oxidation mechanism of microcrystalline silicon thin films studied by Fourier transform infrared spectroscopy

    NARCIS (Netherlands)

    Bronneberg, A. C.; Smets, A. H. M.; Creatore, M.; M. C. M. van de Sanden,

    2011-01-01

    Insight into the oxidation mechanism of microcrystalline silicon thin films has been obtained by means of Fourier transform infrared spectroscopy. The films were deposited by using the expanding thermal plasma and their oxidation upon air exposure was followed in time. Transmission spectra were

  9. Tungsten oxide thin film exposed to low energy D and He plasma: evidence for a thermal enhancement of the erosion yield

    Science.gov (United States)

    Hijazi, Hussein; Martin, C.; Roubin, P.; Addab, Y.; Cabie, C.; Pardanaud, C.; Bannister, M.; Meyer, F.

    2017-10-01

    Nanocrystalline tungsten oxide thin films (25 nm - 250 nm thickness) produced by thermal oxidation of a tungsten substrate were exposed to low energy D and He plasma. Low energy D plasma exposure (11 eV/D+) of these films have resulted in the formation of a tungsten bronze (DxWO3) clearly observed by Raman microscopy. D plasma bombardment (4 1021 m-2) has also induced a color change of the oxide layer which is similar to the well-known electro-chromic effect and has been named ``plasma-chromic effect''. To unravel physical and chemical origins of the modifications observed under exposure, similar tungsten oxide films were also exposed to low energy helium plasma (20 eV/He+) . Due to the low fluence (4 1021 m-2) and low ion energy (20 eV), at room temperature, He exposure has induced only very few morphological and structural modifications. On the contrary, at 673 K, significant erosion is observed, which gives evidence for an unexpected thermal enhancement of the erosion yield. We present here new results concerning He beam exposures at low fluence (4 1021 m-2) varying the He+ energy from 20 eV to 320 eV to measure the tungsten oxide sputtering threshold energy. Detailed analyses before/after exposure to describe the D and He interaction with the oxide layer, its erosion and structural modification at the atomic and micrometer scale will be presented.

  10. Structure and electrical properties of Pb(ZrxTi1-x)O3 deposited on textured Pt thin films

    International Nuclear Information System (INIS)

    Hong, Jongin; Song, Han Wook; Lee, Hee Chul; Lee, Won Jong; No, Kwangsoo

    2001-01-01

    The texturing of the bottom electrode plays a key role in the structure and electrical properties of Pb(Zr,Ti)O 3 (PZT) thin films. We fabricated Pt bottom electrodes having a different thickness on MgO single crystals at 600 o C by rf magnetron sputtering. As the thickness of platinum (Pt) thin film increased, the preferred orientation of Pt thin film changed from (200) to (111). PZT thin films were fabricated at 450 o C by electron cyclotron resonance-plasma enhanced metal organic chemical vapor deposition on the textured Pt thin films. The texturing of the bottom electrode caused drastic changes in the C--V characteristics, P--E characteristics, and fatigue characteristics of metal/ferroelectric material/metal (MFM) capacitors. The difference of the electrical properties between the PZT thin films having different texturing was discussed in terms-of the x--y alignment and the interface between electrode and PZT in MFM capacitors. copyright 2001 American Institute of Physics

  11. Low temperature aluminum nitride thin films for sensory applications

    Energy Technology Data Exchange (ETDEWEB)

    Yarar, E.; Zamponi, C.; Piorra, A.; Quandt, E., E-mail: eq@tf.uni-kiel.de [Institute for Materials Science, Chair for Inorganic Functional Materials, Kiel University, D-24143 Kiel (Germany); Hrkac, V.; Kienle, L. [Institute for Materials Science, Chair for Synthesis and Real Structure, Kiel University, D-24143 Kiel (Germany)

    2016-07-15

    A low-temperature sputter deposition process for the synthesis of aluminum nitride (AlN) thin films that is attractive for applications with a limited temperature budget is presented. Influence of the reactive gas concentration, plasma treatment of the nucleation surface and film thickness on the microstructural, piezoelectric and dielectric properties of AlN is investigated. An improved crystal quality with respect to the increased film thickness was observed; where full width at half maximum (FWHM) of the AlN films decreased from 2.88 ± 0.16° down to 1.25 ± 0.07° and the effective longitudinal piezoelectric coefficient (d{sub 33,f}) increased from 2.30 ± 0.32 pm/V up to 5.57 ± 0.34 pm/V for film thicknesses in the range of 30 nm to 2 μm. Dielectric loss angle (tan δ) decreased from 0.626% ± 0.005% to 0.025% ± 0.011% for the same thickness range. The average relative permittivity (ε{sub r}) was calculated as 10.4 ± 0.05. An almost constant transversal piezoelectric coefficient (|e{sub 31,f}|) of 1.39 ± 0.01 C/m{sup 2} was measured for samples in the range of 0.5 μm to 2 μm. Transmission electron microscopy (TEM) investigations performed on thin (100 nm) and thick (1.6 μm) films revealed an (002) oriented AlN nucleation and growth starting directly from the AlN-Pt interface independent of the film thickness and exhibit comparable quality with the state-of-the-art AlN thin films sputtered at much higher substrate temperatures.

  12. In situ preparation of biomimetic thin films and their surface-shielding effect for organisms in high vacuum.

    Directory of Open Access Journals (Sweden)

    Hiroshi Suzuki

    Full Text Available Self-standing biocompatible films have yet to be prepared by physical or chemical vapor deposition assisted by plasma polymerization because gaseous monomers have thus far been used to create only polymer membranes. Using a nongaseous monomer, we previously found a simple fabrication method for a free-standing thin film prepared from solution by plasma polymerization, and a nano-suit made by polyoxyethylene (20 sorbitan monolaurate can render multicellular organisms highly tolerant to high vacuum. Here we report thin films prepared by plasma polymerization from various monomer solutions. The films had a flat surface at the irradiated site and were similar to films produced by vapor deposition of gaseous monomers. However, they also exhibited unique characteristics, such as a pinhole-free surface, transparency, solvent stability, flexibility, and a unique out-of-plane molecular density gradient from the irradiated to the unirradiated surface of the film. Additionally, covering mosquito larvae with the films protected the shape of the organism and kept them alive under the high vacuum conditions in a field emission-scanning electron microscope. Our method will be useful for numerous applications, particularly in the biological sciences.

  13. Silicon solar cell performance deposited by diamond like carbon thin film ;Atomic oxygen effects;

    Science.gov (United States)

    Aghaei, Abbas Ail; Eshaghi, Akbar; Karami, Esmaeil

    2017-09-01

    In this research, a diamond-like carbon thin film was deposited on p-type polycrystalline silicon solar cell via plasma-enhanced chemical vapor deposition method by using methane and hydrogen gases. The effect of atomic oxygen on the functioning of silicon coated DLC thin film and silicon was investigated. Raman spectroscopy, field emission scanning electron microscopy, atomic force microscopy and attenuated total reflection-Fourier transform infrared spectroscopy were used to characterize the structure and morphology of the DLC thin film. Photocurrent-voltage characteristics of the silicon solar cell were carried out using a solar simulator. The results showed that atomic oxygen exposure induced the including oxidation, structural changes, cross-linking reactions and bond breaking of the DLC film; thus reducing the optical properties. The photocurrent-voltage characteristics showed that although the properties of the fabricated thin film were decreased after being exposed to destructive rays, when compared with solar cell without any coating, it could protect it in atomic oxygen condition enhancing solar cell efficiency up to 12%. Thus, it can be said that diamond-like carbon thin layer protect the solar cell against atomic oxygen exposure.

  14. Characterizations of photoconductivity of graphene oxide thin films

    Directory of Open Access Journals (Sweden)

    Shiang-Kuo Chang-Jian

    2012-06-01

    Full Text Available Characterizations of photoresponse of a graphene oxide (GO thin film to a near infrared laser light were studied. Results showed the photocurrent in the GO thin film was cathodic, always flowing in an opposite direction to the initial current generated by the preset bias voltage that shows a fundamental discrepancy from the photocurrent in the reduced graphene oxide thin film. Light illumination on the GO thin film thus results in more free electrons that offset the initial current. By examining GO thin films reduced at different temperatures, the critical temperature for reversing the photocurrent from cathodic to anodic was found around 187°C. The dynamic photoresponse for the GO thin film was further characterized through the response time constants within the laser on and off durations, denoted as τon and τoff, respectively. τon for the GO thin film was comparable to the other carbon-based thin films such as carbon nanotubes and graphenes. τoff was, however, much larger than that of the other's. This discrepancy was attributable to the retardation of exciton recombination rate thanks to the existing oxygen functional groups and defects in the GO thin films.

  15. Solid phase crystallisation of HfO2 thin films

    International Nuclear Information System (INIS)

    Modreanu, M.; Sancho-Parramon, J.; O'Connell, D.; Justice, J.; Durand, O.; Servet, B.

    2005-01-01

    In this paper, we report on the solid phase crystallisation of carbon-free HfO 2 thin films deposited by plasma ion assisted deposition (PIAD). After deposition, the HfO 2 films were annealed in N 2 ambient for 3 h at 350, 550 and 750 deg. C. Several characterisation techniques including X-ray reflectometry (XRR), X-ray diffraction (XRD), spectroscopic ellipsometry (SE) and atomic force microscopy (AFM) were used for the physical characterisation of as-deposited and annealed HfO 2 . XRD has revealed that the as-deposited HfO 2 film is in an amorphous-like state with only traces of crystalline phase and that the annealed films are in a highly crystalline state. These results are in good agreement with the SE results showing an increase of refractive index by increasing the annealing temperature. XRR results show a significant density gradient over the as-deposited film thickness, which is characteristic of the PIAD method. The AFM measurements show that the HfO 2 layers have a smooth surface even after annealing at 750 deg. C. The present study demonstrates that the solid phase crystallisation of HfO 2 PIAD thin films starts at a temperature as low as 550 deg. C

  16. P-111 : a thin film encapsulation stack for PLED and OLED displays

    NARCIS (Netherlands)

    Assche, van F.J.H.; Vangheluwe, R.T.; Maes, J.W.C.; Mischke, W.S.; Bijker, M.D.; Dings, F.C.; Evers, M.F.J.; Kessels, W.M.M.; Sanden, van de M.C.M.

    2004-01-01

    For a thin film (<1 µm) encapsulation stack consisting of only 3 plasma deposited silicon nitride layers separated by a thin (<100 nm) organic layer, a water permeation rate of below 10–5 g/m2 per day at 50 °C and 50% rH has been measured using the Ca test. PLED lifetimes of over 500 hours at 60 °C

  17. Characterization of Nanocrystalline SiGe Thin Film Solar Cell with Double Graded-Dead Absorption Layer

    Directory of Open Access Journals (Sweden)

    Chao-Chun Wang

    2012-01-01

    Full Text Available The nanocrystalline silicon-germanium (nc-SiGe thin films were deposited by high-frequency (27.12 MHz plasma-enhanced chemical vapor deposition (HF-PECVD. The films were used in a silicon-based thin film solar cell with graded-dead absorption layer. The characterization of the nc-SiGe films are analyzed by scanning electron microscopy, UV-visible spectroscopy, and Fourier transform infrared absorption spectroscopy. The band gap of SiGe alloy can be adjusted between 0.8 and 1.7 eV by varying the gas ratio. For thin film solar cell application, using double graded-dead i-SiGe layers mainly leads to an increase in short-circuit current and therefore cell conversion efficiency. An initial conversion efficiency of 5.06% and the stabilized efficiency of 4.63% for an nc-SiGe solar cell were achieved.

  18. Development of neutron diffuse scattering analysis code by thin film and multilayer film

    International Nuclear Information System (INIS)

    Soyama, Kazuhiko

    2004-01-01

    To research surface structure of thin film and multilayer film by neutron, a neutron diffuse scattering analysis code using DWBA (Distorted-Wave Bron Approximation) principle was developed. Subjects using this code contain the surface and interface properties of solid/solid, solid/liquid, liquid/liquid and gas/liquid, and metal, magnetism and polymer thin film and biomembran. The roughness of surface and interface of substance shows fractal self-similarity and its analytical model is based on DWBA theory by Sinha. The surface and interface properties by diffuse scattering are investigated on the basis of the theoretical model. The calculation values are proved to be agreed with the experimental values. On neutron diffuse scattering by thin film, roughness of surface of thin film, correlation function, neutron propagation by thin film, diffuse scattering by DWBA theory, measurement model, SDIFFF (neutron diffuse scattering analysis program by thin film) and simulation results are explained. On neutron diffuse scattering by multilayer film, roughness of multilayer film, principle of diffuse scattering, measurement method and simulation examples by MDIFF (neutron diffuse scattering analysis program by multilayer film) are explained. (S.Y.)To research surface structure of thin film and multilayer film by neutron, a neutron diffuse scattering analysis code using DWBA (Distorted-Wave Bron Approximation) principle was developed. Subjects using this code contain the surface and interface properties of solid/solid, solid/liquid, liquid/liquid and gas/liquid, and metal, magnetism and polymer thin film and biomembran. The roughness of surface and interface of substance shows fractal self-similarity and its analytical model is based on DWBA theory by Sinha. The surface and interface properties by diffuse scattering are investigated on the basis of the theoretical model. The calculation values are proved to be agreed with the experimental values. On neutron diffuse scattering

  19. Modification of rubber surface with hydrogenated diamond-like carbon thin films

    NARCIS (Netherlands)

    Pei, Y. T.; Bui, X. L.; De Hosson, J. Th. M.; Laudon, M; Romanowicz, B

    2009-01-01

    Thin films of hydrogenated diamond-like carbon (DLC) have been deposited on hydrogenated nitrile butadiene rubber (HNBR) for reduction of friction and enhancement of wear resistance of dynamic rubber seals, by sputtering graphite targets in C(2)H(2)/Ar plasma. The wax removal and pre-deposition

  20. Thin films preparation of the Ti-Al-O system by rf-sputtering

    International Nuclear Information System (INIS)

    Montes de Oca, J. A.; Ceballos A, J.; Galaviz P, J.; Manaud, J. P.; Lahaye, M.; Munoz S, J.

    2010-01-01

    In the present work Ti-Al-O thin films were synthesized by rf-sputtering technique on glass and silicon (Si) substrates using Ti Al and Ti 3 Al targets in a sputtering chamber with an Ar-O 2 atmosphere. Ti-Al-O thin films were obtained varying experimental parameters such as oxygen percent fed to the reaction chamber, plasma power density and substrate temperature. The films deposited on glass substrates were used to evaluate their optical properties, while those deposited on Si substrates were used to evaluate mechanical and morphological properties. The crystalline structure, morphology, chemical composition and optical properties of the films were evaluated by X-ray diffraction, high-resolution scanning electron microscopy, Auger electron microscopy and visible UV spectroscopy. Films thicknesses were measured using a profiler. The roughness and mechanical properties such as hardness and Young modulus were analyzed by atomic force microscopy and nano indentation technique, respectively. (Author)

  1. Thin film tritium dosimetry

    Science.gov (United States)

    Moran, Paul R.

    1976-01-01

    The present invention provides a method for tritium dosimetry. A dosimeter comprising a thin film of a material having relatively sensitive RITAC-RITAP dosimetry properties is exposed to radiation from tritium, and after the dosimeter has been removed from the source of the radiation, the low energy electron dose deposited in the thin film is determined by radiation-induced, thermally-activated polarization dosimetry techniques.

  2. Deposition of fluorocarbon films by Pulsed Plasma Thruster on the anode side

    International Nuclear Information System (INIS)

    Zhang, Rui; Zhang, Daixian; Zhang, Fan; He, Zhen; Wu, Jianjun

    2013-01-01

    Fluorocarbon thin films were deposited by Pulsed Plasma Thruster at different angles on the anode side of the thruster. Density and velocity of the plasma in the plume of the Pulsed Plasma Thruster were determined using double and triple Langmuir probe apparatus respectively. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), scanning probe microscope (SPM) and UV–vis spectrometer. Low F/C ratio (0.64–0.86) fluorocarbon films are deposited. The F/C ratio decreases with angle increasing from 0 degree to 30 degree; however it turns to increase with angle increasing from 45 degree to 90 degree. The films deposited at center angles appear rougher compared with that prepared at angles beyond 45 degree. These films basically show having strong absorption properties for wavelength below 600 nm and having enhanced reflective characteristics. Due to the influence of the chemical composition and the surface morphology of the films, the optical properties of these films also show significant angular dependence.

  3. Optical and electrical properties of transparent conducting B-doped ZnO thin films prepared by various deposition methods

    International Nuclear Information System (INIS)

    Nomoto, Jun-ichi; Miyata, Toshihiro; Minami, Tadatsugu

    2011-01-01

    B-doped ZnO (BZO) thin films were prepared with various thicknesses up to about 500 nm on glass substrates at 200 deg. C by dc or rf magnetron sputtering deposition, pulsed laser deposition (PLD), and vacuum arc plasma evaporation (VAPE) methods. Resistivities of 4-6 x 10 -4 Ω cm were obtained in BZO thin films prepared with a B content [B/(B + Zn) atomic ratio] around 1 at. % by PLD and VAPE methods: Hall mobilities above 40 cm 2 /Vs and carrier concentrations on the order of 10 20 cm -3 . All 500-nm-thick-BZO thin films prepared with a resistivity on the order of 10 -3 -10 -4 Ω cm exhibited an averaged transmittance above 80% in the wavelength range of 400-1100 nm. The resistivity in BZO thin films prepared with a thickness below about 500 nm was found to increase over time with exposure to various high humidity environments. In heat-resistance tests, the resistivity stability of BZO thin films was found to be nearly equal to that of Ga-doped ZnO thin films, so these films were judged suitable for use as a transparent electrode for thin-film solar cells.

  4. Thin films for emerging applications v.16

    CERN Document Server

    Francombe, Maurice H

    1992-01-01

    Following in the long-standing tradition of excellence established by this serial, this volume provides a focused look at contemporary applications. High Tc superconducting thin films are discussed in terms of ion beam and sputtering deposition, vacuum evaporation, laser ablation, MOCVD, and other deposition processes in addition to their ultimate applications. Detailed treatment is also given to permanent magnet thin films, lateral diffusion and electromigration in metallic thin films, and fracture and cracking phenomena in thin films adhering to high-elongation substrates.

  5. Interfaces and thin films physics

    International Nuclear Information System (INIS)

    Equer, B.

    1988-01-01

    The 1988 progress report of the Interfaces and Thin Film Physics laboratory (Polytechnic School France) is presented. The research program is focused on the thin films and on the interfaces of the amorphous semiconductor materials: silicon and silicon germanium, silicon-carbon and silicon-nitrogen alloys. In particular, the following topics are discussed: the basic processes and the kinetics of the reactive gas deposition, the amorphous materials manufacturing, the physico-chemical characterization of thin films and interfaces and the electron transport in amorphous semiconductors. The construction and optimization of experimental devices, as well as the activities concerning instrumentation, are also described [fr

  6. Buckling of Thin Films in Nano-Scale

    Directory of Open Access Journals (Sweden)

    Li L.A.

    2010-06-01

    Full Text Available Investigation of thin film buckling is important for life prediction of MEMS device which are damaged mainly by the delamination and buckling of thin films. In this paper the mechanical and thermal properties of compressed thin film titanium films with 150 nm thickness deposited on an organic glass substrate under mechanical and thermal loads were measured and characterized. In order to simulate the thin films which subjected to compound loads and the buckle modes the external uniaxial compression and thermal loading were subjected to the specimen by the symmetric loading device and the electrical film in this experiment. The temperature of the thin film deposited on substrate was measured using thermoelectric couple. The range of temperature accords with the temperature range of the MEMS. It is found that the size and number of the delamination and buckling of the film are depended upon the pre-fixed mechanical loading and thermal temperature. The thermal transient conduction and thermal stability of the film and substrate was studied with finite element method.

  7. Comparative Study of Furnace and Flash Lamp Annealed Silicon Thin Films Grown by Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Maheshwar Shrestha

    2018-03-01

    Full Text Available Low-temperature growth of microcrystalline silicon (mc-Si is attractive for many optoelectronic device applications. This paper reports a detailed comparison of optical properties, microstructure, and morphology of amorphous silicon (a-Si thin films crystallized by furnace annealing and flash lamp annealing (FLA at temperatures below the softening point of glass substrate. The initial a-Si films were grown by plasma enhanced chemical vapor deposition (PECVD. Reflectance measurement indicated characteristic peak in the UV region ~280 nm for the furnace annealed (>550 °C and flash lamp annealed films, which provided evidence of crystallization. The film surface roughness increased with increasing the annealing temperature as well as after the flash lamp annealing. X-ray diffraction (XRD measurement indicated that the as-deposited samples were purely amorphous and after furnace crystallization, the crystallites tended to align in one single direction (202 with uniform size that increased with the annealing temperature. On the other hand, the flash lamp crystalized films had randomly oriented crystallites with different sizes. Raman spectroscopy showed the crystalline volume fraction of 23.5%, 47.3%, and 61.3% for the samples annealed at 550 °C, 650 °C, and with flash lamp, respectively. The flash lamp annealed film was better crystallized with rougher surface compared to furnace annealed ones.

  8. Carrier collection losses in interface passivated amorphous silicon thin-film solar cells

    International Nuclear Information System (INIS)

    Neumüller, A.; Sergeev, O.; Vehse, M.; Agert, C.; Bereznev, S.; Volobujeva, O.; Ewert, M.; Falta, J.

    2016-01-01

    In silicon thin-film solar cells the interface between the i- and p-layer is the most critical. In the case of back diffusion of photogenerated minority carriers to the i/p-interface, recombination occurs mainly on the defect states at the interface. To suppress this effect and to reduce recombination losses, hydrogen plasma treatment (HPT) is usually applied. As an alternative to using state of the art HPT we apply an argon plasma treatment (APT) before the p-layer deposition in n-i-p solar cells. To study the effect of APT, several investigations were applied to compare the results with HPT and no plasma treatment at the interface. Carrier collection losses in resulting solar cells were examined with spectral response measurements with and without bias voltage. To investigate single layers, surface photovoltage and X-ray photoelectron spectroscopy (XPS) measurements were conducted. The results with APT at the i/p-interface show a beneficial contribution to the carrier collection compared with HPT and no plasma treatment. Therefore, it can be concluded that APT reduces the recombination centers at the interface. Further, we demonstrate that carrier collection losses of thin-film solar cells are significantly lower with APT.

  9. Carrier collection losses in interface passivated amorphous silicon thin-film solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Neumüller, A., E-mail: alex.neumueller@next-energy.de; Sergeev, O.; Vehse, M.; Agert, C. [NEXT ENERGY EWE Research Centre for Energy Technology at the University of Oldenburg, Carl-von-Ossietzky-Straße 15, 26129 Oldenburg (Germany); Bereznev, S.; Volobujeva, O. [Department of Materials Science, Tallinn University of Technology, Ehitajate Tee 5, Tallinn 19086 (Estonia); Ewert, M.; Falta, J. [Institute of Solid State Physics, University of Bremen, Otto-Hahn-Allee 1, 28359 Bremen (Germany); MAPEX Center for Materials and Processes, University of Bremen, 28359 Bremen (Germany)

    2016-07-25

    In silicon thin-film solar cells the interface between the i- and p-layer is the most critical. In the case of back diffusion of photogenerated minority carriers to the i/p-interface, recombination occurs mainly on the defect states at the interface. To suppress this effect and to reduce recombination losses, hydrogen plasma treatment (HPT) is usually applied. As an alternative to using state of the art HPT we apply an argon plasma treatment (APT) before the p-layer deposition in n-i-p solar cells. To study the effect of APT, several investigations were applied to compare the results with HPT and no plasma treatment at the interface. Carrier collection losses in resulting solar cells were examined with spectral response measurements with and without bias voltage. To investigate single layers, surface photovoltage and X-ray photoelectron spectroscopy (XPS) measurements were conducted. The results with APT at the i/p-interface show a beneficial contribution to the carrier collection compared with HPT and no plasma treatment. Therefore, it can be concluded that APT reduces the recombination centers at the interface. Further, we demonstrate that carrier collection losses of thin-film solar cells are significantly lower with APT.

  10. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    Science.gov (United States)

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  11. Operating method of amorphous thin film semiconductor element

    Energy Technology Data Exchange (ETDEWEB)

    Mori, Koshiro; Ono, Masaharu; Hanabusa, Akira; Osawa, Michio; Arita, Takashi

    1988-05-31

    The existing technologies concerning amorphous thin film semiconductor elements are the technologies concerning the formation of either a thin film transistor or an amorphous Si solar cell on a substrate. In order to drive a thin film transistor for electronic equipment control by the output power of an amorphous Si solar cell, it has been obliged to drive the transistor weth an amorphous solar cell which was formed on a substrate different from that for the transistor. Accordingly, the space for the amorphous solar cell, which was formed on the different substrate, was additionally needed on the substrate for the thin film transistor. In order to solve the above problem, this invention proposes an operating method of an amorphous thin film semiconductor element that after forming an amorphous Si solar cell through lamination on the insulation coating film which covers the thin film transistor formed on the substrate, the thin film transistor is driven by the output power of this solar cell. The invention eliminates the above superfluous space and reduces the size of the amorphous thin film semiconductor element including the electric source. (3 figs)

  12. Deposition of Al N and Ti N thin films on substrates of stainless steel SS304 using plasma focus device

    International Nuclear Information System (INIS)

    Al-Hawat, Sh.; Soukieh, M.; Abou Kharoub, M.; Al-Sadat, W.

    2006-06-01

    A 2.8 kJ plasma focus device was used to deposit thin films of aluminium nitride Al N and titanium nitride Ti N on a stainless steel 394 substrate, in order to improve its surface properties. The deposition process was carried out using various number of nitrogen plasma shots at pressures 0.5-0.75 mbar and at different sample's distances from the anode. The plasma diagnostics was achieved using the voltage and current signals recorded by a voltage divider and Rogovskii coil to determine the temperature and plasma density. The surface hardness of SS-304 was increased by about 50% after plasma coating and the thickness of the coated layers was about 1-2μm. This study shows that the hardness is increased with increasing the number of shots and decreased with the distance from the anode. The coated layers were characterized and a qualitative understanding of the deposition process was given. (author)

  13. Temperature dependence of LRE-HRE-TM thin films

    Science.gov (United States)

    Li, Zuoyi; Cheng, Xiaomin; Lin, Gengqi; Li, Zhen; Huang, Zhixin; Jin, Fang; Wang, Xianran; Yang, Xiaofei

    2003-04-01

    Temperature dependence of the properties of RE-TM thin films is very important for MO recording. In this paper, we studied the temperature dependence of the magnetic and magneto-optical properties of the amorphous LRE-HRE-TM single layer thin films and LRE-HRE-TM/HRE-TM couple-bilayered thin films. For LRE-HRE-TM single layer thin films, the temperature dependence of the magnetization was investigated by using the mean field theory. The experimental and theoretical results matched very well. With the LRE substitution in HRE-TM thin film, the compensation temperature Tcomp decreased and the curie temperature Tc remained unchanged. Kerr rotation angle became larger and the saturation magnetization Ms at room temperature increased. For LRE-HRE-TM/HRE-TM couple-bilayered thin films, comparisons of the temperature dependences of the coercivities and Kerr rotation angles were made between isolated sublayers and couple-bilayered thin film.

  14. Investigation of the RF pulse modulated plasma jet system during the deposition of Pb(Zr.sub.x./sub.Ti.sub.1-x./sub.)O.sub.3./sub. thin films on polymer substrates

    Czech Academy of Sciences Publication Activity Database

    Hubička, Zdeněk; Čada, Martin; Adámek, P.; Virostko, Petr; Olejníček, Jiří; Deyneka, Alexander; Jastrabík, Lubomír; Jurek, Karel; Suchaneck, G.; Guenther, M.; Gerlach, G.; Boháč, Petr

    2005-01-01

    Roč. 200, - (2005), s. 940-946 ISSN 0257-8972 R&D Projects: GA AV ČR(CZ) KJB1010302 Institutional research plan: CEZ:AV0Z10100522 Keywords : : PZT thin films * plasma jet * Langmuir probe * plasma impedance Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 1.646, year: 2005

  15. Iron, nitrogen and silicon doped diamond like carbon (DLC) thin films: A comparative study

    International Nuclear Information System (INIS)

    Ray, Sekhar C.; Pong, W.F.; Papakonstantinou, P.

    2016-01-01

    The X-ray absorption near edge structure (XANES), X-ray photoelectron spectroscopy (XPS), valence band photoemission (VB-PES) and Raman spectroscopy results show that the incorporation of nitrogen in pulsed laser deposited diamond like carbon (DLC) thin films, reverts the sp"3 network to sp"2 as evidenced by an increase of the sp"2 cluster and I_D/I_G ratio in C K-edge XANES and Raman spectra respectively which reduces the hardness/Young's modulus into the film network. Si-doped DLC film deposited in a plasma enhanced chemical vapour deposition process reduces the sp"2 cluster and I_D/I_G ratio that causes the decrease of hardness/Young's modulus of the film structure. The Fe-doped DLC films deposited by dip coating technique increase the hardness/Young's modulus with an increase of sp"3-content in DLC film structure. - Highlights: • Fe, N and Si doped DLC films deposited by dip, PLD and PECVD methods respectively • DLC:Fe thin films have higher hardness/Young's modulus than DLC:N(:Si) thin films. • sp"3 and sp"2 contents are estimated from C K-edge XANES and VB-PES measurements.

  16. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-08-31

    We report the growth and characterization of III-nitride ternary thin films (Al{sub x}Ga{sub 1−x}N, In{sub x}Al{sub 1−x}N and In{sub x}Ga{sub 1−x}N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures.

  17. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    International Nuclear Information System (INIS)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-01-01

    We report the growth and characterization of III-nitride ternary thin films (Al x Ga 1−x N, In x Al 1−x N and In x Ga 1−x N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures

  18. Preparation and characterization of thin organosilicon films deposited on SPR chip

    Energy Technology Data Exchange (ETDEWEB)

    Szunerits, Sabine [Laboratoire d' Electrochimie et de Physicochimie des Materiaux et des Interfaces (LEPMI), CNRS-INPG-UJF, 1130 rue de la piscine, BP 75, 38402 St. Martin d' Heres Cedex (France)], E-mail: sabine.szunerits@lepmi.inpg.fr; Rich, Sami Abou [Laboratoire de Genie des Procedes d' Interaction de Fluides Reactifs-Materiaux U.S.T.L., Cite Scientifique, 59655 Villeneuve d' Ascq (France); Coffinier, Yannick [Institut de Recherche Interdisciplinaire (IRI), FRE CNRS 2963, Institut d' lectronique, de Microelectronique et de Nanotechnologie (IEMN), UMR CNRS-8520, Cite Scientifique, Avenue Poincare, BP 60069, 59652 Villeneuve d' Ascq (France); Languille, Marie-Angelique [Unite de Catalyse et de Chimie du Solide, UCCS UMR CNRS-8181, Universite des Sciences et Technologies de Lille, Bat. C3, 59655 Villeneuve d' Ascq (France); Supiot, Philippe [Laboratoire de Genie des Procedes d' Interaction de Fluides Reactifs-Materiaux U.S.T.L., Cite Scientifique, 59655 Villeneuve d' Ascq (France); Boukherroub, Rabah [Institut de Recherche Interdisciplinaire (IRI), FRE CNRS 2963, Institut d' lectronique, de Microelectronique et de Nanotechnologie (IEMN), UMR CNRS-8520, Cite Scientifique, Avenue Poincare, BP 60069, 59652 Villeneuve d' Ascq (France)], E-mail: rabah.boukherroub@iemn.univ-lille1.fr

    2008-04-20

    The paper reports on the preparation and characterization of organosilicon thin polymer films deposited on glass slides coated with 5 nm adhesion layer of titanium and 50 nm of gold. The polymer was obtained by the decomposition of 1,1,3,3-tetramethyldisiloxane precursor (TMDSO) premixed with oxygen induced in a N{sub 2} plasma afterglow using remote plasma-enhanced chemical vapor deposition (PECVD) technique. The film thickness was controlled by laser interferometry and was 9 nm. The chemical stability of the gold substrate coated with the organosilicon polymer film (p-TMDSO) was studied in different acidic and basic solutions (pH 1-14). While the gold/polymer interface showed a high stability in acidic media, the film was almost completely removed in basic solutions. The resulting surfaces were characterized using atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), water contact angle measurements, cyclic voltammetry, and surface plasmon resonance (SPR)

  19. Preparation and characterization of thin organosilicon films deposited on SPR chip

    International Nuclear Information System (INIS)

    Szunerits, Sabine; Rich, Sami Abou; Coffinier, Yannick; Languille, Marie-Angelique; Supiot, Philippe; Boukherroub, Rabah

    2008-01-01

    The paper reports on the preparation and characterization of organosilicon thin polymer films deposited on glass slides coated with 5 nm adhesion layer of titanium and 50 nm of gold. The polymer was obtained by the decomposition of 1,1,3,3-tetramethyldisiloxane precursor (TMDSO) premixed with oxygen induced in a N 2 plasma afterglow using remote plasma-enhanced chemical vapor deposition (PECVD) technique. The film thickness was controlled by laser interferometry and was 9 nm. The chemical stability of the gold substrate coated with the organosilicon polymer film (p-TMDSO) was studied in different acidic and basic solutions (pH 1-14). While the gold/polymer interface showed a high stability in acidic media, the film was almost completely removed in basic solutions. The resulting surfaces were characterized using atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), water contact angle measurements, cyclic voltammetry, and surface plasmon resonance (SPR)

  20. Deposition of SiOx thin films on Y-TZP by reactive magnetron sputtering: influence of plasma parameters on the adhesion properties between Y-TZP and resin cement for application in dental prosthesis

    Directory of Open Access Journals (Sweden)

    José Renato Calvacanti de Queiroz

    2011-01-01

    Full Text Available In this paper SiOx thin films were deposited on Y-TZP ceramics by reactive magnetron sputtering technique in order to improve the adhesion properties between Y-TZP and resin cement for applications in dental prosthesis. For fixed cathode voltage, target current, working pressure and target-to-substrate distance, SiOx thin films were deposited at different oxygen concentrations in the Ar+O2 plasma forming gas. After deposition processes, SiOx thin films were characterized by profilometry, energy dispersive spectroscopy (EDS, optical microscopy and scanning electron microscopy (SEM. Adhesion properties between Y-TZP and resin cement were evaluated by shear testing. Results indicate that films deposited at 20%O2 increased the bond strength to (32.8 ± 5.4 MPa. This value has not been achieved by traditional methods.

  1. Fabrication of Hydrogenated Amorphous Germanium Thin Layer Film and ItsCharacterization

    International Nuclear Information System (INIS)

    Agus-Santoso; Lely-Susita RM; Tjipto-Sujitno

    2000-01-01

    Fabrication of hydrogenated amorphous Germanium thin film by vacuumevaporation method and then deposition with hydrogen atom by glow dischargeplasma radio frequency has been done. This germanium amorphous (a-Ge) thinfilm involves a lot of dangling bonds in the network due to the irregularityof the atomic structures and it will decrease is conductivity. To improve theband properties of (a-Ge) thin film layer a hydrogenated plasma isintroduced. Process of introducing of the hydrogen into the a-Ge film is meanto reduce the dangling bonds so that the best electric conductivity of a Ge:Hthin film will obtained. To identify the hydrogen atom in the sample acharacterization using infrared spectrometer has been done, as well as themeasurement of conductivity of the samples. From the characterization usinginfrared spectroscopy the existence of hydrogen atom was found at absorptionpeak with wave number 1637.5 cm -1 , while the optimum conductivity of thesample 1634.86 Ω -1 cm -1 was achieved at 343 o K. (author)

  2. Application-related properties of giant magnetostrictive thin films

    International Nuclear Information System (INIS)

    Lim, S.H.; Kim, H.J.; Na, S.M.; Suh, S.J.

    2002-01-01

    In an effort to facilitate the utilization of giant magnetostrictive thin films in microdevices, application-related properties of these thin films, which include induced anisotropy, residual stress and corrosion properties, are investigated. A large induced anisotropy with an energy of 6x10 4 J/m 3 is formed in field-sputtered amorphous Sm-Fe-B thin films, resulting in a large magnetostriction anisotropy. Two components of residual stress, intrinsic compressive stress and tensile stress due to the difference of the thermal expansion coefficients between the substrate and thin film, are identified. The variation of residual stress with fabrication parameter and annealing temperature, and its influence on mechanical bending and magnetic properties are examined. Better corrosion properties are observed in Sm-Fe thin films than in Tb-Fe. Corrosion properties of Tb-Fe thin films, however, are much improved with the introduction of nitrogen to the thin films without deteriorating magnetostrictive properties

  3. Trajectory effect on the properties of large area ZnO thin films deposited by atmospheric pressure plasma jet

    International Nuclear Information System (INIS)

    Juang, Jia-Yang; Chou, Tung-Sheng; Lin, Hsin-Tien; Chou, Yuan-Fang; Weng, Chih-Chiang

    2014-01-01

    Highlights: • We develop a cost-effective technique, atmosphere pressure plasma jet (APPJ), to deposit gallium-doped zinc oxide (GZO) films on large area glass substrates in atmosphere. • Scanning trajectory has a significant impact on the pattern of sheet resistance distribution. • The primary root cause of the nonuniformity is the annealing effect of the deposited films in air while the nozzle scans over the rest of the substrate. • Equivalent circuits models considering only the resistance increase due to air annealing cannot explain the unexpected rise of resistance in the center of the substrate for multiple-pass samples. • Measurements of residual stress, carrier concentration and Hall mobility reveal that the residual stress is another factor that results in nonuniform resistance distribution. - Abstract: Large area (117 mm × 185 mm) gallium-doped zinc oxide (GZO) films are prepared on glass substrates by atmospheric pressure plasma jet (APPJ) technique. The uniformity of material properties, in particular the electrical resistivity, of the deposited film is of great importance in reducing design complexity of the electron devices. We investigate the effects of scanning trajectory recipe (speed, pitch and number of passes) on structural and electrical properties of GZO thin films. We find that the trajectory has significant effects on the magnitude and uniformity of sheet resistance over the glass substrates. For single pass, the resistance appears higher at the starting part of spray, whereas, for cases of multiple passes, the highest resistance appears in the central part of the substrate. XRD, SEM, Hall measurement and residual stress are used to study the film properties and identify root causes of the nonuniform distribution of sheet resistance. We conclude that annealing time is the dominant root cause of the nonuniform resistance distribution, and other factors such as residual stress and structural characteristics may also have

  4. Characterization of diamond-like carbon thin film synthesized by RF atmospheric pressure plasma Ar/CH4 jet

    Science.gov (United States)

    Sohbatzadeh, Farshad; Safari, Reza; Etaati, G. Reza; Asadi, Eskandar; Mirzanejhad, Saeed; Hosseinnejad, Mohammad Taghi; Samadi, Omid; Bagheri, Hanieh

    2016-01-01

    The growth of diamond like carbon (DLC) on a Pyrex glass was investigated by a radio frequency (RF) atmospheric pressure plasma jet (APPJ). The plasma jet with capacitive configuration ran by a radio frequency power supply at 13.56 MHz. Alumina ceramic was used as dielectric barrier. Ar and CH4 were used in atmospheric pressure as carrier and precursor gases, respectively. Diamond like carbon thin films were deposited on Pyrex glass at substrate temperature and applied power of 130 °C and 250 Watts, respectively. Performing field emission scanning electron microscope (FE-SEM) and laser Raman spectroscopy analysis resulted in deposition rate and the ID/IG ratio of 21.31 nm/min and 0.47, respectively. The ID/IG ratio indicated that the coating possesses relative high sp3 content The optical emission spectroscopy (OES) diagnostic was applied to diagnose plasma jet species. Estimating electron temperature and density of the RF-APPJ resulted in 1.36 eV and 2.75 × 1014 cm-3 at the jet exit, respectively.

  5. Preliminary study of CdTe and CdTe:Cu thin films nanostructures deposited by using DC magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Marwoto, Putut; Made, D. P. Ngurah; Sugianto [Departement of Physics, Faculty of Mathematics and Natural Sciences, Universitas Negeri Semarang, Gunungpati, Semarang 50229 Jawa Tengah (Indonesia); Wibowo, Edy; Astuti, Santi Yuli; Aryani, Nila Prasetya [Materials Research Group, Laboratory of Thin Film, Department of Physics, Universitas Negeri Semarang, Gunungpati, Semarang 50229 Jawa Tengah (Indonesia); Othaman, Zulkafli [Departement of Physics, Universiti Teknologi Malaysia (UTM), Skudai, Johor Bahru (Malaysia)

    2013-09-03

    Growth and properties of CdTe and CdTe:Cu thin films nanostrucures deposited by using dc magnetron sputtering are reported. Scanning electron microscope (SEM) was used to observe the surface morphologies of the thin films. At growth conditions of 250 °C and 14 W, CdTe films did not yet evenly deposited. However, at growth temperature and plasma power of 325 °C and 43 W, both CdTe and CdTe:Cu(2%) have deposited on the substrates. In this condition, the morphology of the films indicate that the films have a grain-like nanostructures. Grain size diameter of about 200 nm begin to appear on top of the films. Energy Dispersive X-rays spectroscopy (EDX) was used to investigate chemical elements of the Cu doped CdTe film deposited. It was found that the film deposited consist of Cd, Te and Cu elements. XRD was used to investigate the full width at half maximum (FWHM) values of the thin films deposited. The results show that CdTe:Cu(2%) thin film has better crystallographic properties than CdTe thin film. The UV-Vis spectrometer was used to investigate the optical properties of thin films deposited. The transmittance spectra showed that transmittance of CdTe:Cu(2%) film is lower than CdTe film. It was found that the bandgap energy of CdTe and CdTe:Cu(2%) thin films of about 1.48 eV.

  6. Preparation of LiMn2O4 cathode thin films for thin film lithium secondary batteries by a mist CVD process

    International Nuclear Information System (INIS)

    Tadanaga, Kiyoharu; Yamaguchi, Akihiro; Sakuda, Atsushi; Hayashi, Akitoshi; Tatsumisago, Masahiro; Duran, Alicia; Aparacio, Mario

    2014-01-01

    Highlights: • LiMn 2 O 4 thin films were prepared by using the mist CVD process. • An aqueous solution of lithium and manganese acetates is used for the precursor solution. • The cell with the LiMn 2 O 4 thin films exhibited a capacity of about 80 mAh/g. • The cell showed good cycling performance during 10 cycles. - Abstract: LiMn 2 O 4 cathode thin films for thin film lithium secondary batteries were prepared by using so-called the “mist CVD process”, employing an aqueous solution of lithium acetate and manganese acetate, as the source of Li and Mn, respectively. The aqueous solution of starting materials was ultrasonically atomized to form mist particles, and mists were transferred by nitrogen gas to silica glass substrate to form thin films. FE-SEM observation revealed that thin films obtained by this process were dense and smooth, and thin films with a thickness of about 750 nm were obtained. The electrochemical cell with the thin films obtained by sintering at 700 °C exhibited a capacity of about 80 mAh/g, and the cell showed good cycling performance during 10 cycles

  7. Optimized plasma-deposited fluorocarbon coating for dry release and passivation of thin SU-8 cantilevers

    DEFF Research Database (Denmark)

    Keller, Stephan Urs; Häfliger, Daniel; Boisen, Anja

    2008-01-01

    during fluorocarbon deposition, the surface free energy of the coating can be tuned to allow for uniform wetting during spin coating of arbitrary thin SU-8 films. Further, they define an optimal pressure regime for the release of thin polymer structures at high yield. They demonstrate the successful......Plasma-deposited fluorocarbon coatings are introduced as a convenient method for the dry release of polymer structures. In this method, the passivation process in a deep reactive ion etch reactor was used to deposit hydrophobic fluorocarbon films. Standard photolithography with the negative epoxy......-based photoresist SU-8 was used to fabricate polymer structures such as cantilevers and membranes on top of the nonadhesive release layer. The authors identify the plasma density as the main parameter determining the surface properties of the deposited fluorocarbon films. They show that by modifying the pressure...

  8. Dry Etching of Copper Phthalocyanine Thin Films: Effects on Morphology and Surface Stoichiometry

    Directory of Open Access Journals (Sweden)

    Michael J. Brett

    2012-08-01

    Full Text Available We investigate the evolution of copper phthalocyanine thin films as they are etched with argon plasma. Significant morphological changes occur as a result of the ion bombardment; a planar surface quickly becomes an array of nanopillars which are less than 20 nm in diameter. The changes in morphology are independent of plasma power, which controls the etch rate only. Analysis by X-ray photoelectron spectroscopy shows that surface concentrations of copper and oxygen increase with etch time, while carbon and nitrogen are depleted. Despite these changes in surface stoichiometry, we observe no effect on the work function. The absorbance and X-ray diffraction spectra show no changes other than the peaks diminishing with etch time. These findings have important implications for organic photovoltaic devices which seek nanopillar thin films of metal phthalocyanine materials as an optimal structure.

  9. P-type CuxS thin films: Integration in a thin film transistor structure

    International Nuclear Information System (INIS)

    Nunes de Carvalho, C.; Parreira, P.; Lavareda, G.; Brogueira, P.; Amaral, A.

    2013-01-01

    Cu x S thin films, 80 nm thick, are deposited by vacuum thermal evaporation of sulfur-rich powder mixture, Cu 2 S:S (50:50 wt.%) with no intentional heating of the substrate. The process of deposition occurs at very low deposition rates (0.1–0.3 nm/s) to avoid the formation of Cu or S-rich films. The evolution of Cu x S films surface properties (morphology/roughness) under post deposition mild annealing in air at 270 °C and their integration in a thin film transistor (TFT) are the main objectives of this study. Accordingly, Scanning Electron Microscopy studies show Cu x S films with different surface morphologies, depending on the post deposition annealing conditions. For the shortest annealing time, the Cu x S films look to be constructed of grains with large dimension at the surface (approximately 100 nm) and consequently, irregular shape. For the longest annealing time, films with a fine-grained surface are found, with some randomly distributed large particles bound to this fine-grained surface. Atomic Force Microscopy results indicate an increase of the root-mean-square roughness of Cu x S surface with annealing time, from 13.6 up to 37.4 nm, for 255 and 345 s, respectively. The preliminary integration of Cu x S films in a TFT bottom-gate type structure allowed the study of the feasibility and compatibility of this material with the remaining stages of a TFT fabrication as well as the determination of the p-type characteristic of the Cu x S material. - Highlights: • Surface properties of annealed Cu x S films. • Variation of conductivity with annealing temperatures of Cu x S films. • Application of evaporated Cu x S films in a thin film transistor (TFT) structure. • Determination of Cu x S p-type characteristic from TFT behaviour

  10. A new thin film deposition process by cathodic plasma electrolysis

    International Nuclear Information System (INIS)

    Paulmier, T.; Kiriakos, E.; Bell, J.; Fredericks, P.

    2004-01-01

    Full text: A new technique, called atmospheric pressure plasma deposition (APPD), has been developed since a few years for the deposition of carbon and DLC, Titanium or Silicon films on metal and metal alloys substrates. A high voltage (2kV) is applied in a liquid electrolytic solution between an anode and a cathode, both electrodes being cylindrical: a glow discharge is then produced and confined at the vicinity of the cathode. The physic of the plasma in the electrolytic solution near the cathode is very different form the other techniques of plasma deposition since the pressure is here close to the atmospheric pressure. We describe here the different physico-chemical processes occurring during the process. In this cathodic process, the anodic area is significantly larger than the cathode area. In a first step, the electrolytic solution is heated by Joule effect induced by the high voltage between the electrodes. Due to the high current density, the vaporization of the solution occurs near the cathode: a large amount of bubbles are produced which are stabilized at the electrode by hydrodynamic and electromagnetic forces, forming a vapour sheath. The electric field and voltage drop are then concentrated in this gas envelope, inducing the ionization of the gas and the ignition of a glow discharge at the surface of the material. This plasma induces the formation of ionized and reactive species which diffuse and are accelerated toward the cathode. These excited species are the precursors for the formation of the deposition material. At the same time, the glow discharge interacts with the electrolyte solution inducing also ionization, convection and polymerization processes in the liquid: the solution is therefore a second source of the deposition material. A wide range of films have been deposited with a thickness up to 10 micrometers. These films have been analyzed by SEM and Raman spectroscopy. The electrolytic solution has been characterized by GC-MS and the

  11. Development of novel control system to grow ZnO thin films by reactive evaporation

    Directory of Open Access Journals (Sweden)

    Gerardo Gordillo

    2016-07-01

    Full Text Available This work describes a novel system implemented to grow ZnO thin films by plasma assisted reactive evaporation with adequate properties to be used in the fabrication of photovoltaic devices with different architectures. The innovative aspect includes both an improved design of the reactor used to activate the chemical reaction that leads to the formation of the ZnO compound as an electronic system developed using the virtual instrumentation concept. ZnO thin films with excellent opto-electrical properties were prepared in a reproducible way, controlling the deposition system through a virtual instrument (VI with facilities to control the amount of evaporated zinc involved in the process that gives rise to the formation of ZnO, by means of the incorporation of PID (proportional integral differential and PWM (pulse width modulation control algorithms. The effectiveness and reliability of the developed system was verified by obtaining with good reproducibility thin films of n+-ZnO and i-ZnO grown sequentially in situ with thicknesses and resistivities suitable for use as window layers in chalcopyrite based thin film solar cells.

  12. Low Temperature Synthesis of Fluorine-Doped Tin Oxide Transparent Conducting Thin Film by Spray Pyrolysis Deposition.

    Science.gov (United States)

    Ko, Eun-Byul; Choi, Jae-Seok; Jung, Hyunsung; Choi, Sung-Churl; Kim, Chang-Yeoul

    2016-02-01

    Transparent conducting oxide (TCO) is widely used for the application of flat panel display like liquid crystal displays and plasma display panel. It is also applied in the field of touch panel, solar cell electrode, low-emissivity glass, defrost window, and anti-static material. Fluorine-doped tin oxide (FTO) thin films were fabricated by spray pyrolysis of ethanol-added FTO precursor solutions. FTO thin film by spray pyrolysis is very much investigated and normally formed at high temperature, about 500 degrees C. However, these days, flexible electronics draw many attentions in the field of IT industry and the research for flexible transparent conducting thin film is also required. In the industrial field, indium-tin oxide (ITO) film on polymer substrate is widely used for touch panel and displays. In this study, we investigated the possibility of FTO thin film formation at relatively low temperature of 250 degrees C. We found out that the control of volume of input precursor and exhaust gases could make it possible to form FTO thin film with a relatively low electrical resistance, less than 100 Ohm/sq and high optical transmittance about 88%.

  13. Semiconductor-nanocrystal/conjugated polymer thin films

    Science.gov (United States)

    Alivisatos, A. Paul; Dittmer, Janke J.; Huynh, Wendy U.; Milliron, Delia

    2014-06-17

    The invention described herein provides for thin films and methods of making comprising inorganic semiconductor-nanocrystals dispersed in semiconducting-polymers in high loading amounts. The invention also describes photovoltaic devices incorporating the thin films.

  14. TEM characterization of nanodiamond thin films.

    Energy Technology Data Exchange (ETDEWEB)

    Qin, L.-C.; Zhou, D.; Krauss, A. R.; Gruen, D. M.; Chemistry

    1998-05-01

    The microstructure of thin films grown by microwave plasma-enhanced chemical vapor deposition (MPCVD) from fullerene C{sub 60} precursors has been characterized by scanning electron microscopy (SEM), selected-area electron diffraction (SAED), bright-field electron microscopy, high-resolution electron microscopy (HREM), and parallel electron energy loss spectroscopy (PEELS). The films are composed of nanosize crystallites of diamond, and no graphitic or amorphous phases were observed. The diamond crystallite size measured from lattice images shows that most grains range between 3-5 nm, reflecting a gamma distribution. SAED gave no evidence of either sp2-bonded glassy carbon or sp3-bonded diamondlike amorphous carbon. The sp2-bonded configuration found in PEELS was attributed to grain boundary carbon atoms, which constitute 5-10% of the total. Occasionally observed larger diamond grains tend to be highly faulted.

  15. The effects of varying plasma parameters on silicon thin film growth by ECR plasma CVD

    International Nuclear Information System (INIS)

    Summers, S.; Reehal, H.S.; Shirkoohi, G.H.

    2001-01-01

    The technique of electron cyclotron resonance (ECR) plasma enhanced chemical vapour deposition (PECVD) is increasingly being used in electronic and photonic device applications. ECR offers a number of advantages including improved control of the deposition process, less damage to the growing film and the possibility of high deposition rates. ECR occurs in a plasma under appropriate magnetic and electric field conditions. In most cases, as in our system, this is achieved with a combination of 2.45 GHz microwave radiation and a 0.0875 T magnetic field, due to the use of standardized microwave supplies. We have studied the effects on silicon film growth of changing the magnetic field configuration to produce one or more planes of ECR within the system, and of changing the positions of the plane(s) relative to the deposition substrate. The films were grown in silane-hydrogen discharges. The magnetic field in our system was provided by two electromagnets. It was measured experimentally for a number of operating current values and then a detailed profile achieved by modelling using a proprietary software package. A process condition discharge under identical magnetic field configurations to growth was analysed by the use of a Langmuir probe and the results correlated with film properties determined by Raman spectroscopy and Dektak profilometry. (author)

  16. Thin liquid films dewetting and polymer flow

    CERN Document Server

    Blossey, Ralf

    2012-01-01

    This book is a treatise on the thermodynamic and dynamic properties of thin liquid films at solid surfaces and, in particular, their rupture instabilities. For the quantitative study of these phenomena, polymer thin films haven proven to be an invaluable experimental model system.   What is it that makes thin film instabilities special and interesting, warranting a whole book? There are several answers to this. Firstly, thin polymeric films have an important range of applications, and with the increase in the number of technologies available to produce and to study them, this range is likely to expand. An understanding of their instabilities is therefore of practical relevance for the design of such films.   Secondly, thin liquid films are an interdisciplinary research topic. Interdisciplinary research is surely not an end to itself, but in this case it leads to a fairly heterogeneous community of theoretical and experimental physicists, engineers, physical chemists, mathematicians and others working on the...

  17. Self-assembly of dodecaphenyl POSS thin films

    Science.gov (United States)

    Handke, Bartosz; Klita, Łukasz; Niemiec, Wiktor

    2017-12-01

    The self-assembly abilities of Dodecaphenyl Polyhedral Oligomeric Silsesquioxane thin films on Si(1 0 0) surfaces were studied. Due to their thermal properties - relatively low sublimation temperature and preservation of molecular structure - cage type silsesquioxanes are ideal material for the preparation of a thin films by Physical Vapor Deposition. The Ultra-High Vacuum environment and the deposition precision of the PVD method enable the study of early stages of thin film growth and its molecular organization. X-ray Reflectivity and Atomic Force Microscopy measurements allow to pursuit size-effects in the structure of thin films with thickness ranges from less than a single molecular layer up to several tens of layers. Thermal treatment of the thin films triggered phase change: from a poorly ordered polycrystalline film into a well-ordered multilayer structure. Self-assembly of the layers is the effect of the π-stacking of phenyl rings, which force molecules to arrange in a superlattice, forming stacks of alternating organic-inorganic layers.

  18. Optical and electrical properties of transparent conducting B-doped ZnO thin films prepared by various deposition methods

    Energy Technology Data Exchange (ETDEWEB)

    Nomoto, Jun-ichi; Miyata, Toshihiro; Minami, Tadatsugu [Optoelectronic Device System R and D Center, Kanazawa Institute of Technology, 7-1 Ohgigaoka, Nonoichi, Ishikawa 921-8501 (Japan)

    2011-07-15

    B-doped ZnO (BZO) thin films were prepared with various thicknesses up to about 500 nm on glass substrates at 200 deg. C by dc or rf magnetron sputtering deposition, pulsed laser deposition (PLD), and vacuum arc plasma evaporation (VAPE) methods. Resistivities of 4-6 x 10{sup -4}{Omega} cm were obtained in BZO thin films prepared with a B content [B/(B + Zn) atomic ratio] around 1 at. % by PLD and VAPE methods: Hall mobilities above 40 cm{sup 2}/Vs and carrier concentrations on the order of 10{sup 20} cm{sup -3}. All 500-nm-thick-BZO thin films prepared with a resistivity on the order of 10{sup -3}-10{sup -4}{Omega} cm exhibited an averaged transmittance above 80% in the wavelength range of 400-1100 nm. The resistivity in BZO thin films prepared with a thickness below about 500 nm was found to increase over time with exposure to various high humidity environments. In heat-resistance tests, the resistivity stability of BZO thin films was found to be nearly equal to that of Ga-doped ZnO thin films, so these films were judged suitable for use as a transparent electrode for thin-film solar cells.

  19. Organic thin films and surfaces directions for the nineties

    CERN Document Server

    Ulman, Abraham

    1995-01-01

    Physics of Thin Films has been one of the longest running continuing series in thin film science consisting of 20 volumes since 1963. The series contains some of the highest quality studies of the properties ofvarious thin films materials and systems.In order to be able to reflect the development of todays science and to cover all modern aspects of thin films, the series, beginning with Volume 20, will move beyond the basic physics of thin films. It will address the most important aspects of both inorganic and organic thin films, in both their theoretical as well as technological aspects. Ther

  20. Characterization of low-temperature microwave loss of thin aluminum oxide formed by plasma oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Deng, Chunqing, E-mail: cdeng@uwaterloo.ca; Otto, M.; Lupascu, A., E-mail: alupascu@uwaterloo.ca [Institute for Quantum Computing, Department of Physics and Astronomy, and Waterloo Institute for Nanotechnology, University of Waterloo, Waterloo, Ontario N2L 3G1 (Canada)

    2014-01-27

    We report on the characterization of microwave loss of thin aluminum oxide films at low temperatures using superconducting lumped resonators. The oxide films are fabricated using plasma oxidation of aluminum and have a thickness of 5 nm. We measure the dielectric loss versus microwave power for resonators with frequencies in the GHz range at temperatures from 54 to 303 mK. The power and temperature dependence of the loss are consistent with the tunneling two-level system theory. These results are relevant to understanding decoherence in superconducting quantum devices. The obtained oxide films are thin and robust, making them suitable for capacitors in compact microwave resonators.

  1. Impact of the difference in power frequency on diamond-like carbon thin film coating over 3-dimensional objects

    Energy Technology Data Exchange (ETDEWEB)

    Nakaya, Masaki, E-mail: m-nakaya@kirin.co.jp [Packaging Technology Development Center, Technology Development Department, Kirin Brewery Co., Ltd., 1-17-1 Namamugi, Tsurumi-ku, Yokohama, Kanagawa 230-8682 (Japan); Shimizu, Mari [Packaging Technology Development Center, Technology Development Department, Kirin Brewery Co., Ltd., 1-17-1 Namamugi, Tsurumi-ku, Yokohama, Kanagawa 230-8682 (Japan); Uedono, Akira [Division of Applied Physics, Faculty of Pure and Applied Science, University of Tsukuba, Tsukuba, Ibaraki 305-8573 (Japan)

    2014-08-01

    With a type of capacitatively coupled plasma enhanced chemical vapor deposition (PECVD) technique, where two specially designed electrodes face to each other, the inner surface of hollow 3-dimensional objects such as poly(ethylene terephthalate) (PET) bottles can be coated with diamond-like carbon (DLC) thin film. DLC-coated PET bottles obtained with this technique have an enhanced gas barrier property, and therefore are applicable to industrial use such as for the extension of the shelf-life of contents sensitive to gas permeation. In this paper, the impact of power frequency ranging from 2.5 to 13.56 MHz was studied in order to research the behavior of plasma inside PET bottles and resultant properties. Different power frequency turned out to be influential on gas barrier property, the overall and distribution of tint, and adhesion between DLC and PET substrate. In addition, positron annihilation turned out to be powerful tool for the comparison of different coating conditions because it clarifies the homogeneity of DLC thin films through providing information on overall structure and thickness of them. These findings can be used for the optimization not only in the beverage PET bottle application, but also in other capacitatively coupled PECVD devices. - Highlights: • We demonstrated an effective methodology for the homogeneity of thin films. • We described the influence of power frequency on plasma and resultant thin film. • Diamond-like carbon coated on poly(ethylene terephthalate) bottles was used. • Different frequency provided homogenous thin films based on the above methodology. • For the industrial performance of the bottles, optimization was found at 6 MHz.

  2. Impact of the difference in power frequency on diamond-like carbon thin film coating over 3-dimensional objects

    International Nuclear Information System (INIS)

    Nakaya, Masaki; Shimizu, Mari; Uedono, Akira

    2014-01-01

    With a type of capacitatively coupled plasma enhanced chemical vapor deposition (PECVD) technique, where two specially designed electrodes face to each other, the inner surface of hollow 3-dimensional objects such as poly(ethylene terephthalate) (PET) bottles can be coated with diamond-like carbon (DLC) thin film. DLC-coated PET bottles obtained with this technique have an enhanced gas barrier property, and therefore are applicable to industrial use such as for the extension of the shelf-life of contents sensitive to gas permeation. In this paper, the impact of power frequency ranging from 2.5 to 13.56 MHz was studied in order to research the behavior of plasma inside PET bottles and resultant properties. Different power frequency turned out to be influential on gas barrier property, the overall and distribution of tint, and adhesion between DLC and PET substrate. In addition, positron annihilation turned out to be powerful tool for the comparison of different coating conditions because it clarifies the homogeneity of DLC thin films through providing information on overall structure and thickness of them. These findings can be used for the optimization not only in the beverage PET bottle application, but also in other capacitatively coupled PECVD devices. - Highlights: • We demonstrated an effective methodology for the homogeneity of thin films. • We described the influence of power frequency on plasma and resultant thin film. • Diamond-like carbon coated on poly(ethylene terephthalate) bottles was used. • Different frequency provided homogenous thin films based on the above methodology. • For the industrial performance of the bottles, optimization was found at 6 MHz

  3. Improved conductivity of ZnO thin films by exposure to an atmospheric hydrogen plasma

    NARCIS (Netherlands)

    Illiberi, A.; Kniknie, B.; Deelen, J. van; Steijvers, H.L.A.H.; Habets, D.; Simons, P.J.P.M.; Janssen, A.C.; Beckers, E.H.A.

    2012-01-01

    Aluminum-doped zinc oxide (ZnOx:Al) films have been deposited on a moving glass substrate by a high throughput metalorganic chemical vapor deposition process at atmospheric pressure. Thin (< 250 nm) ZnOx:Al films have a poor crystalline quality, due to a small grain size and the presence of

  4. Novel chemical analysis for thin films

    International Nuclear Information System (INIS)

    Usui, Toshio; Kamei, Masayuki; Aoki, Yuji; Morishita, Tadataka; Tanaka, Shoji

    1991-01-01

    Scanning electron microscopy and total-reflection-angle X-ray spectroscopy (SEM-TRAXS) was applied for fluorescence X-ray analysis of 50A- and 125A-thick Au thin films on Si(100). The intensity of the AuM line (2.15 keV) emitted from the Au thin films varied as a function of the take-off angle (θ t ) with respect to the film surface; the intensity of AuM line from the 125A-thick Au thin film was 1.5 times as large as that of SiK α line (1.74 keV) emitted from the Si substrate when θ t = 0deg-3deg, in the vicinity of a critical angle for total external reflection of the AuM line at Si (0.81deg). In addition, the intensity of the AuM line emitted from the 50A-thick Au thin film was also sufficiently strong for chemical analysis. (author)

  5. Correlation between the dielectric constant and X-ray diffraction pattern of Si-O-C thin films with hydrogen bonds

    International Nuclear Information System (INIS)

    Oh, Teresa; Oh, Kyoung Suk; Lee, Kwang-Man; Choi, Chi Kyu

    2004-01-01

    The amorphous structure of organic-inorganic hybrid type Si-O-C thin films was studied using the first principles molecular-dynamics method with density functional techniques. The correlation between the dielectric constant and the degree of amorphous structure in organic-inorganic hybrid type Si-O-C thin films was studied. Si-O-C thin films were deposited by high-density plasma chemical vapor deposition using bis-trimethylsilylmethane and oxygen precursors. As-deposited films and films annealed at 500 deg. C were analyzed by X-ray diffraction (XRD). For quantitative analysis, the X-ray diffraction patterns of the samples were transformed to the radial distribution function (RDF) using Fourier analysis. Hybrid type Si-O-C thin films can be divided into three types using their amorphous structure and the dielectric constant: those with organic, hybrid, and inorganic properties

  6. Modelling of imploding phase of thin-film liners

    International Nuclear Information System (INIS)

    Savic, P.; Gupta, R.P.; Kekez, M.M.; Lau, J.H.; Lougheed, G.D.

    1983-01-01

    Theoretical models for thin-film implosions in vacuum are proposed for theta and Z-geometries in this paper, in order to develop a high-energy XUV source. In the present study, attention is confined to the implosion phase. The authors consider the plasma to be perfectly conducting and to have a frozen magnetic field resulting in a modified magnatoacoustic wave speed. An attempt is made to find to what extend the present treatment differs from detailed numerical analysis

  7. Nanostructured thin film coatings with different strengthening effects

    Directory of Open Access Journals (Sweden)

    Panfilov Yury

    2017-01-01

    Full Text Available A number of articles on strengthening thin film coatings were analyzed and a lot of unusual strengthening effects, such as super high hardness and plasticity simultaneously, ultra low friction coefficient, high wear-resistance, curve rigidity increasing of drills with small diameter, associated with process formation of nanostructured coatings by the different thin film deposition methods were detected. Vacuum coater with RF magnetron sputtering system and ion-beam source and arc evaporator for nanostructured thin film coating manufacture are represented. Diamond Like Carbon and MoS2 thin film coatings, Ti, Al, Nb, Cr, nitride, carbide, and carbo-nitride thin film materials are described as strengthening coatings.

  8. Effect of plasma power on reduction of printable graphene oxide thin films on flexible substrates

    Science.gov (United States)

    Banerjee, Indrani; Mahapatra, Santosh K.; Pal, Chandana; Sharma, Ashwani K.; Ray, Asim K.

    2018-05-01

    Room temperature hydrogen plasma treatment on solution processed 300 nm graphene oxide (GO) films on flexible indium tin oxide (ITO) coated polyethylene terephthalate (PET) substrates has been performed by varying the plasma power between 20 W and 60 W at a constant exposure time of 30 min with a view to examining the effect of plasma power on reduction of GO. X-ray powder diffraction (XRD) and Raman spectroscopic studies show that high energy hydrogen species generated in the plasma assist fast exfoliation of the oxygenated functional groups present in the GO samples. Significant decrease in the optical band gap is observed from 4.1 eV for untreated samples to 0.5 eV for 60 W plasma treated samples. The conductivity of the films treated with 60 W plasma power is estimated to be six orders of magnitude greater than untreated GO films and this enhancement of conductivity on plasma reduction has been interpreted in terms of UV-visible absorption spectra and density functional based first principle computational calculations. Plasma reduction of GO/ITO/PET structures can be used for efficiently tuning the electrical and optical properties of reduced graphene oxide (rGO) for flexible electronics applications.

  9. Electrical resistivity change in Al:ZnO thin films dynamically deposited by bipolar pulsed direct-current sputtering and a remote plasma source

    International Nuclear Information System (INIS)

    Yang, Wonkyun; Joo, Junghoon

    2010-01-01

    The Al-doped ZnO (AZO) thin films for a transparent conducting oxide in solar cell devices were deposited by bipolar pulsed dc magnetron sputtering. This work was performed in an in-line type system and investigated AZO films in a static deposition mode and dynamic one, which is more important in the practical fields. Because of this dynamic deposition process, the zigzagged columnar structure was observed. This resulted in the decreasing electrical property, optical properties, and surface roughness. As a deposition in the dynamic mode, the resistivity increased from 1.64x10 -3 to 2.50x10 -3 Ω cm, as compared to that in the static mode, and the transmittance also decreased from 83.9% to 78.3%. To recover the disadvantage, a remote plasma source (RPS) was supported between the substrate and target for reducing zigzagged formation during the deposition. The deposition rate decreased by using RPS, but the electrical and optical properties of films got better than only dynamic mode. The resistivity and transmittance in the dynamic mode using RPS were 2.1x10 -3 Ω cm and 85.5%, respectively. In this study, the authors found the possibility to advance the electrical and optical properties of AZO thin films in the industry mode.

  10. Thin Film Photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Zweibel, K.

    1998-11-19

    The motivation to develop thin film technologies dates back to the inception of photovoltaics. It is an idea based on achieving truly low-cost photovoltaics appropriate for mass production and energy significant markets. The key to the idea is the use of pennies worth of active materials. Since sunlight carries relatively little energy in comparison with combustion-based energy sources, photovoltaic (PV) modules must be cheap to produce energy that can be competitive. Thin films are presumed to be the answer to that low-cost requirement. But how cheap do they have to be? The following is an oversimplified analysis that allows some insight into this question.

  11. Thin films: Past, present, future

    Energy Technology Data Exchange (ETDEWEB)

    Zweibel, K

    1995-04-01

    This report describes the characteristics of the thin film photovoltaic modules necessary for an acceptable rate of return for rural areas and underdeveloped countries. The topics of the paper include a development of goals of cost and performance for an acceptable PV system, a review of current technologies for meeting these goals, issues and opportunities in thin film technologies.

  12. Non-linear optics of nano-scale pentacene thin film

    Science.gov (United States)

    Yahia, I. S.; Alfaify, S.; Jilani, Asim; Abdel-wahab, M. Sh.; Al-Ghamdi, Attieh A.; Abutalib, M. M.; Al-Bassam, A.; El-Naggar, A. M.

    2016-07-01

    We have found the new ways to investigate the linear/non-linear optical properties of nanostructure pentacene thin film deposited by thermal evaporation technique. Pentacene is the key material in organic semiconductor technology. The existence of nano-structured thin film was confirmed by atomic force microscopy and X-ray diffraction. The wavelength-dependent transmittance and reflectance were calculated to observe the optical behavior of the pentacene thin film. It has been observed the anomalous dispersion at wavelength λ 800. The non-linear refractive index of the deposited films was investigated. The linear optical susceptibility of pentacene thin film was calculated, and we observed the non-linear optical susceptibility of pentacene thin film at about 6 × 10-13 esu. The advantage of this work is to use of spectroscopic method to calculate the liner and non-liner optical response of pentacene thin films rather than expensive Z-scan. The calculated optical behavior of the pentacene thin films could be used in the organic thin films base advanced optoelectronic devices such as telecommunications devices.

  13. Oxidation of ruthenium thin films using atomic oxygen

    Energy Technology Data Exchange (ETDEWEB)

    McCoy, A.P.; Bogan, J.; Brady, A.; Hughes, G.

    2015-12-31

    In this study, the use of atomic oxygen to oxidise ruthenium thin films is assessed. Atomic layer deposited (ALD) ruthenium thin films (~ 3 nm) were exposed to varying amounts of atomic oxygen and the results were compared to the impact of exposures to molecular oxygen. X-ray photoelectron spectroscopy studies reveal substantial oxidation of metallic ruthenium films to RuO{sub 2} at exposures as low as ~ 10{sup 2} L at 575 K when atomic oxygen was used. Higher exposures of molecular oxygen resulted in no metal oxidation highlighting the benefits of using atomic oxygen to form RuO{sub 2}. Additionally, the partial oxidation of these ruthenium films occurred at temperatures as low as 293 K (room temperature) in an atomic oxygen environment. - Highlights: • X-ray photoelectron spectroscopy study of the oxidation of Ru thin films • Oxidation of Ru thin films using atomic oxygen • Comparison between atomic oxygen and molecular oxygen treatments on Ru thin films • Fully oxidised RuO{sub 2} thin films formed with low exposures to atomic oxygen.

  14. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    International Nuclear Information System (INIS)

    Schropp, R.E.I.

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  15. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    Energy Technology Data Exchange (ETDEWEB)

    Schropp, R.E.I., E-mail: r.e.i.schropp@tue.nl

    2015-11-30

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  16. Thin Films in the Photovoltaic Industry

    International Nuclear Information System (INIS)

    Jaeger-Waldau, A.

    2008-03-01

    In the past years, the yearly world market growth rate for Photovoltaics was an average of more than 40%, which makes it one of the fastest growing industries at present. Business analysts predict the market volume to increase to 40 billion euros in 2010 and expect rising profit margins and lower prices for consumers at the same time. Today PV is still dominated by wafer based Crystalline Silicon Technology as the 'working horse' in the global market, but thin films are gaining market shares. For 2007 around 12% are expected. The current silicon shortage and high demand has kept prices higher than anticipated from the learning curve experience and has widened the windows of opportunities for thin film solar modules. Current production capacity estimates for thin films vary between 3 and 6 GW in 2010, representing a 20% market share for these technologies. Despite the higher growth rates for thin film technologies compared with the industry average, Thin Film Photovoltaic Technologies are still facing a number of challenges to maintain this growth and increase market shares. The four main topics which were discussed during the workshop were: Potential for cost reduction; Standardization; Recycling; Performance over the lifetime.

  17. Nanostructured thin films and coatings functional properties

    CERN Document Server

    Zhang, Sam

    2010-01-01

    The second volume in ""The Handbook of Nanostructured Thin Films and Coatings"" set, this book focuses on functional properties, including optical, electronic, and electrical properties, as well as related devices and applications. It explores the large-scale fabrication of functional thin films with nanoarchitecture via chemical routes, the fabrication and characterization of SiC nanostructured/nanocomposite films, and low-dimensional nanocomposite fabrication and applications. The book also presents the properties of sol-gel-derived nanostructured thin films as well as silicon nanocrystals e

  18. Rapid plasma treatment of polyimide for improved adhesive and durable copper film deposition

    International Nuclear Information System (INIS)

    Usami, Kenji; Ishijima, Tatsuo; Toyoda, Hirotaka

    2012-01-01

    To improve adhesion at the interface between Cupper (Cu) and polyimide (PI) layers, a PI film surface was treated with a microwave-excited plasma. The Ar/N 2 plasma treatment improved the Cu adhesion force to 10 N/cm even for PI substrates with absorbed water. A dramatic improvement of the adhesion durability was achieved by depositing a thin carbon film (C) on the PI substrate as an interlayer between PI and Cu using a microwave plasma followed by treatment with the Ar/N 2 plasma prior to the Cu deposition. After a 20-h accelerated aging test, the reduction of the adhesion force for the resulting Cu/C/PI sample was only 10%, whereas that for the Cu/PI sample was 55%. To gain insight into the film properties, the interface between the Cu and PI film was investigated by X-ray photoelectron spectroscopy.

  19. Optoelectronic properties of doped hydrothermal ZnO thin films

    KAUST Repository

    Mughal, Asad J.

    2017-03-10

    Group III impurity doped ZnO thin films were deposited on MgAl2O3 substrates using a simple low temperature two-step deposition method involving atomic layer deposition and hydrothermal epitaxy. Films with varying concentrations of either Al, Ga, or In were evaluated for their optoelectronic properties. Inductively coupled plasma atomic emission spectroscopy was used to determine the concentration of dopants within the ZnO films. While Al and Ga-doped films showed linear incorporation rates with the addition of precursors salts in the hydrothermal growth solution, In-doped films were shown to saturate at relatively low concentrations. It was found that Ga-doped films showed the best performance in terms of electrical resistivity and optical absorbance when compared to those doped with In or Al, with a resistivity as low as 1.9 mΩ cm and an optical absorption coefficient of 441 cm−1 at 450 nm.

  20. Iron, nitrogen and silicon doped diamond like carbon (DLC) thin films: A comparative study

    Energy Technology Data Exchange (ETDEWEB)

    Ray, Sekhar C., E-mail: Raysc@unisa.ac.za [Department of Physics, College of Science, Engineering and Technology, University of South Africa, Private Bag X6, Florida, 1710, Science Campus, Christiaan de Wet and Pioneer Avenue, Florida Park, Johannesburg (South Africa); Pong, W.F. [Department of Physics, Tamkang University, Tamsui 251, New Taipei City, Taiwan (China); Papakonstantinou, P. [Nanotechnology and Integrated Bio-Engineering Centre, University of Ulster, Shore Road, Newtownabbey BT37 0QB (United Kingdom)

    2016-07-01

    The X-ray absorption near edge structure (XANES), X-ray photoelectron spectroscopy (XPS), valence band photoemission (VB-PES) and Raman spectroscopy results show that the incorporation of nitrogen in pulsed laser deposited diamond like carbon (DLC) thin films, reverts the sp{sup 3} network to sp{sup 2} as evidenced by an increase of the sp{sup 2} cluster and I{sub D}/I{sub G} ratio in C K-edge XANES and Raman spectra respectively which reduces the hardness/Young's modulus into the film network. Si-doped DLC film deposited in a plasma enhanced chemical vapour deposition process reduces the sp{sup 2} cluster and I{sub D}/I{sub G} ratio that causes the decrease of hardness/Young's modulus of the film structure. The Fe-doped DLC films deposited by dip coating technique increase the hardness/Young's modulus with an increase of sp{sup 3}-content in DLC film structure. - Highlights: • Fe, N and Si doped DLC films deposited by dip, PLD and PECVD methods respectively • DLC:Fe thin films have higher hardness/Young's modulus than DLC:N(:Si) thin films. • sp{sup 3} and sp{sup 2} contents are estimated from C K-edge XANES and VB-PES measurements.

  1. Silicon wafer wettability and aging behaviors: Impact on gold thin-film morphology

    KAUST Repository

    Yang, Xiaoming

    2014-10-01

    This paper reports on the wettability and aging behaviors of the silicon wafers that had been cleaned using a piranha (3:1 mixture of sulfuric acid (H2SO4, 96%) and hydrogen peroxide (H2O 2, 30%), 120 °C), SC1 (1:1:5 mixture of NH4OH, H 2O2 and H2O, at 80°C) or HF solution (6 parts of 40% NH4F and 1 part of 49% HF, at room temperature) solution, and treated with gaseous plasma. The silicon wafers cleaned using the piranha or SC1 solution were hydrophilic, and the water contact angles on the surfaces would increase along with aging time, until they reached the saturated points of around 70°. The contact angle increase rate of these wafers in a vacuum was much faster than that in the open air, because of loss of water, which was physically adsorbed on the wafer surfaces. The silicon wafers cleaned with the HF solution were hydrophobic. Their contact angle decreased in the atmosphere, while it increased in the vacuum up to 95°. Gold thin films deposited on the hydrophilic wafers were smoother than that deposited on the hydrophobic wafers, because the numerous oxygen groups formed on the hydrophilic surfaces would react with gold adatoms in the sputtering process to form a continuous thin film at the nucleation stage. The argon, nitrogen, oxygen gas plasma treatments could change the silicon wafer surfaces from hydrophobic to hydrophilic by creating a thin (around 2.5 nm) silicon dioxide film, which could be utilized to improve the roughness and adhesion of the gold thin film. © 2014 Elsevier Ltd. All rights reserved.

  2. Silicon wafer wettability and aging behaviors: Impact on gold thin-film morphology

    KAUST Repository

    Yang, Xiaoming; Zhong, Zhaowei; Diallo, Elhadj; Wang, Zhihong; Yue, Weisheng

    2014-01-01

    This paper reports on the wettability and aging behaviors of the silicon wafers that had been cleaned using a piranha (3:1 mixture of sulfuric acid (H2SO4, 96%) and hydrogen peroxide (H2O 2, 30%), 120 °C), SC1 (1:1:5 mixture of NH4OH, H 2O2 and H2O, at 80°C) or HF solution (6 parts of 40% NH4F and 1 part of 49% HF, at room temperature) solution, and treated with gaseous plasma. The silicon wafers cleaned using the piranha or SC1 solution were hydrophilic, and the water contact angles on the surfaces would increase along with aging time, until they reached the saturated points of around 70°. The contact angle increase rate of these wafers in a vacuum was much faster than that in the open air, because of loss of water, which was physically adsorbed on the wafer surfaces. The silicon wafers cleaned with the HF solution were hydrophobic. Their contact angle decreased in the atmosphere, while it increased in the vacuum up to 95°. Gold thin films deposited on the hydrophilic wafers were smoother than that deposited on the hydrophobic wafers, because the numerous oxygen groups formed on the hydrophilic surfaces would react with gold adatoms in the sputtering process to form a continuous thin film at the nucleation stage. The argon, nitrogen, oxygen gas plasma treatments could change the silicon wafer surfaces from hydrophobic to hydrophilic by creating a thin (around 2.5 nm) silicon dioxide film, which could be utilized to improve the roughness and adhesion of the gold thin film. © 2014 Elsevier Ltd. All rights reserved.

  3. Intrinsically conductive polymer thin film piezoresistors

    DEFF Research Database (Denmark)

    Lillemose, Michael; Spieser, Martin; Christiansen, N.O.

    2008-01-01

    We report on the piezoresistive effect in the intrinsically conductive polymer, polyaniline. A process recipe for indirect patterning of thin film polyaniline has been developed. Using a specially designed chip, the polyaniline thin films have been characterised with respect to resistivity...

  4. Highly transparent and conductive Al-doped ZnO nanoparticulate thin films using direct write processing

    International Nuclear Information System (INIS)

    Vunnam, S; Ankireddy, K; Kellar, J; Cross, W

    2014-01-01

    Solution processable Al-doped ZnO (AZO) thin films are attractive candidates for low cost transparent electrodes. We demonstrate here an optimized nanoparticulate ink for the fabrication of AZO thin films using scalable, low-cost direct write processing (ultrasonic spray deposition) in air at atmospheric pressure. The thin films were made via thermal processing of as-deposited films. AZO films deposited using the proposed nanoparticulate ink with further reducing in vacuum and rf plasma of forming gas exhibited optical transparency greater than 95% across the visible spectrum, and electrical resistivity of 0.5 Ω cm and it drops down to 7.0 × 10 −2 Ω cm after illuminating with UV light, which is comparable to commercially available tin doped indium oxide colloidal coatings. Various structural analyses were performed to investigate the influence of ink chemistry, deposition parameters, and annealing temperatures on the structural, optical, and electrical characteristics of the spray deposited AZO thin films. Optical micrographs confirmed the presence of surface defects and cracks using the AZO NPs ink without any additives. After adding N-(2-Aminoethyl)-3-aminopropylmethyldimethoxy silane to the ink, AZO films exhibited an optical transparency which was virtually identical to that of the plain glass substrate. (papers)

  5. Tube Inner Coating of Non-Conductive Films by Pulsed Reactive Coaxial Magnetron Plasma with Outer Anode

    Directory of Open Access Journals (Sweden)

    Musab Timan Idriss Gasab

    2018-03-01

    Full Text Available The double-ended coaxial magnetron pulsed plasma (DCMPP method with auxiliary outer anode was introduced in order to achieve the uniform coating of non-conductive thin films on the inner walls of insulator tubes. In this study, titanium (Ti was employed as a cathode (sputtering target, and a glass tube was used as a substrate. In an argon (Ar and oxygen (O2 gas mixture, magnetron plasma was generated. Oxygen gas was introduced to deposit a titanium oxide (TiO2 film. A comparison between films coated with and without an auxiliary outer anode was made. As a result, it was clearly shown that the DCMPP method using an auxiliary outer anode enhanced the uniformity of the deposited non-conductive film compared to the conventional DCMPP method. Moreover, the optimum conditions under which the thin TiO2 film was deposited on the inner wall of the glass tube were revealed. From the results, it was supposed that the auxiliary outer anode contributed to the uniformity of the distributions of deposited negative charge on the non-conductive film and consequently the electric field and the plasma density uniform.

  6. Pentacene thin-film transistors and inverters with plasma-enhanced atomic-layer-deposited Al2O3 gate dielectric

    International Nuclear Information System (INIS)

    Koo, Jae Bon; Lim, Jung Wook; Kim, Seong Hyun; Yun, Sun Jin; Ku, Chan Hoe; Lim, Sang Chul; Lee, Jung Hun

    2007-01-01

    The performances of pentacene thin-film transistor with plasma-enhanced atomic-layer-deposited (PEALD) 150 nm thick Al 2 O 3 dielectric are reported. Saturation mobility of 0.38 cm 2 /V s, threshold voltage of 1 V, subthreshold swing of 0.6 V/decade, and on/off current ratio of about 10 8 have been obtained. Both depletion and enhancement mode inverter have been realized with the change of treatment method of hexamethyldisilazane on PEALD Al 2 O 3 gate dielectric. Full swing depletion mode inverter has been demonstrated at input voltages ranging from 5 V to - 5 V at supply voltage of - 5 V

  7. Thermal conductivity model for nanoporous thin films

    Science.gov (United States)

    Huang, Congliang; Zhao, Xinpeng; Regner, Keith; Yang, Ronggui

    2018-03-01

    Nanoporous thin films have attracted great interest because of their extremely low thermal conductivity and potential applications in thin thermal insulators and thermoelectrics. Although there are some numerical and experimental studies about the thermal conductivity of nanoporous thin films, a simplified model is still needed to provide a straightforward prediction. In this paper, by including the phonon scattering lifetimes due to film thickness boundary scattering, nanopore scattering and the frequency-dependent intrinsic phonon-phonon scattering, a fitting-parameter-free model based on the kinetic theory of phonon transport is developed to predict both the in-plane and the cross-plane thermal conductivities of nanoporous thin films. With input parameters such as the lattice constants, thermal conductivity, and the group velocity of acoustic phonons of bulk silicon, our model shows a good agreement with available experimental and numerical results of nanoporous silicon thin films. It illustrates that the size effect of film thickness boundary scattering not only depends on the film thickness but also on the size of nanopores, and a larger nanopore leads to a stronger size effect of the film thickness. Our model also reveals that there are different optimal structures for getting the lowest in-plane and cross-plane thermal conductivities.

  8. Preparation of tris(8-hydroxyquinolinato)aluminum thin films by sputtering deposition using powder and pressed powder targets

    Science.gov (United States)

    Kawasaki, Hiroharu; Ohshima, Tamiko; Yagyu, Yoshihito; Ihara, Takeshi; Tanaka, Rei; Suda, Yoshiaki

    2017-06-01

    Tris(8-hydroxyquinolinato)aluminum (Alq3) thin films, for use in organic electroluminescence displays, were prepared by a sputtering deposition method using powder and pressed powder targets. Experimental results suggest that Alq3 thin films can be prepared using powder and pressed powder targets, although the films were amorphous. The surface color of the target after deposition became dark brown, and the Fourier transform infrared spectroscopy spectrum changed when using a pressed powder target. The deposition rate of the film using a powder target was higher than that using a pressed powder target. That may be because the electron and ion densities of the plasma generated using the powder target are higher than those when using pressed powder targets under the same deposition conditions. The properties of a thin film prepared using a powder target were almost the same as those of a film prepared using a pressed powder target.

  9. Photoinduced hydrophobic surface of graphene oxide thin films

    International Nuclear Information System (INIS)

    Zhang Xiaoyan; Song Peng; Cui Xiaoli

    2012-01-01

    Graphene oxide (GO) thin films were deposited on transparent conducting oxide substrates and glass slides by spin coating method at room temperature. The wettability of GO thin films before and after ultraviolet (UV) irradiation was characterized with water contact angles, which increased from 27.3° to 57.6° after 3 h of irradiation, indicating a photo-induced hydrophobic surface. The UV–vis absorption spectra, Raman spectroscopy, X-ray photoelectron spectroscopy, and conductivity measurements of GO films before and after UV irradiation were taken to study the mechanism of photoinduced hydrophobic surface of GO thin films. It is demonstrated that the photoinduced hydrophobic surface is ascribed to the elimination of oxygen-containing functional groups on GO molecules. This work provides a simple strategy to control the wettability properties of GO thin films by UV irradiation. - Highlights: ► Photoinduced hydrophobic surface of graphene oxide thin films has been demonstrated. ► Elimination of oxygen-containing functional groups in graphene oxide achieved by UV irradiation. ► We provide novel strategy to control surface wettability of GO thin films by UV irradiation.

  10. Optical thin film deposition

    International Nuclear Information System (INIS)

    Macleod, H.A.

    1979-01-01

    The potential usefulness in the production of optical thin-film coatings of some of the processes for thin film deposition which can be classified under the heading of ion-assisted techniques is examined. Thermal evaporation is the process which is virtually universally used for this purpose and which has been developed to a stage where performance is in almost all respects high. Areas where further improvements would be of value, and the possibility that ion-assisted deposition might lead to such improvements, are discussed. (author)

  11. Optical thin films and coatings from materials to applications

    CERN Document Server

    Flory, Francois

    2013-01-01

    Optical coatings, including mirrors, anti-reflection coatings, beam splitters, and filters, are an integral part of most modern optical systems. This book provides an overview of thin film materials, the properties, design and manufacture of optical coatings and their use across a variety of application areas.$bOptical coatings, including mirrors, anti-reflection coatings, beam splitters, and filters, are an integral part of most modern optical systems. Optical thin films and coatings provides an overview of thin film materials, the properties, design and manufacture of optical coatings and their use across a variety of application areas. Part one explores the design and manufacture of optical coatings. Part two highlights unconventional features of optical thin films including scattering properties of random structures in thin films, optical properties of thin film materials at short wavelengths, thermal properties and colour effects. Part three focusses on novel materials for optical thin films and coatings...

  12. Water surface coverage effects on reactivity of plasma oxidized Ti films

    International Nuclear Information System (INIS)

    Pranevicius, L.; Pranevicius, L.L.; Vilkinis, P.; Baltaragis, S.; Gedvilas, K.

    2014-01-01

    Highlights: • The reactivity of Ti films immersed in water vapor plasma depends on the surface water coverage. • The adsorbed water monolayers are disintegrated into atomic constituents on the hydrophilic TiO 2 under plasma radiation. • The TiO 2 surface covered by water multilayer loses its ability to split adsorbed water molecules under plasma radiation. - Abstract: The behavior of the adsorbed water on the surface of thin sputter deposited Ti films maintained at room temperature was investigated in dependence on the thickness of the resulting adsorbed water layer, controllably injecting water vapor into plasma. The surface morphology and microstructure were used to characterize the surfaces of plasma treated titanium films. Presented experimental results showed that titanium films immersed in water vapor plasma at pressure of 10–100 Pa promoted the photocatalytic activity of overall water splitting. The surfaces of plasma oxidized titanium covered by an adsorbed hydroxyl-rich island structure water layer and activated by plasma radiation became highly chemically reactive. As water vapor pressure increased up to 300–500 Pa, the formed water multilayer diminished the water oxidation and, consequently, water splitting efficiency decreased. Analysis of the experimental results gave important insights into the role an adsorbed water layer on surface of titanium exposed to water vapor plasma on its chemical activity and plasma activated electrochemical processes, and elucidated the surface reactions that could lead to the split of water molecules

  13. Sputtering materials for VLSI and thin film devices

    CERN Document Server

    Sarkar, Jaydeep

    2010-01-01

    An important resource for students, engineers and researchers working in the area of thin film deposition using physical vapor deposition (e.g. sputtering) for semiconductor, liquid crystal displays, high density recording media and photovoltaic device (e.g. thin film solar cell) manufacturing. This book also reviews microelectronics industry topics such as history of inventions and technology trends, recent developments in sputtering technologies, manufacturing steps that require sputtering of thin films, the properties of thin films and the role of sputtering target performance on overall p

  14. Reactive Coevaporation Synthesis and Characterization of SrTiO3 Thin Films

    Science.gov (United States)

    Yamaguchi, Hiromu; Matsubara, Shogo; Miyasaka, Yoichi

    1991-09-01

    SrTiO3 thin films were prepared by the reactive coevaporation method, where the Ti and Sr metals were evaporated in oxygen ambient with an E-gun and K-cell, respectively. A uniform depth profile in composition was achieved by altering the Ti evaporation rate according to the Sr evaporation rate change. A typical dielectric constant of 170 was measured on films of 75 nm in thickness. The in-situ annealing in oxygen plasma reduced the leakage current.

  15. Plasma Deposited Thin Iron Oxide Films as Electrocatalyst for Oxygen Reduction Reaction in Proton Exchange Membrane Fuel Cells

    Directory of Open Access Journals (Sweden)

    Lukasz JOZWIAK

    2017-02-01

    Full Text Available The possibility of using plasma deposited thin films of iron oxides as electrocatalyst for oxygen reduction reaction (ORR in proton exchange membrane fuel cells (PEMFC was examined. Results of energy-dispersive X-ray spectroscopy (EDX and X-ray photoelectron spectroscopy (XPS analysis indicated that the plasma deposit consisted mainly of FeOX structures with the X parameter close to 1.5. For as deposited material iron atoms are almost exclusively in the Fe3+ oxidation state without annealing in oxygen containing atmosphere. However, the annealing procedure can be used to remove the remains of carbon deposit from surface. The single cell test (SCT was performed to determine the suitability of the produced material for ORR. Preliminary results showed that power density of 0.23 mW/cm2 could be reached in the tested cell.DOI: http://dx.doi.org/10.5755/j01.ms.23.1.14406

  16. Effects of Na incorporation and plasma treatment on Bi{sub 2}S{sub 3} ultra-thin layers

    Energy Technology Data Exchange (ETDEWEB)

    Moreno-Garcia, H., E-mail: hamog@ier.unam.mx [Laboratorio de Espectroscopía, Instituto de Ciencias Físicas, Universidad Nacional Autónoma de México, Apartado Postal 48-3, 62210 Cuernavaca, Morelos (Mexico); Messina, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63155 Tepic, Nayarit (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Martínez, H. [Laboratorio de Espectroscopía, Instituto de Ciencias Físicas, Universidad Nacional Autónoma de México, Apartado Postal 48-3, 62210 Cuernavaca, Morelos (Mexico)

    2016-04-01

    As-deposited bismuth sulfide thin films prepared by means of a chemical bath deposition were treated with argon AC plasma. In this paper, we present the results on the physical modifications which were observed when a pre-treatment, containing a solution of 1 M sodium hydroxide, was applied to the glass substrates before depositing the bismuth sulfide. The bismuth sulfide thin films were characterized by X-ray diffraction, energy dispersive X-ray spectroscopy, scanning electron microscopy, atomic force microscopy, UV–VIS, and electrical measurements. The XRD analysis demonstrated an enhancement in the crystalline properties, as well as an increment in the crystal size. The energy band gap value was calculated as 1.60 eV. Changes in photoconductivity (σ{sub p}) values were also observed due to the pre-treatment in NaOH. A value of σ{sub p} = 6.2 × 10{sup −6} (Ω cm){sup −1} was found for samples grown on substrates without pre-treatment, and a value of σ{sub p} = 0.28 (Ω cm){sup −1} for samples grown on substrates with pre-treatment. Such σ{sub p} values are optimal for the improvement of solar cells based on Bi{sub 2}S{sub 3} thin films as absorber material. - Highlights: • We report our findings about Na incorporation and plasma treatment on Bi{sub 2}S{sub 3} thin layers. • The Na pre-treatment improves the structural and electrical properties of Bi{sub 2}S{sub 3} films. • The E{sub g} value was 1.60 eV for films with pre-treatment with NaOH and treatment in Ar plasma.

  17. Piezoelectric MEMS: Ferroelectric thin films for MEMS applications

    Science.gov (United States)

    Kanno, Isaku

    2018-04-01

    In recent years, piezoelectric microelectromechanical systems (MEMS) have attracted attention as next-generation functional microdevices. Typical applications of piezoelectric MEMS are micropumps for inkjet heads or micro-gyrosensors, which are composed of piezoelectric Pb(Zr,Ti)O3 (PZT) thin films and have already been commercialized. In addition, piezoelectric vibration energy harvesters (PVEHs), which are regarded as one of the key devices for Internet of Things (IoT)-related technologies, are promising future applications of piezoelectric MEMS. Significant features of piezoelectric MEMS are their simple structure and high energy conversion efficiency between mechanical and electrical domains even on the microscale. The device performance strongly depends on the function of the piezoelectric thin films, especially on their transverse piezoelectric properties, indicating that the deposition of high-quality piezoelectric thin films is a crucial technology for piezoelectric MEMS. On the other hand, although the difficulty in measuring the precise piezoelectric coefficients of thin films is a serious obstacle in the research and development of piezoelectric thin films, a simple unimorph cantilever measurement method has been proposed to obtain precise values of the direct or converse transverse piezoelectric coefficient of thin films, and recently this method has become to be the standardized testing method. In this article, I will introduce fundamental technologies of piezoelectric thin films and related microdevices, especially focusing on the deposition of PZT thin films and evaluation methods for their transverse piezoelectric properties.

  18. Preparation and characterization of B-C-N hybrid thin films

    International Nuclear Information System (INIS)

    Uddin, Md. Nizam; Shimoyama, Iwao; Sekiguchi, Tetsuhiro; Baba, Yuji; Nath, Krishna G.; Nagano, Masamitsu

    2006-06-01

    Two dimensional thin films composed of boron, carbon and nitrogen (B-C-N hybrid) were synthesized by ion beam deposition, and their electronic and geometrical structures were characterized by core-level spectroscopy using synchrotron radiation. B-C-N hybrid thin films were grown from ion beam plasma of borazine on highly oriented pyrolitic graphite (HOPG) at various temperatures. The films were characterized in-situ by X-ray photoelectron spectroscopy (XPS) and near edge X-ray absorption fine structure (NEXAFS). XPS study suggested that B, N and C atoms in the deposited films were in a wide variety of chemical bonds e.g., B-C, B-N, N-C, and B-C-N. It was found that B-C-N hybrid formation was enhanced at high temperature, and that the B-C-N component was dominantly synthesized at low boron content. In the NEXAFS spectra, the resonance peaks from B 1s to unoccupied π * -like orbitals were clearly observed. The polarization dependence of the B 1s → π * resonance peaks confirmed that the highly oriented graphite-like B-C-N hybrids surely exist at low boron content. (author)

  19. Studies of internal stress in diamond films prepared by DC plasma chemical vapour deposition

    International Nuclear Information System (INIS)

    Wang Wanlu; Gao Jinying; Liao Kejun; Liu Anmin

    1992-01-01

    The internal stress in diamond thin films deposited by DC plasma CVD was studied as a function of methane concentration and deposited temperature. Experimental results have shown that total stress in diamond thin films is sensitive to the deposition conditions. The results also indicate that the compressive stress can be explained in terms of amorphous state carbon and hydrogen, and tensile stress is ascribed to the grain boundary relaxation model due to high internal surface area and microstructure with voids

  20. Nanometric thin film membranes manufactured on square meter scale: ultra-thin films for CO 2 capture

    KAUST Repository

    Yave, Wilfredo

    2010-09-01

    Miniaturization and manipulation of materials at nanometer scale are key challenges in nanoscience and nanotechnology. In membrane science and technology, the fabrication of ultra-thin polymer films (defect-free) on square meter scale with uniform thickness (<100 nm) is crucial. By using a tailor-made polymer and by controlling the nanofabrication conditions, we developed and manufactured defect-free ultra-thin film membranes with unmatched carbon dioxide permeances, i.e. >5 m3 (STP) m-2 h -1 bar-1. The permeances are extremely high, because the membranes are made from a CO2 philic polymer material and they are only a few tens of nanometers thin. Thus, these thin film membranes have potential application in the treatment of large gas streams under low pressure like, e.g., carbon dioxide separation from flue gas. © 2010 IOP Publishing Ltd.

  1. Thin film bismuth iron oxides useful for piezoelectric devices

    Science.gov (United States)

    Zeches, Robert J.; Martin, Lane W.; Ramesh, Ramamoorthy

    2016-05-31

    The present invention provides for a composition comprising a thin film of BiFeO.sub.3 having a thickness ranging from 20 nm to 300 nm, a first electrode in contact with the BiFeO.sub.3 thin film, and a second electrode in contact with the BiFeO.sub.3 thin film; wherein the first and second electrodes are in electrical communication. The composition is free or essentially free of lead (Pb). The BFO thin film is has the piezoelectric property of changing its volume and/or shape when an electric field is applied to the BFO thin film.

  2. Tools to synthesize the learning of thin films

    International Nuclear Information System (INIS)

    Rojas, Roberto; Fuster, Gonzalo; Sluesarenko, Viktor

    2011-01-01

    After a review of textbooks written for undergraduate courses in physics, we have found that discussions on thin films are mostly incomplete. They consider the reflected and not the transmitted light for two instead of the four types of thin films. In this work, we complement the discussion in elementary textbooks, by analysing the phase differences required to match the conditions for constructive and destructive interference, in the reflected and transmitted light in four types of thin films. We consider thin films with varied sequences in the refractive index, which we identify as barriers, wells and stairs (up and down). Also, we use the conservation of energy in order to understand the complementary colour fringes observed in the reflected and transmitted light through thin films. We analyse systematically the phase changes by introducing a phase table and we synthesize the results in a circular diagram matching 16 physical situations of interference and their corresponding conditions on the film thickness. The phase table and the circular diagram are a pair of tools easily assimilated by students, and useful to organize, analyse and activate the knowledge about thin films.

  3. Optimization of time on CF_4/O_2 etchant for inductive couple plasma reactive ion etching of TiO_2 thin film

    International Nuclear Information System (INIS)

    Adzhri, R.; Fathil, M. F. M.; Ruslinda, A. R.; Gopinath, Subash C. B.; Voon, C. H.; Foo, K. L.; Nuzaihan, M. N. M.; Azman, A. H.; Zaki, M.; Arshad, M. K. Md.; Hashim, U.; Ayub, R. M.

    2016-01-01

    In this work, we investigate the optimum etching of titanium dioxide (TiO_2) using inductive couple plasma reactive ion etching (ICP-RIE) on our fabricated devices. By using a combination of CF_4/O_2 gases as plasma etchant with ratio of 3:1, three samples of TiO_2 thin film were etched with different time duration of 10 s, 15 s and 20 s. The ion bombardment of CF_4 gases with plasma enhancement by O_2 gas able to break the oxide bond of TiO_2 and allow anisotropic etch profile with maximum etch rate of 18.6 nm/s. The sample was characterized by using optical profilometer to determine the depth of etched area and scanning electron microscopy (SEM) for etch profile characterization.

  4. Reduction of a thin chromium oxide film on Inconel surface upon treatment with hydrogen plasma

    Energy Technology Data Exchange (ETDEWEB)

    Vesel, Alenka, E-mail: alenka.vesel@guest.arnes.si [Jozef Stefan Institute, Jamova cesta 39, 1000 Ljubljana (Slovenia); Mozetic, Miran [Jozef Stefan Institute, Jamova cesta 39, 1000 Ljubljana (Slovenia); Balat-Pichelin, Marianne [PROMES-CNRS Laboratory, 7 Rue du four solaire, 66120 Font Romeu Odeillo (France)

    2016-11-30

    Highlights: • Oxidized Inconel alloy was exposed to hydrogen at temperatures up to 1500 K. • Oxide reduction in hydrogen plasma started at approximately 1300 K. • AES depth profiling revealed complete reduction of oxides in plasma. • Oxides were not reduced, if the sample was heated just in hydrogen atmosphere. • Surface of reduced Inconel preserved the same composition as the bulk material. - Abstract: Inconel samples with a surface oxide film composed of solely chromium oxide with a thickness of approximately 700 nm were exposed to low-pressure hydrogen plasma at elevated temperatures to determine the suitable parameters for reduction of the oxide film. The hydrogen pressure during treatment was set to 60 Pa. Plasma was created by a surfaguide microwave discharge in a quartz glass tube to allow for a high dissociation fraction of hydrogen molecules. Auger electron depth profiling (AES) was used to determine the decay of the oxygen in the surface film and X-ray diffraction (XRD) to measure structural modifications. During hydrogen plasma treatment, the oxidized Inconel samples were heated to elevated temperatures. The reduction of the oxide film started at temperatures of approximately 1300 K (considering the emissivity of 0.85) and the oxide was reduced in about 10 s of treatment as revealed by AES. The XRD showed sharper substrate peaks after the reduction. Samples treated in hydrogen atmosphere under the same conditions have not been reduced up to approximately 1500 K indicating usefulness of plasma treatment.

  5. Increasing the deposition rate of microcrystalline and amorphous silicon thin films for photovoltaic applications - Phase IV: 1997-1999

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-07-01

    This report on behalf of the Swiss Federal Office of Energy (SFOE) describes Phase IV of the project to test the feasibility and usefulness of Very High Frequency (VHF) plasma operation in large-area reactors suitable for the production of solar cell panels using thinly-deposited micro-crystalline silicon films. The report discusses the results of fast-deposition tests and trials using high-current DC arcs and VHF techniques to obtain deposition rates and film quality suitable for industrial processes for the production of thin-film solar cell panels. The effects of alternative plasma chemistry were also studied by adding silicon tetrafluoride to the standard silane/hydrogen mixtures. The report is concluded with calculations for optimum radio-frequency (RF) contact configuration for large area reactors with 1 m{sup 2} electrodes.

  6. Molecular simulation of freestanding amorphous nickel thin films

    Energy Technology Data Exchange (ETDEWEB)

    Dong, T.Q. [Université Paris-Est, Laboratoire Modélisation et Simulation Multi Echelle, UMR 8208 CNRS, 5 Boulevard Descartes, 77454 Marne-la-Vallée, Cedex 2 (France); Hoang, V.V., E-mail: vvhoang2002@yahoo.com [Department of Physics, Institute of Technology, National University of Ho Chi Minh City, 268 Ly Thuong Kiet Street, District 10, Ho Chi Minh City (Viet Nam); Lauriat, G. [Université Paris-Est, Laboratoire Modélisation et Simulation Multi Echelle, UMR 8208 CNRS, 5 Boulevard Descartes, 77454 Marne-la-Vallée, Cedex 2 (France)

    2013-10-31

    Size effects on glass formation in freestanding Ni thin films have been studied via molecular dynamics simulation with the n-body Gupta interatomic potential. Atomic mechanism of glass formation in the films is determined via analysis of the spatio-temporal arrangements of solid-like atoms occurred upon cooling from the melt. Solid-like atoms are detected via the Lindemann ratio. We find that solid-like atoms initiate and grow mainly in the interior of the film and grow outward. Their number increases with decreasing temperature and at a glass transition temperature they dominate in the system to form a relatively rigid glassy state of a thin film shape. We find the existence of a mobile surface layer in both liquid and glassy states which can play an important role in various surface properties of amorphous Ni thin films. We find that glass formation is size independent for models containing 4000 to 108,000 atoms. Moreover, structure of amorphous Ni thin films has been studied in details via coordination number, Honeycutt–Andersen analysis, and density profile which reveal that amorphous thin films exhibit two different parts: interior and surface layer. The former exhibits almost the same structure like that found for the bulk while the latter behaves a more porous structure containing a large amount of undercoordinated sites which are the origin of various surface behaviors of the amorphous Ni or Ni-based thin films found in practice. - Highlights: • Glass formation is analyzed via spatio-temporal arrangements of solid-like atoms. • Amorphous Ni thin film exhibits two different parts: surface and interior. • Mobile surface layer enhances various surface properties of the amorphous Ni thin films. • Undercoordinated sites play an important role in various surface activities.

  7. UV and plasma treatment of thin silver layers and glass surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Hluschi, J.H. [University of Applied Sciences and Arts, Von-Ossietzky-Str. 99, D-37085 Goettingen (Germany); Helmke, A. [University of Applied Sciences and Arts, Von-Ossietzky-Str. 99, D-37085 Goettingen (Germany); Roth, P. [University of Applied Sciences and Arts, Von-Ossietzky-Str. 99, D-37085 Goettingen (Germany); Boewer, R. [Interpane Glasbeschichtungsgesellschaft mbH and Co KG, Sohnreystr. 21, D-37697 Lauenfoerde (Germany); Herlitze, L. [Interpane Glasbeschichtungsgesellschaft mbH and Co KG, Sohnreystr. 21, D-37697 Lauenfoerde (Germany); Vioel, W. [University of Applied Sciences and Arts, Von-Ossietzky-Str. 99, D-37085 Goettingen (Germany)]. E-mail: vioel@hawk-hhg.de

    2006-11-10

    Thin silver layers can be modified by treatment with UV radiation or a plasma discharge. UV treatment at a wavelength of {lambda}=308 -bar nm improves the layer properties, thus leading to an enhancement of the layers IR reflectivity. For the purpose of in situ-measurement the sheet resistance is recorded during the process. Due to the Hagen-Rubens-Relation [E. Hagen, H. Rubens, Ann. Phys. 11 (1903) 873]-bar the sheet resistance is linked to the IR reflectivity of thin metal-films. A pretreatment of uncoated glass using a dielectric barrier discharge activates and cleans its surface, thus leading to an increase in adhesion of thin layers.

  8. UV and plasma treatment of thin silver layers and glass surfaces

    International Nuclear Information System (INIS)

    Hluschi, J.H.; Helmke, A.; Roth, P.; Boewer, R.; Herlitze, L.; Vioel, W.

    2006-01-01

    Thin silver layers can be modified by treatment with UV radiation or a plasma discharge. UV treatment at a wavelength of λ=308 -bar nm improves the layer properties, thus leading to an enhancement of the layers IR reflectivity. For the purpose of in situ-measurement the sheet resistance is recorded during the process. Due to the Hagen-Rubens-Relation [E. Hagen, H. Rubens, Ann. Phys. 11 (1903) 873]-bar the sheet resistance is linked to the IR reflectivity of thin metal-films. A pretreatment of uncoated glass using a dielectric barrier discharge activates and cleans its surface, thus leading to an increase in adhesion of thin layers

  9. Resistivity of thiol-modified gold thin films

    International Nuclear Information System (INIS)

    Correa-Puerta, Jonathan; Del Campo, Valeria; Henríquez, Ricardo; Häberle, Patricio

    2014-01-01

    In this work, we study the effect of thiol self assembled monolayers on the electrical resistivity of metallic thin films. The analysis is based on the Fuchs–Sondheimer–Lucas theory and on electrical transport measurements. We determined resistivity change due to dodecanethiol adsorption on gold thin films. For this purpose, we controlled the deposition and annealing temperatures of the films to change the surface topography and to diminish the effect of electron grain boundary scattering. Results show that the electrical response to the absorption of thiols strongly depends on the initial topography of the surface. - Highlights: • We study the effect of self assembled monolayers on the resistivity of thin films. • Fuchs–Sondheimer theory reproduces the resistivity increase due to thiol deposition. • We determined resistivity change due to dodecanethiol deposition on gold thin films. • The electrical response strongly depends on the substrate surface topography

  10. Resistivity of thiol-modified gold thin films

    Energy Technology Data Exchange (ETDEWEB)

    Correa-Puerta, Jonathan [Instituto de Física, Pontificia Universidad Católica de Valparaíso, Av. Universidad 330, Curauma, Valparaíso (Chile); Del Campo, Valeria [Departamento de Física, Universidad Técnica Federico Santa María, Av. España 1680, Valparaiso 2390123 (Chile); Henríquez, Ricardo, E-mail: ricardo.henriquez@usm.cl [Departamento de Física, Universidad Técnica Federico Santa María, Av. España 1680, Valparaiso 2390123 (Chile); Häberle, Patricio [Departamento de Física, Universidad Técnica Federico Santa María, Av. España 1680, Valparaiso 2390123 (Chile)

    2014-11-03

    In this work, we study the effect of thiol self assembled monolayers on the electrical resistivity of metallic thin films. The analysis is based on the Fuchs–Sondheimer–Lucas theory and on electrical transport measurements. We determined resistivity change due to dodecanethiol adsorption on gold thin films. For this purpose, we controlled the deposition and annealing temperatures of the films to change the surface topography and to diminish the effect of electron grain boundary scattering. Results show that the electrical response to the absorption of thiols strongly depends on the initial topography of the surface. - Highlights: • We study the effect of self assembled monolayers on the resistivity of thin films. • Fuchs–Sondheimer theory reproduces the resistivity increase due to thiol deposition. • We determined resistivity change due to dodecanethiol deposition on gold thin films. • The electrical response strongly depends on the substrate surface topography.

  11. Processing and characterization of yttrium-stabilized zirconia thin films on polyimide from aqueous polymeric precursors

    International Nuclear Information System (INIS)

    Gorman, B.P.; Anderson, H.U.

    2004-01-01

    Low-temperature deposition of dense, nanocrystalline yttrium-stabilized zirconia (YSZ) thin films on polyimide (PI) substrates is illustrated using an aqueous polymeric precursor spin-coating technique. The polymeric precursor uses low-cost materials, is water-soluble and the viscosity and cation concentrations can be easily adjusted in order to vary the film thickness from 0.02 to 0.3 μm. Due to the use of water as the solvent in the YSZ precursor and the hydrophobic nature of the PI surface, surface modification processes were utilized in order to improve the wetting characteristics. Surface modification of PI substrates using wet chemical and oxygen plasma techniques led to a decrease in the precursor contact angle, and ultimately allowed for uniform film formation on both bulk and thin film PI substrates. Scanning electron microscopy, transmission electron microscopy and UV/Vis absorption illustrate that near full-density nanocrystalline thin films of YSZ can be produced at temperatures as low as 350 deg. C. Thermogravimetric analyses illustrate that the PI substrate does not undergo any weight loss up to these temperatures

  12. Y-Ba-Cu-O superconducting thin films by simultaneous or sequential evaporation

    International Nuclear Information System (INIS)

    Mogro-Campero, A.; Hunt, B.D.; Turner, L.G.; Burrell, M.C.; Balz, W.E.

    1988-01-01

    Superconducting thin films of Y-Ba-Cu-O near the 1:2:3 stoichiometry were produced by simultaneous (coevaporation) and sequential (multilayer) evaporation in the same evaporator. The best film obtained on yttria-stabilized zirconia (YSZ) had a superconducting onset temperature of 104 K, a midpoint T/sub c/ of 92 K, and zero resistance at T≤74 K. Stoichiometry was deduced by inductively coupled plasma emission spectroscopy, and elemental depth profiles were obtained by x-ray photoelectron spectroscopy. Film stoichiometry changes only near the film/substrate boundary for films on YSZ. Films on Si/SiO 2 were not superconducting; depth profiling shows severe changes of film composition with depth. A major theme of this work is process reproducibility, which was found to be poor for coevaporation but improved considerably for sequential evaporation

  13. Preparation of hydrogenated-TiO2/Ti double layered thin films by water vapor plasma treatment

    International Nuclear Information System (INIS)

    Pranevicius, L.L.; Milcius, D.; Tuckute, S.; Gedvilas, K.

    2012-01-01

    Highlights: ► We investigated reaction of water plasma with nanocrystalline TiO 2 films. ► Simultaneous oxidation and hydrogenation of Ti was observed during plasma treatment. ► Water plasma treatment forms hydrogenated nanocrystalline TiO 2 in the shallow surface. - Abstract: We have investigated the structural and compositional variations in 200–500 nm thick Ti films deposited by magnetron sputter-deposition technique and treated in water vapor plasma at different processing powers. It was found that the upper layer of treated film with the thickness of 110 nm was changed into the black hydrogenated-TiO 2 with around 16 nm sized nanocystals during 10 min for dissipated power 200 W at room temperature. Analysis of the experimental results is used to obtain insights into the effects of water layer adsorbed on hydrophilic oxidized titanium surfaces exposed to plasma radiation.

  14. Study on re-sputtering during CN{sub x} film deposition through spectroscopic diagnostics of plasma

    Energy Technology Data Exchange (ETDEWEB)

    Liang, Peipei; Yang, Xu; Li, Hui; Cai, Hua [Department of Optical Science and Engineering, Fudan University, Shanghai 200433 (China); Sun, Jian; Xu, Ning [Department of Optical Science and Engineering, Fudan University, Shanghai 200433 (China); Shanghai Engineering Research Center of Ultra-Precision Optical Manufacturing, Fudan University, Shanghai 200433 (China); Wu, Jiada, E-mail: jdwu@fudan.edu.cn [Department of Optical Science and Engineering, Fudan University, Shanghai 200433 (China); Shanghai Engineering Research Center of Ultra-Precision Optical Manufacturing, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Fudan University, Shanghai 200433 (China)

    2015-10-15

    A nitrogen-carbon plasma was generated during the deposition of carbon nitride (CN{sub x}) thin films by pulsed laser ablation of a graphite target in a discharge nitrogen plasma, and the optical emission of the generated nitrogen-carbon plasma was measured for the diagnostics of the plasma and the characterization of the process of CN{sub x} film deposition. The nitrogen-carbon plasma was recognized to contain various species including nitrogen molecules and molecular ions excited in the ambient N{sub 2} gas, carbon atoms and atomic ions ablated from the graphite target and CN radicals. The temporal evolution and spatial distribution of the CN emission and their dependence on the substrate bias voltage show two groups of CN radicals flying in opposite directions. One represents the CN radicals formed as the products of the reactions occurring in the nitrogen-carbon plasma, revealing the reactive deposition of CN{sub x} film due to the reactive expansion of the ablation carbon plasma in the discharge nitrogen plasma and the effective formation of gaseous CN radicals as precursors for CN{sub x} film growth. The other one represents the CN radicals re-sputtered from the growing CN{sub x} film by energetic plasma species, evidencing the re-sputtering of the growing film accompanying film growth. And, the re-sputtering presents ion-induced sputtering features.

  15. Low-temperature ({<=}200 Degree-Sign C) plasma enhanced atomic layer deposition of dense titanium nitride thin films

    Energy Technology Data Exchange (ETDEWEB)

    Samal, Nigamananda; Du Hui; Luberoff, Russell; Chetry, Krishna; Bubber, Randhir; Hayes, Alan; Devasahayam, Adrian [Veeco Instruments, 1 Terminal Drive, Plainview, New York 11803 (United States)

    2013-01-15

    Titanium nitride (TiN) has been widely used in the semiconductor industry for its diffusion barrier and seed layer properties. However, it has seen limited adoption in other industries in which low temperature (<200 Degree-Sign C) deposition is a requirement. Examples of applications which require low temperature deposition are seed layers for magnetic materials in the data storage (DS) industry and seed and diffusion barrier layers for through-silicon-vias (TSV) in the MEMS industry. This paper describes a low temperature TiN process with appropriate electrical, chemical, and structural properties based on plasma enhanced atomic layer deposition method that is suitable for the DS and MEMS industries. It uses tetrakis-(dimethylamino)-titanium as an organometallic precursor and hydrogen (H{sub 2}) as co-reactant. This process was developed in a Veeco NEXUS Trade-Mark-Sign chemical vapor deposition tool. The tool uses a substrate rf-biased configuration with a grounded gas shower head. In this paper, the complimentary and self-limiting character of this process is demonstrated. The effects of key processing parameters including temperature, pulse time, and plasma power are investigated in terms of growth rate, stress, crystal morphology, chemical, electrical, and optical properties. Stoichiometric thin films with growth rates of 0.4-0.5 A/cycle were achieved. Low electrical resistivity (<300 {mu}{Omega} cm), high mass density (>4 g/cm{sup 3}), low stress (<250 MPa), and >85% step coverage for aspect ratio of 10:1 were realized. Wet chemical etch data show robust chemical stability of the film. The properties of the film have been optimized to satisfy industrial viability as a Ruthenium (Ru) preseed liner in potential data storage and TSV applications.

  16. Specific Effects of Oxygen Molecule and Plasma on Thin-Film Growth of Y-Ba-Cu-O and Bi-Sr-(Ca)-Cu-O Systems

    Science.gov (United States)

    Endo, Tamio; Horie, Munehiro; Hirate, Naoki; Itoh, Katsutoshi; Yamada, Satoshi; Tada, Masaki; Itoh, Ken-ichi; Sugiyama, Morihiro; Sano, Shinji; Watabe, Kinji

    1998-07-01

    Thin films of a-oriented YBa2Cu3Ox (YBCO), Ca-doped c-oriented Bi2(Sr,Ca)2CuOx and nondoped c-oriented Bi2Sr2CuOx (Bi2201) were prepared at low temperatures by ion beam sputtering with supply of oxygen molecules or plasma. The plasma enhances crystal growth of the a-YBCO and Ca-doped Bi2201 phases. This can be interpreted in terms of their higher surface energies. The growth and quality of nondoped Bi2201 are improved with the supply of oxygen molecules. This particular result could be interpreted by the collision process between the oxygen molecules and the sputtered particles.

  17. Defect prevention in silica thin films synthesized using AP-PECVD for flexible electronic encapsulation

    NARCIS (Netherlands)

    Elam, F.M.; Starostin, S.A.; Meshkova, A.S.; Van Der Velden-Schuermans, B.C.A.M.; Van De Sanden, M.C.M.; De Vries, H.W.

    2017-01-01

    Industrially and commercially relevant roll-to-roll atmospheric pressure-plasma enhanced chemical vapour deposition was used to synthesize smooth, 80 nm silica-like bilayer thin films comprising a dense 'barrier layer' and comparatively porous 'buffer layer' onto a flexible polyethylene 2,6

  18. Forming method of a functional layer-built film by micro-wave plasma CVD

    Energy Technology Data Exchange (ETDEWEB)

    Saito, Keishi

    1988-11-18

    In forming an amorphous semi-conductor material film, the micro-wave plasma CVD cannot be generally used because of such demerits as film-separation, low yield, columnar structure in the film, and problems in the optical and electrical properties. In this invention, a specific substrate is placed in a layer-built film forming unit which is capable of maintaining vacuum; raw material gas for the film formation is introduced; plasma is generated by a micro-wave energy to decompose the raw material gas, thus forming the layer-built film on the substarte. Then a film is made by adding a specific amount of calcoganide-containing gas to the raw material gas. By this, the utilization efficiency of the raw material gas gets roughly 100% and both the adhesion to the substrate and the structural flexibility of the layer-built film increase, enhancing the yield of forming various functional elements (sensor, solar cell, thin transistor film, etc.), and thus greatly reducing the production cost. 6 figs., 7 tabs.

  19. Improvement of ZnO TCO film growth for photovoltaic devices by reactive plasma deposition (RPD)

    International Nuclear Information System (INIS)

    Iwata, K.; Sakemi, T.; Yamada, A.; Fons, P.; Awai, K.; Yamamoto, T.; Shirakata, S.; Matsubara, K.; Tampo, H.; Sakurai, K.; Ishizuka, S.; Niki, S.

    2005-01-01

    Reactive plasma deposition (RPD) is a technique for depositing a thin film on a substrate using a pressure-slope type plasma ion gun. This method offers the advantage of low-ion damage, low deposition temperature, large area deposition and high growth rates. Ga-doped zinc oxide (ZnO) thin film was grown on a moving glass substrate by RPD. Evaporation of very small quantity of tungsten from anode electrode by plasma collision lets the resistivity of grown ZnO transparent conductive oxide (TCO) film to increase. However, no reduction of carrier concentration was observed but only reduction of carrier mobility. It indicates that reduction of evaporation of tungsten from anode electrode induces increase of carrier mobility without any increase of carrier concentration. After installation of an anode cooling system in order to avoid the tungsten evaporation, increase of the mobility (37 cm 2 /Vsec) was observed and the lowest resistivity (2.0x10 -4 no. OMEGAno. cm) film was obtained from large size grown ZnO TCO of 200x200 mm at low growth temperature of 200 deg. C with high growth rate of 24 no. muno. m/h

  20. Improvement in interfacial characteristics of low-voltage carbon nanotube thin-film transistors with solution-processed boron nitride thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, Jun-Young; Ha, Tae-Jun, E-mail: taejunha0604@gmail.com

    2017-08-15

    Highlights: • We demonstrate the potential of solution-processed boron nitride (BN) thin films for nanoelectronics. • Improved interfacial characteristics reduced the leakage current by three orders of magnitude. • The BN encapsulation improves all the device key metrics of low-voltage SWCNT-TFTs. • Such improvements were achieved by reduced interaction of interfacial localized states. - Abstract: In this article, we demonstrate the potential of solution-processed boron nitride (BN) thin films for high performance single-walled carbon nanotube thin-film transistors (SWCNT-TFTs) with low-voltage operation. The use of BN thin films between solution-processed high-k dielectric layers improved the interfacial characteristics of metal-insulator-metal devices, thereby reducing the current density by three orders of magnitude. We also investigated the origin of improved device performance in SWCNT-TFTs by employing solution-processed BN thin films as an encapsulation layer. The BN encapsulation layer improves the electrical characteristics of SWCNT-TFTs, which includes the device key metrics of linear field-effect mobility, sub-threshold swing, and threshold voltage as well as the long-term stability against the aging effect in air. Such improvements can be achieved by reduced interaction of interfacial localized states with charge carriers. We believe that this work can open up a promising route to demonstrate the potential of solution-processed BN thin films on nanoelectronics.

  1. Characterization of nanocrystalline cadmium telluride thin films ...

    Indian Academy of Sciences (India)

    Unknown

    tion method, successive ionic layer adsorption and reaction (SILAR), are described. For deposition of CdTe thin films ... By conducting several trials optimization of the adsorption, reaction and rinsing time duration for CdTe thin film .... The electrical resistivity of CdTe films was studied in air. Figure 3 shows the variation of log ...

  2. Photoluminescence properties of perovskite multilayer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Macario, Leilane Roberta; Longo, Elson, E-mail: leilanemacario@gmail.com [Universidade Federal de Sao Carlos (UFSCar), SP (Brazil); Mazzo, Tatiana Martelli [Universidade Federal de Sao Paulo (UNIFESP), SP (Brazil); Bouquet, Valerie; Deputier, Stephanie; Ollivier, Sophie; Guilloux-Viry, Maryline [Universite de Rennes (France)

    2016-07-01

    Full text: The knowledge of the optical properties of thin films is important in many scientific, technological and industrial applications of thin films such as photoconductivity, solar energy, photography, and numerous other applications [1]. In this study, perovskite type oxides were grown by pulsed laser deposition [2] in order to obtain thin films with applicable optical properties. The LaNiO{sub 3} (LN), BaTiO{sub 3} (BT) and KNbO{sub 3} (KNb) targets were prepared by solid-state reaction. The X-ray Diffraction revealed the presence of the desired phases, containing the elements of interest in the targets and in the thin films that were produced. The LN, BT and KNb thin films were polycrystalline and the corresponding diffraction peaks were indexed in the with JCPDS cards n. 00-033-0711, n. 00-005-0626, and n. 00-009-0156, respectively. The multilayers films were polycrystalline. The majority of the micrographs obtained by scanning electron microscopy presented films with a thickness from 100 to 400 nm. The photoluminescent (PL) emission spectra of thin films show different broad bands that occupies large region of the visible spectrum, ranging from about 300-350 to 600-650 nm of the electromagnetic spectrum. The PL emission is associated with the order-disorder structural, even small structural changes can modify the interactions between electronic states. The structural disorder results in formation of new energy levels in the forbidden region. The proximity or distance of these new energy levels formed in relation to valence band and to the conduction band results in PL spectra located at higher or lower energies. These interactions change the electronic states which can be influenced by defects, particularly the interface defects between the layers of the thin films. The presence of defects results in changes in the broad band matrix intensity and in displacement of the PL emission maximum. (author)

  3. Optical characteristics of the thin-film scintillator detector

    International Nuclear Information System (INIS)

    Muga, L.; Burnsed, D.

    1976-01-01

    A study of the thin-film detector (TFD) was made in which various light guide and scintillator film support configurations were tested for efficiency of light coupling. Masking of selected portions of the photomultiplier (PM) tube face revealed the extent to which emitted light was received at the exposed PM surfaces. By blocking off selected areas of the scintillator film surface from direct view of the PM tube faces, a measure of the light-guiding efficiency of the film and its support could be estimated. The picture that emerges is that, as the light which is initially trapped in the thin film spreads radially outward from the ion entrance/exit point, it is scattered out of the film by minute imperfections. Optimum signals were obtained by a configuration in which the thin scintillator film was supported on a thin rectangular Celluloid frame inserted within a highly polished metal cylindrical sleeve

  4. CoPt/TiN films nanopatterned by RF plasma etching towards dot-patterned magnetic media

    Science.gov (United States)

    Szívós, János; Pothorszky, Szilárd; Soltys, Jan; Serényi, Miklós; An, Hongyu; Gao, Tenghua; Deák, András; Shi, Ji; Sáfrán, György

    2018-03-01

    CoPt thin films as possible candidates for Bit Patterned magnetic Media (BPM) were prepared and investigated by electron microscopy techniques and magnetic measurements. The structure and morphology of the Direct Current (DC) sputtered films with N incorporation were revealed in both as-prepared and annealed state. Nanopatterning of the samples was carried out by means of Radio Frequency (RF) plasma etching through a Langmuir-Blodgett film of silica nanospheres that is a fast and high throughput technique. As a result, the samples with hexagonally arranged 100 nm size separated dots of fct-phase CoPt were obtained. The influence of the order of nanopatterning and anneling on the nanostructure formation was revealed. The magnetic properties of the nanopatterned fct CoPt films were investigated by Vibrating Sample Magnetometer (VSM) and Magnetic Force Microscopy (MFM). The results show that CoPt thin film nanopatterned by means of the RF plasma etching technique is promising candidate to a possible realization of BPM. Furthermore, this technique is versatile and suitable for scaling up to technological and industrial applications.

  5. Preparation of LiMn{sub 2}O{sub 4} cathode thin films for thin film lithium secondary batteries by a mist CVD process

    Energy Technology Data Exchange (ETDEWEB)

    Tadanaga, Kiyoharu, E-mail: tadanaga@chem.osakafu-u.ac.jp [Department of Applied Chemistry, Graduate School of Engineering, Osaka Prefecture University, Sakai, Osaka, 599-8531 (Japan); Yamaguchi, Akihiro; Sakuda, Atsushi; Hayashi, Akitoshi; Tatsumisago, Masahiro [Department of Applied Chemistry, Graduate School of Engineering, Osaka Prefecture University, Sakai, Osaka, 599-8531 (Japan); Duran, Alicia; Aparacio, Mario [Instituto de Cerámica y Vidrio, Consejo Superior de Investigaciones Científicas, Kelsen 5 (Campus de Cantoblanco), Madrid, 28049 (Spain)

    2014-05-01

    Highlights: • LiMn{sub 2}O{sub 4} thin films were prepared by using the mist CVD process. • An aqueous solution of lithium and manganese acetates is used for the precursor solution. • The cell with the LiMn{sub 2}O{sub 4} thin films exhibited a capacity of about 80 mAh/g. • The cell showed good cycling performance during 10 cycles. - Abstract: LiMn{sub 2}O{sub 4} cathode thin films for thin film lithium secondary batteries were prepared by using so-called the “mist CVD process”, employing an aqueous solution of lithium acetate and manganese acetate, as the source of Li and Mn, respectively. The aqueous solution of starting materials was ultrasonically atomized to form mist particles, and mists were transferred by nitrogen gas to silica glass substrate to form thin films. FE-SEM observation revealed that thin films obtained by this process were dense and smooth, and thin films with a thickness of about 750 nm were obtained. The electrochemical cell with the thin films obtained by sintering at 700 °C exhibited a capacity of about 80 mAh/g, and the cell showed good cycling performance during 10 cycles.

  6. Trends and new applications in thin films

    International Nuclear Information System (INIS)

    1996-01-01

    The proceedings of this symposium comprise 95 communications from which 64 were selected and fall into the scope of INIS subject categories, and 1 was selected for ETDE indexing. The selected communications deal with the techniques used for thin films preparation using chemical or physical vapor deposition techniques (plasma-arc or jet spraying, cathode sputtering, reactive DC or RF magnetron sputtering, plasma-ion deposition, ion implantation, electron or ion beam spraying, ion beam assisted plasma etching, dynamic ion mixing, distributed electron cyclotron resonance plasma sputtering, laser induced plasma sputtering etc..). The effects and interactions with the substrates (ion implantation, crystal growth, crystal-phase transformations, microstructures, penetration depth, changes in lattice parameters etc..) are analysed using various techniques such as grazing incidence X-ray diffraction, X-ray reflectometry, X-ray and angle resolved electron spectroscopy, Auger electron spectroscopy, Rutherford backscattering spectroscopy, SEM, TEM, IR absorption spectroscopy, UV or visible emission spectroscopy, conversion electron Moessbauer spectroscopy, X-ray fluorescence, mass spectroscopy, optical ellipsometry etc.. Mechanical tests such as scratch, microhardness and wear tests are also performed on the coatings to analyse their mechanical properties. (J.S.)

  7. Fractal and multifractal analysis of LiF thin film surface

    International Nuclear Information System (INIS)

    Yadav, R.P.; Dwivedi, S.; Mittal, A.K.; Kumar, M.; Pandey, A.C.

    2012-01-01

    Highlights: ► Fractal and multifractal analysis of surface morphologies of the LiF thin films. ► Complexity and roughness of the LiF thin films increases as thickness increases. ► LiF thin films are multifractal in nature. ► Strength of the multifractality increases with thickness of the film. - Abstract: Fractal and multifractal analysis is performed on the atomic force microscopy (AFM) images of the surface morphologies of the LiF thin films of thickness 10 nm, 20 nm, and 40 nm, respectively. Autocorrelation function, height–height correlation function, and two-dimensional multifractal detrended fluctuation analysis (MFDFA) are used for characterizing the surface. It is found that the interface width, average roughness, lateral correlation length, and fractal dimension of the LiF thin film increase with the thickness of the film, whereas the roughness exponent decreases with thickness. Thus, the complexity and roughness of the LiF thin films increases as thickness increases. It is also demonstrated that the LiF thin films are multifractal in nature. Strength of the multifractality increases with thickness of the film.

  8. Improved performance of solution-processed a-InGaZnO thin-film transistors due to Ar/O2 mixed-plasma treatment

    International Nuclear Information System (INIS)

    Kim, Kwan-Soo; Hwang, Yeong-Hyeon; Hwang, In-Chan; Cho, Won-Ju

    2014-01-01

    We investigated the effects of Ar and O 2 treatment and of Ar/O 2 mixed plasma treatment on the electrical characteristics of solution-processed amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs). The electrical performance and the instability of a-IGZO TFTs were significantly improved by the plasma treatments. The plasma treatments reduced the carbon-based residual contamination that acted as possible trap sites. In particular, the O 2 -plasma treatment produced a significant improvement in the reliability of a-IGZO TFTs when compared with the Ar-plasma-treated device, owing to the elimination of residual carbon in the active channel of the solution-processed a-IGZO. However, the optimized improvement of the solution-processed a-IGZO TFT under a gate bias stress was obtained for the device treated with an Ar/O 2 mixed-gas plasma. The plasma treatment in the Ar/O 2 -mixed ambience remarkably enhanced not only the reliability but also the electrical performance of the a-IGZO TFT; the on/off-current ratio, the field-effect mobility, and the subthreshold slope were 6.78 x 10 7 , 1.24 cm 2 /V·s, and 513 mV/dec, respectively.

  9. Combined effect of oxygen deficient point defects and Ni doping in radio frequency magnetron sputtering deposited ZnO thin films

    Energy Technology Data Exchange (ETDEWEB)

    Saha, B., E-mail: biswajit.physics@gmail.com [Thin Film and Nano Science Laboratory, Department of Physics, Jadavpur University, 700 032 Kolkata (India); Department of Physics, National Institute of Technology Agartala, Jirania 799046, Tripura (India); Das, N.S.; Chattopadhyay, K.K. [Thin Film and Nano Science Laboratory, Department of Physics, Jadavpur University, 700 032 Kolkata (India)

    2014-07-01

    Ni doped ZnO thin films with oxygen deficiency have been synthesized on glass substrates by radio frequency magnetron sputtering technique using argon plasma. The combined effect of point defects generated due to oxygen vacancies and Ni doping on the optical and electrical properties of ZnO thin films has been studied in this work. Ni doping concentrations were varied and the structural, optical and electrical properties of the films were studied as a function of doping concentrations. The films were characterized with X-ray diffractometer, UV–Vis–NIR spectrophotometer, X-ray photoelectron spectroscopy, atomic force microscopy and electrical conductivity measurements. Oxygen deficient point defects (Schottky defects) made the ZnO thin film highly conducting while incorporation of Ni dopant made it more functional regarding their electrical and optical properties. The films were found to have tunable electrical conductivity with Ni doping concentrations. - Highlights: • ZnO thin films prepared by radio frequency magnetron sputtering technique • Synthesis process was stimulated to introduce Schottky-type point defects. • Point defects and external doping of Ni made ZnO thin films more functional. • Point defect induced high electrical conductivity in ZnO thin film. • Significant shift in optical bandgap observed in ZnO with Ni doping concentrations.

  10. Preparation and characterization of vanadium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Monfort, O.; Plesch, G. [Comenius University of Bratislava, Faculty of Natural Sciences, Department of Inorganic Chemistry, 84215 Bratislava (Slovakia); Roch, T. [Comenius University of Bratislava, Faculty of Mathematics Physics and Informatics, Department of Experimental Physics, 84248 Bratislava (Slovakia)

    2013-04-16

    The thermotropic VO{sub 2} films have many applications, since they exhibit semiconductor-conductor switching properties at temperature around 70 grad C. Vanadium oxide thin films were prepared via sol-gel method. Spin coater was used to depose these films on Si/SiO{sub 2} and lime glass substrates. Thin films of V{sub 2}O{sub 5} can be reduced to metastable VO{sub 2} thin films at the temperature of 450 grad C under the pressure of 10{sup -2} Pa. These films are then converted to thermotropic VO{sub 2} at 700 grad C in argon under normal pressure. (authors)

  11. Laser nanostructuring of ZnO thin films

    Energy Technology Data Exchange (ETDEWEB)

    Nedyalkov, N., E-mail: nned@ie.bas.bg [Department of Electronics and Electrical Engineering, Keio University, 3-14-1 Hiyoshi Kohoku-ku, Yokohama-shi, Kanagawa-ken 223-8522 (Japan); Institute of Electronics, Bulgarian Academy of Sciences, Tzarigradsko shousse 72, Sofia 1784 (Bulgaria); Koleva, M.; Nikov, R.; Atanasov, P. [Institute of Electronics, Bulgarian Academy of Sciences, Tzarigradsko shousse 72, Sofia 1784 (Bulgaria); Nakajima, Y.; Takami, A.; Shibata, A.; Terakawa, M. [Department of Electronics and Electrical Engineering, Keio University, 3-14-1 Hiyoshi Kohoku-ku, Yokohama-shi, Kanagawa-ken 223-8522 (Japan)

    2016-06-30

    Highlights: • Nanosecond laser pulse nanostructuring of ZnO thin films on metal substrate is demonstrated. • Two regimes of the thin film modification are observed depending on the applied laser fluence. • At high fluence regime the ZnO film is homogeneously decomposed into nanosized particles. • The characteristic size of the formed nanostructures corresponds to the domain size of the thin film. - Abstract: In this work, results on laser processing of thin zinc oxide films deposited on metal substrate are presented. ZnO films are obtained by classical nanosecond pulsed laser deposition method in oxygen atmosphere on tantalum substrate. The produced films are then processed by nanosecond laser pulses at wavelength of 355 nm. The laser processing parameters and the film thickness are varied and their influence on the fabricated structures is estimated. The film morphology after the laser treatment is found to depend strongly on the laser fluence as two regimes are defined. It is shown that at certain conditions (high fluence regime) the laser treatment of the film leads to formation of a discrete nanostructure, composed of spherical like nanoparticles with narrow size distribution. The dynamics of the melt film on the substrate and fast cooling are found to be the main mechanisms for fabrication of the observed structures. The demonstrated method is an alternative way for direct fabrication of ZnO nanostructures on metal which can be easy implemented in applications as resistive sensor devices, electroluminescent elements, solar cell technology.

  12. Ion plasma deposition of oxide films with graded-stoichiometry composition: Experiment and simulation

    Science.gov (United States)

    Volpyas, V. A.; Tumarkin, A. V.; Mikhailov, A. K.; Kozyrev, A. B.; Platonov, R. A.

    2016-07-01

    A method of ion plasma deposition is proposed for obtaining thin multicomponent films with continuously graded composition in depth of the film. The desired composition-depth profile is obtained by varying the working gas pressure during deposition in the presence of an additional adsorbing screen in the drift space between a sputtered target and substrate. Efficiency of the proposed method is confirmed by Monte Carlo simulation of the deposition of thin films of Ba x Sr1- x TiO3 (BSTO) solid solution. It is demonstrated that, during sputtering of a Ba0.3Sr0.7TiO3 target, the parameter of composition stoichiometry in the growing BSTO film varies in the interval of x = 0.3-0.65 when the gas pressure is changed within 2-60 Pa.

  13. Restructuring in block copolymer thin films

    DEFF Research Database (Denmark)

    Posselt, Dorthe; Zhang, Jianqi; Smilgies, Detlef-M.

    2017-01-01

    Block copolymer (BCP) thin films have been proposed for a number of nanotechnology applications, such as nanolithography and as nanotemplates, nanoporous membranes and sensors. Solvent vapor annealing (SVA) has emerged as a powerful technique for manipulating and controlling the structure of BCP...... thin films, e.g., by healing defects, by altering the orientation of the microdomains and by changing the morphology. Due to high time resolution and compatibility with SVA environments, grazing-incidence small-angle X-ray scattering (GISAXS) is an indispensable technique for studying the SVA process......, providing information of the BCP thin film structure both laterally and along the film normal. Especially, state-of-the-art combined GISAXS/SVA setups at synchrotron sources have facilitated in situ and real-time studies of the SVA process with a time resolution of a few seconds, giving important insight...

  14. Nanocoatings and ultra-thin films technologies and applications

    CERN Document Server

    Tiginyanu, Ion

    2011-01-01

    Gives a comprehensive account of the developments of nanocoatings and ultra-thin films. This book covers the fundamentals, processes of deposition and characterisation of nanocoatings, as well as the applications. It is suitable for the glass and glazing, automotive, electronics, aerospace, construction and biomedical industries in particular.$bCoatings are used for a wide range of applications, from anti-fogging coatings for glass through to corrosion control in the aerospace and automotive industries. Nanocoatings and ultra-thin films provides an up-to-date review of the fundamentals, processes of deposition, characterisation and applications of nanocoatings. Part one covers technologies used in the creation and analysis of thin films, including chapters on current and advanced coating technologies in industry, nanostructured thin films from amphiphilic molecules, chemical and physical vapour deposition methods and methods for analysing nanocoatings and ultra-thin films. Part two focuses on the applications...

  15. Adhesion enhancement of diamond-like carbon thin films on Ti alloys by incorporation of nanodiamond particles

    International Nuclear Information System (INIS)

    Zhang, C.Z.; Tang, Y.; Li, Y.S.; Yang, Q.

    2013-01-01

    Coating adherent diamond-like carbon (DLC) thin films directly on Ti alloys is technologically difficult. This research incorporates nanodiamond particles to form a diamond/DLC composite interlayer to enhance the adhesion of DLC thin films on Ti6Al4V substrates. Initially, nanodiamond particles were deposited on Ti6Al4V substrates by microwave plasma enhanced chemical vapor deposition from a methane–hydrogen gas mixture. A DLC thin film was then deposited, on top of the nanodiamond particles, by direct ion beam deposition. Scanning electron microscopy, Atomic force microscopy, X-ray Diffraction and Raman spectroscopy were used to characterize the microstructure and chemical bonding of the deposited particles and films, and Rockwell indentation testing was used to evaluate the adhesion of the deposited films. The results indicate that the pre-deposited nanodiamond particles significantly enhance the interfacial adhesion between the DLC thin film and the Ti6Al4V substrate, possibly by enhanced interfacial bonding, mechanical interlocking, and stress relief. - Highlights: ► Nanodiamond particles were deposited on Ti6Al4V before DLC deposition. ► Diamond/DLC composite film was formed by incorporation of nanodiamond particles. ► Greatly enhanced adhesion of diamond/DLC composite film on Ti6Al4V was achieved. ► Enhanced adhesion is by increased interfacial bonding and mechanical interlocking

  16. Adhesion enhancement of diamond-like carbon thin films on Ti alloys by incorporation of nanodiamond particles

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, C.Z.; Tang, Y. [Department of Mechanical Engineering, University of Saskatchewan, 57 Campus Drive, Saskatoon, SK, Canada S7N 5A9 (Canada); Li, Y.S. [Plasma Physics Laboratory, University of Saskatchewan, 116 Science Place, Saskatoon, SK, Canada S7N 5E2 (Canada); Yang, Q., E-mail: qiaoqin.yang@usask.ca [Department of Mechanical Engineering, University of Saskatchewan, 57 Campus Drive, Saskatoon, SK, Canada S7N 5A9 (Canada)

    2013-01-01

    Coating adherent diamond-like carbon (DLC) thin films directly on Ti alloys is technologically difficult. This research incorporates nanodiamond particles to form a diamond/DLC composite interlayer to enhance the adhesion of DLC thin films on Ti6Al4V substrates. Initially, nanodiamond particles were deposited on Ti6Al4V substrates by microwave plasma enhanced chemical vapor deposition from a methane–hydrogen gas mixture. A DLC thin film was then deposited, on top of the nanodiamond particles, by direct ion beam deposition. Scanning electron microscopy, Atomic force microscopy, X-ray Diffraction and Raman spectroscopy were used to characterize the microstructure and chemical bonding of the deposited particles and films, and Rockwell indentation testing was used to evaluate the adhesion of the deposited films. The results indicate that the pre-deposited nanodiamond particles significantly enhance the interfacial adhesion between the DLC thin film and the Ti6Al4V substrate, possibly by enhanced interfacial bonding, mechanical interlocking, and stress relief. - Highlights: ► Nanodiamond particles were deposited on Ti6Al4V before DLC deposition. ► Diamond/DLC composite film was formed by incorporation of nanodiamond particles. ► Greatly enhanced adhesion of diamond/DLC composite film on Ti6Al4V was achieved. ► Enhanced adhesion is by increased interfacial bonding and mechanical interlocking.

  17. Nanosphere lithography applied to magnetic thin films

    Science.gov (United States)

    Gleason, Russell

    Magnetic nanostructures have widespread applications in many areas of physics and engineering, and nanosphere lithography has recently emerged as promising tool for the fabrication of such nanostructures. The goal of this research is to explore the magnetic properties of a thin film of ferromagnetic material deposited onto a hexagonally close-packed monolayer array of polystyrene nanospheres, and how they differ from the magnetic properties of a typical flat thin film. The first portion of this research focuses on determining the optimum conditions for depositing a monolayer of nanospheres onto chemically pretreated silicon substrates (via drop-coating) and the subsequent characterization of the deposited nanosphere layer with scanning electron microscopy. Single layers of permalloy (Ni80Fe20) are then deposited on top of the nanosphere array via DC magnetron sputtering, resulting in a thin film array of magnetic nanocaps. The coercivities of the thin films are measured using a home-built magneto-optical Kerr effect (MOKE) system in longitudinal arrangement. MOKE measurements show that for a single layer of permalloy (Py), the coercivity of a thin film deposited onto an array of nanospheres increases compared to that of a flat thin film. In addition, the coercivity increases as the nanosphere size decreases for the same deposited layer. It is postulated that magnetic exchange decoupling between neighboring nanocaps suppresses the propagation of magnetic domain walls, and this pinning of the domain walls is thought to be the primary source of the increase in coercivity.

  18. Defect prevention in silica thin films synthesized using AP-PECVD for flexible electronic encapsulation

    NARCIS (Netherlands)

    Elam, F. M.; Starostin, S. A.; Meshkova, A. S.; van der Velden, B. C. A. M.; van de Sanden, M. C. M.; de Vries, H. W.

    2017-01-01

    Industrially and commercially relevant roll-to-roll atmospheric pressure-plasma enhanced chemical vapour deposition was used to synthesize smooth, 80 nm silica-like bilayer thin films comprising a dense ‘barrier layer’ and comparatively porous ‘buffer layer’ onto a flexible polyethylene 2,6

  19. Optical and electrical properties of thin films of bismuth ferric oxide

    International Nuclear Information System (INIS)

    Cardona R, D.

    2014-01-01

    The bismuth ferric oxide (BFO) has caused great attention in recent years because of their multi ferric properties, making it very attractive for different technological applications. In this paper simultaneous ablation of two white (Bi and Fe 2 O 3 ) was used in a reactive atmosphere (containing oxygen) to deposit thin films of BFO. The composition of the films is changed by controlling the plasma parameters such as the average kinetic energy of the ions (E p) and the plasma density (Np). The effects caused by excess of Bi and Fe in atomic structure and the optical and electrical properties of the films BiFeO 3 in terms of plasma parameters were studied. The X-ray diffraction patterns of BFO samples with excess of bismuth above 2% at. They exhibited small changes in structure leading to improved levels of leakage currents compared to levels of the film with a stoichiometry close to BiFeO 3 composition. These samples showed a secondary phase (Bi 2 5FeO 4 0 selenite type) that led to the increase in the values of band gap and resistivity as well as the improvement of the piezoelectric properties. On the other hand, the films with iron excess showed as secondary phase compounds of iron oxide (α - γ-Fe 2 O 3 ) that caused increments in the conductivity and decrease in the values of band gap. The results are discussed in terms of the excesses of Bi and Fe which were correlated with the plasma parameters. (Author)

  20. Bandtail characteristics in InN thin films

    International Nuclear Information System (INIS)

    Shen, W.Z.; Jiang, L.F.; Yang, H.F.; Meng, F.Y.; Ogawa, H.; Guo, Q.X.

    2002-01-01

    The Urbach bandtail characteristics in InN thin films grown by radio-frequency magnetron sputtering on sapphire (0001) substrates have been investigated both theoretically and experimentally. The bandtail parameter in InN thin films has been obtained by temperature-dependent transmission spectra, with the aid of a detailed calculation of the transmission profile. A bandtail model based on the calculation of density of occupied states and the carrier-phonon interaction has been employed to analyze the temperature-dependent bandtail characteristics. The bandtail parameter is in the range of 90-120 meV in the InN thin film. It is found that the carrier-phonon interaction in InN is weak and the structural disorder contribution (∼90 meV) dominates over the interactive terms. The high structural disorder in InN thin films may relate to the high nonradiative recombination centers

  1. Thin films of mixed metal compounds

    Science.gov (United States)

    Mickelsen, Reid A.; Chen, Wen S.

    1985-01-01

    A compositionally uniform thin film of a mixed metal compound is formed by simultaneously evaporating a first metal compound and a second metal compound from independent sources. The mean free path between the vapor particles is reduced by a gas and the mixed vapors are deposited uniformly. The invention finds particular utility in forming thin film heterojunction solar cells.

  2. Electromagnetic and optical characteristics of Nb5+-doped double-crossover and salmon DNA thin films

    Science.gov (United States)

    Babu Mitta, Sekhar; Reddy Dugasani, Sreekantha; Jung, Soon-Gil; Vellampatti, Srivithya; Park, Tuson; Park, Sung Ha

    2017-10-01

    We report the fabrication and physical characteristics of niobium ion (Nb5+)-doped double-crossover DNA (DX-DNA) and salmon DNA (SDNA) thin films. Different concentrations of Nb5+ ([Nb5+]) are coordinated into the DNA molecules, and the thin films are fabricated via substrate-assisted growth (DX-DNA) and drop-casting (SDNA) on oxygen plasma treated substrates. We conducted atomic force microscopy to estimate the optimum concentration of Nb5+ ([Nb5+]O = 0.08 mM) in Nb5+-doped DX-DNA thin films, up to which the DX-DNA lattices maintain their structures without deformation. X-ray photoelectron spectroscopy (XPS) was performed to probe the chemical nature of the intercalated Nb5+ in the SDNA thin films. The change in peak intensities and the shift in binding energy were witnessed in XPS spectra to explicate the binding and charge transfer mechanisms between Nb5+ and SDNA molecules. UV-visible, Raman, and photoluminescence (PL) spectra were measured to determine the optical properties and thus investigate the binding modes, Nb5+ coordination sites in Nb5+-doped SDNA thin films, and energy transfer mechanisms, respectively. As [Nb5+] increases, the absorbance peak intensities monotonically increase until ˜[Nb5+]O and then decrease. However, from the Raman measurements, the peak intensities gradually decrease with an increase in [Nb5+] to reveal the binding mechanism and binding sites of metal ions in the SDNA molecules. From the PL, we observe the emission intensities to reduce them at up to ˜[Nb5+]O and then increase after that, expecting the energy transfer between the Nb5+ and SDNA molecules. The current-voltage measurement shows a significant increase in the current observed as [Nb5+] increases in the SDNA thin films when compared to that of pristine SDNA thin films. Finally, we investigate the temperature dependent magnetization in which the Nb5+-doped SDNA thin films reveal weak ferromagnetism due to the existence of tiny magnetic dipoles in the Nb5+-doped SDNA

  3. Thin-Film Power Transformers

    Science.gov (United States)

    Katti, Romney R.

    1995-01-01

    Transformer core made of thin layers of insulating material interspersed with thin layers of ferromagnetic material. Flux-linking conductors made of thinner nonferromagnetic-conductor/insulator multilayers wrapped around core. Transformers have geometric features finer than those of transformers made in customary way by machining and mechanical pressing. In addition, some thin-film materials exhibit magnetic-flux-carrying capabilities superior to those of customary bulk transformer materials. Suitable for low-cost, high-yield mass production.

  4. Preparation and properties of antimony thin film anode materials

    Institute of Scientific and Technical Information of China (English)

    SU Shufa; CAO Gaoshao; ZHAO Xinbing

    2004-01-01

    Metallic antimony thin films were deposited by magnetron sputtering and electrodeposition. Electrochemical properties of the thin film as anode materials for lithium-ion batteries were investigated and compared with those of antimony powder. It was found that both magnetron sputtering and electrodeposition are easily controllable processes to deposit antimony films with fiat charge/discharge potential plateaus. The electrochemical performances of antimony thin films, especially those prepared with magnetron sputtering, are better than those of antimony powder. The reversible capacities of the magnetron sputtered antimony thin film are above 400 mA h g-1 in the first 15 cycles.

  5. Magnetic damping phenomena in ferromagnetic thin-films and multilayers

    Science.gov (United States)

    Azzawi, S.; Hindmarch, A. T.; Atkinson, D.

    2017-11-01

    Damped ferromagnetic precession is an important mechanism underpinning the magnetisation processes in ferromagnetic materials. In thin-film ferromagnets and ferromagnetic/non-magnetic multilayers, the role of precession and damping can be critical for spintronic device functionality and as a consequence there has been significant research activity. This paper presents a review of damping in ferromagnetic thin-films and multilayers and collates the results of many experimental studies to present a coherent synthesis of the field. The terms that are used to define damping are discussed with the aim of providing consistent definitions for damping phenomena. A description of the theoretical basis of damping is presented from early developments to the latest discussions of damping in ferromagnetic thin-films and multilayers. An overview of the time and frequency domain methods used to study precessional magnetisation behaviour and damping in thin-films and multilayers is also presented. Finally, a review of the experimental observations of magnetic damping in ferromagnetic thin-films and multilayers is presented with the most recent explanations. This brings together the results from many studies and includes the effects of ferromagnetic film thickness, the effects of composition on damping in thin-film ferromagnetic alloys, the influence of non-magnetic dopants in ferromagnetic films and the effects of combining thin-film ferromagnets with various non-magnetic layers in multilayered configurations.

  6. Ion beam analysis of PECVD silicon oxide thin films

    International Nuclear Information System (INIS)

    Fernandez-Lima, F.; Rodriguez, J.A.; Pedrero, E.; Fonseca Filho, H.D.; Llovera, A.; Riera, M.; Dominguez, C.; Behar, M.; Zawislak, F.C.

    2006-01-01

    A study of ion beam analysis techniques of plasma enhanced chemical vapor deposited (PECVD) silicon oxide thin films (1 μm thick) obtained from silane (SiH 4 ) and nitrous oxide (N 2 O) is reported. The film, elemental composition and surface morphology were determined as function of the reactant gas flow ratio, R = [N 2 O]/[SiH 4 ] in the 22-110 range using the Rutherford backscattering spectrometry, nuclear reaction analysis and atomic force microscopy techniques. The density of the films was determined by combining the RBS and thickness measurements. All the experiments were done at a deposition temperature of 300 deg. C. In all the cases almost stoichiometric oxides were obtained being the impurity content function of R. It was also observed that physical properties such as density, surface roughness and shape factor increase with R in the studied interval

  7. Modification of graphene oxide films by radiofrequency N2 plasma

    Science.gov (United States)

    Neustroev, E. P.; Burtseva, E. K.; Soloviev, B. D.; Prokopiev, A. R.; Popov, V. I.; Timofeev, V. B.

    2018-04-01

    The effect of treatment in nitrogen plasma on the properties of partially reduced graphene oxide (rGO) was studied. A comparison is made between two different sample locations in the reaction chamber. It is shown that in the case when rGO films were turned towards the inductor of the plasma system, the etching rate is much higher. Effective nitrogen functionalization of rGO was established in the second position, when the rGO films were turned in the opposite direction. In this case, the nitrogen content increases to 5 at% of the initial value. The change in the current-voltage characteristics is observed under illumination, which is independent of the wavelength. On and off daylight changes the resistance to 30% of the initial value. The magnitude of the photocurrent increases depending on the applied voltage. The effect is most noticeable for thin rGO films 10-15 nm in thickness.

  8. Thin-Film Polarizers for the OMEGA EP Laser System

    International Nuclear Information System (INIS)

    Oliver, J.B.; Rigatti, A.L.; Howe, J.D.; Keck, J.; Szczepanski, J.; Schmid, A.W.; Papernov, S.; Kozlov, A.; Kosc, T.Z.

    2006-01-01

    Thin-film polarizers are essential components of large laser systems such as OMEGA EP and the NIF because of the need to switch the beam out of the primary laser cavity (in conjunction with a plasma-electrode Pockels cell) as well as providing a well-defined linear polarization for frequency conversion and protecting the system from back-reflected light. The design and fabrication of polarizers for pulse-compressed laser systems is especially challenging because of the spectral bandwidth necessary for chirped-pulse amplification

  9. Properties of laser-crystallized polycrystalline SiGe thin films

    Energy Technology Data Exchange (ETDEWEB)

    Weizman, Moshe

    2008-06-06

    In this thesis, structural, electrical, and optical properties of laser-crystallized polycrystalline Si{sub 1-x}Ge{sub x} thin films with 0thin films with 0.3film, which is directly coupled to a periodic compositional variation. - Amorphous SiGe samples that are exposed to a single laser pulse exhibit a ripple structure that evolves into a hillock structure when the samples are irradiated with additional laser pulses. - It is maintained that the main mechanism behind the structure formation is an instability of the propagating solid-liquid interface during solidification. - The study of defects with electron spin resonance showed that laser-crystallized poly-Si{sub 1-x}Ge{sub x} thin films with 0films was lower and amounted to N{sub s}=7 x 10{sup 17} cm{sup -3}. - Germanium-rich laser-crystallized poly-SiGe thin films exhibited mostly a broad atypical electric dipole spin resonance (EDSR) signal that was accompanied by a nearly temperature-independent electrical conductivity in the range 20-100 K. - Most likely, the origin of the grain boundary conductance is due to dangling-bond defects and not impurities. Metallic-like conductance occurs when the dangling-bond defect density is above a critical value of about N{sub C} {approx} 10{sup 18} cm{sup -3}. - Laser crystallized poly-Si{sub 1-x}Ge{sub x} thin films with x{>=}0.5 exhibit optical absorption behavior that is characteristic for disordered SiGe, implying that the absorption occurs primarily at the grain boundaries. A sub-band-gap absorption peak was found for

  10. Chemical vapour deposition of thin-film dielectrics

    International Nuclear Information System (INIS)

    Vasilev, Vladislav Yu; Repinsky, Sergei M

    2005-01-01

    Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.

  11. Residual stress in spin-cast polyurethane thin films

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Hong; Zhang, Li, E-mail: lizhang@mae.cuhk.edu.hk [Department of Mechanical and Automation Engineering, The Chinese University of Hong Kong, Shatin N.T., Hong Kong (China); Chow Yuk Ho Technology Centre for Innovative Medicine, The Chinese University of Hong Kong, Shatin N.T., Hong Kong (China)

    2015-01-19

    Residual stress is inevitable during spin-casting. Herein, we report a straightforward method to evaluate the residual stress in as-cast polyurethane thin films using area shrinkage measurement of films in floating state, which shows that the residual stress is independent of radial location on the substrate and decreased with decreasing film thickness below a critical value. We demonstrate that the residual stress is developed due to the solvent evaporation after vitrification during spin-casting and the polymer chains in thin films may undergo vitrification at an increased concentration. The buildup of residual stress in spin-cast polymer films provides an insight into the size effects on the nature of polymer thin films.

  12. Simulated Thin-Film Growth and Imaging

    Science.gov (United States)

    Schillaci, Michael

    2001-06-01

    Thin-films have become the cornerstone of the electronics, telecommunications, and broadband markets. A list of potential products includes: computer boards and chips, satellites, cell phones, fuel cells, superconductors, flat panel displays, optical waveguides, building and automotive windows, food and beverage plastic containers, metal foils, pipe plating, vision ware, manufacturing equipment and turbine engines. For all of these reasons a basic understanding of the physical processes involved in both growing and imaging thin-films can provide a wonderful research project for advanced undergraduate and first-year graduate students. After producing rudimentary two- and three-dimensional thin-film models incorporating ballsitic deposition and nearest neighbor Coulomb-type interactions, the QM tunneling equations are used to produce simulated scanning tunneling microscope (SSTM) images of the films. A discussion of computational platforms, languages, and software packages that may be used to accomplish similar results is also given.

  13. Nanostructured thin films as functional coatings

    Energy Technology Data Exchange (ETDEWEB)

    Lazar, Manoj A; Tadvani, Jalil K; Tung, Wing Sze; Lopez, Lorena; Daoud, Walid A, E-mail: Walid.Daoud@sci.monash.edu.au [School of Applied Sciences and Engineering, Monash University, Churchill, VIC 3842 (Australia)

    2010-06-15

    Nanostructured thin films is one of the highly exploiting research areas particularly in applications such as photovoltaics, photocatalysis and sensor technologies. Highly tuned thin films, in terms of thickness, crystallinity, porosity and optical properties, can be fabricated on different substrates using the sol-gel method, chemical solution deposition (CSD), electrochemical etching, along with other conventional methods such as chemical vapour deposition (CVD) and physical vapour deposition (PVD). The above mentioned properties of these films are usually characterised using surface analysis techniques such as XRD, SEM, TEM, AFM, ellipsometry, electrochemistry, SAXS, reflectance spectroscopy, STM, XPS, SIMS, ESCA, X-ray topography and DOSY-NMR. This article presents a short review of the preparation and characterisation of thin films of nanocrystalline titanium dioxide and modified silicon as well as their application in solar cells, water treatment, water splitting, self cleaning fabrics, sensors, optoelectronic devices and lab on chip systems.

  14. Thin film characterization by resonantly excited internal standing waves

    Energy Technology Data Exchange (ETDEWEB)

    Di Fonzio, S [SINCROTRONE TRIESTE, Trieste (Italy)

    1996-09-01

    This contribution describes how a standing wave excited in a thin film can be used for the characterization of the properties of the film. By means of grazing incidence X-ray reflectometry one can deduce the total film thickness. On the other hand in making use of a strong resonance effect in the electric field intensity distribution inside a thin film on a bulk substrate one can learn more about the internal structure of the film. The profile of the internal standing wave is proven by diffraction experiments. The most appropriate non-destructive technique for the subsequent thin film characterization is angularly dependent X-ray fluorescence analysis. The existence of the resonance makes it a powerful tool for the detection of impurities and of ultra-thin maker layers, for which the position can be determined with very high precision (about 1% of the total film thickness). This latter aspect will be discussed here on samples which had a thin Ti marker layer at different positions in a carbon film. Due to the resonance enhancement it was still possible to perform these experiments with a standard laboratory x-ray tube and with standard laboratory tool for marker or impurity detection in thin films.

  15. Optical and electrical properties of boron doped diamond thin conductive films deposited on fused silica glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ficek, M.; Sobaszek, M.; Gnyba, M. [Department of Metrology and Optoelectronics, Gdansk University of Technology, 11/12G. Narutowicza St., 80-233 Gdansk (Poland); Ryl, J. [Department of Electrochemistry, Corrosion and Material Engineering, Gdansk University of Technology, 11/12 Narutowicza St., 80-233 Gdansk (Poland); Gołuński, Ł. [Department of Metrology and Optoelectronics, Gdansk University of Technology, 11/12G. Narutowicza St., 80-233 Gdansk (Poland); Smietana, M.; Jasiński, J. [Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, 75 Koszykowa St., 00-662 Warsaw (Poland); Caban, P. [Institute of Electronic Materials Technology, 133 Wolczynska St., 01-919 Warsaw (Poland); Bogdanowicz, R., E-mail: rbogdan@eti.pg.gda.pl [Department of Metrology and Optoelectronics, Gdansk University of Technology, 11/12G. Narutowicza St., 80-233 Gdansk (Poland); Materials and Process Simulation Center, California Institute of Technology, Pasadena, CA 91125 (United States)

    2016-11-30

    Highlights: • Growth of 60% of transmittance diamond films with resistivity as low as 48 Ω cm. • Two step seeding process of fused silica: plasma hydrogenation and wet seeding. • Nanodiamond seeding density of 2 × 10{sup 10} cm{sup −2} at fused silica substrates. • High refractive index (2.4 @550 nm) was achieved for BDD films deposited at 500 °C. - Abstract: This paper presents boron-doped diamond (BDD) film as a conductive coating for optical and electronic purposes. Seeding and growth processes of thin diamond films on fused silica have been investigated. Growth processes of thin diamond films on fused silica were investigated at various boron doping level and methane admixture. Two step pre-treatment procedure of fused silica substrate was applied to achieve high seeding density. First, the substrates undergo the hydrogen plasma treatment then spin-coating seeding using a dispersion consisting of detonation nanodiamond in dimethyl sulfoxide with polyvinyl alcohol was applied. Such an approach results in seeding density of 2 × 10{sup 10} cm{sup −2}. The scanning electron microscopy images showed homogenous, continuous and polycrystalline surface morphology with minimal grain size of 200 nm for highly boron doped films. The sp{sup 3}/sp{sup 2} ratio was calculated using Raman spectra deconvolution method. A high refractive index (range of 2.0–2.4 @550 nm) was achieved for BDD films deposited at 500 °C. The values of extinction coefficient were below 0.1 at λ = 550 nm, indicating low absorption of the film. The fabricated BDD thin films displayed resistivity below 48 Ohm cm and transmittance over 60% in the visible wavelength range.

  16. Photoluminescence of electron beam evaporated CaS:Bi thin films

    CERN Document Server

    Smet, P F; Poelman, D R; Meirhaeghe, R L V

    2003-01-01

    For the first time, the photoluminescence (PL) of electron beam evaporated CaS:Bi thin films is reported. Luminescent CaS:Bi powder prepared out of aqueous solutions was used as source material. The influence of substrate temperature on the PL and the morphology of thin films is discussed, and an optimum is determined. Substrate temperatures between 200 deg. C and 300 deg. C lead to good quality thin films with sufficient PL intensity. As-deposited thin films show two emission bands, peaking at 450 and 530 nm. Upon annealing the emission intensity increases, and annealing at 800 deg. C is sufficient to obtain a homogeneously blue emitting thin film (CIE colour coordinates (0.17; 0.12)), thanks to a single remaining emission band at 450 nm. The influence of ambient temperature on the PL of CaS:Bi powder and thin films was also investigated and it was found that CaS:Bi thin films show a favourable thermal quenching behaviour near room temperature.

  17. Excimer Laser Deposition of PLZT Thin Films

    National Research Council Canada - National Science Library

    Petersen, GAry

    1991-01-01

    .... In order to integrate these devices into optical systems, the production of high quality thin films with high transparency and perovskite crystal structure is desired. This requires development of deposition technologies to overcome the challenges of depositing and processing PLZT thin films.

  18. Thin polymer films prepared by plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Rangel, Elidiane C.; Silva, Paulo A.F.; Mota, Rogerio P.; Schreiner, Wido H.; Cruz, Nilson C.

    2005-01-01

    This work describes an investigation of the properties of polymer films prepared by plasma immersion ion implantation and deposition. Films were synthesized from low pressure benzene glow discharges, biasing the samples with 25 kV negative pulses. The total energy deposited in the growing layer was varied tailoring simultaneously pulse frequency and duty cycle. The effect of the pulse characteristics on the chemical composition and mechanical properties of the films was studied by X-ray photoelectron spectroscopy (XPS) and nanoindentation, respectively. Analysis of the deconvoluted C 1s XPS peaks demonstrated that oxygen was incorporated in all the samples. The chemical modifications induced structural reorganization, characterized by chain cross-linking and unsaturation, affecting material properties. Hardness and plastic resistance parameter increased under certain bombardment conditions. An interpretation is proposed in terms of the total energy delivered to the growing layer

  19. Physics of thin films advances in research and development

    CERN Document Server

    Hass, Georg; Vossen, John L

    2013-01-01

    Physics of Thin Films: Advances in Research and Development, Volume 12 reviews advances that have been made in research and development concerning the physics of thin films. This volume covers a wide range of preparative approaches, physics phenomena, and applications related to thin films. This book is comprised of four chapters and begins with a discussion on metal coatings and protective layers for front surface mirrors used at various angles of incidence from the ultraviolet to the far infrared. Thin-film materials and deposition conditions suitable for minimizing reflectance changes with

  20. Investigation of plasma stream collision produced by thin films irradiated by powerful pulsed electron beam

    International Nuclear Information System (INIS)

    Efremov, V P; Demidov, B A; Ivkin, M V; Mescheryakov, A N; Petrov, V A; Potapenko, A I

    2006-01-01

    Collision of fast plasma streams in vacuum is investigated. Plasma streams were produced by irradiation of thin foils with a powerful pulsed electron beam. Interaction of the plasma flows was studied by using frame and streak cameras. One-dimensional numerical simulation was carried out. Application of this method for porous ICF targets and high-energy physics is discussed

  1. Characterization of ultrasonic spray pyrolysed ruthenium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Patil, P.S.; Ennaoui, E.A.; Lokhande, C.D.; Mueller, M.; Giersig, M.; Diesner, K.; Tributsch, H. [Hahn-Meitner-Institut Berlin GmbH (Germany). Bereich Physikalische Chemie

    1997-11-21

    The ultrasonic spray pyrolysis (USP) technique was employed to deposit ruthenium oxide thin films. The films were prepared at 190 C substrate temperature and further annealed at 350 C for 30 min in air. The films were 0.22 {mu} thick and black grey in color. The structural, compositional and optical properties of ruthenium oxide thin films are reported. Contactless transient photoconductivity measurement was carried out to calculate the decay time of excess charge carriers in ruthenium oxide thin films. (orig.) 28 refs.

  2. Alternative nano-structured thin-film materials used as durable thermal nanoimprint lithography templates

    Science.gov (United States)

    Bossard, M.; Boussey, J.; Le Drogoff, B.; Chaker, M.

    2016-02-01

    Nanoimprint templates made of diamond-like carbon (DLC) and amorphous silicon carbide (SiC) thin films and fluorine-doped associated materials, i.e. F-DLC and F-SiC were investigated in the context of thermal nanoimprint lithography (NIL) with respect to their release properties. Their performances in terms of durability and stability were evaluated and compared to those of conventional silicon or silica molds coated with antisticking molecules applied as a self-assembled monolayer. Plasma-enhanced chemical vapor deposition parameters were firstly tuned to optimize mechanical and structural properties of the DLC and SiC thin films. The impact of the amount of fluorine dopant on the deposited thin films properties was then analyzed. A comparative analysis of DLC, F-DLC as well as SiC and F-SiC molds was then carried out over multiple imprints, performed into poly (methyl methacrylate) (PMMA) thermo-plastic resist. The release properties of un-patterned films were evaluated by the measurement of demolding energies and surface energies, associated with a systematic analysis of the mold surface contamination. These analyses showed that the developed materials behave as intrinsically easy-demolding and contamination-free molds over series of up to 40 imprints. To our knowledge, it is the first time that such a large number of imprints has been considered within an exhaustive comparative study of materials for NIL. Finally, the developed materials went through standard e-beam lithography and plasma etching processes to obtain nanoscale-patterned templates. The replicas of those patterned molds, imprinted into PMMA, were shown to be of high fidelity and good stability after several imprints.

  3. Field ion microscope studies on thin films

    International Nuclear Information System (INIS)

    Cavaleru, A.; Scortaru, A.

    1976-01-01

    A review of the progress made in the last years in FIM application to thin film structure studies and adatom properties important in the nucleation stage of thin film growth: substrate binding and mobility of individual adatoms, behaviour of adatoms clusters is presented. (author)

  4. Numerical and experimental modeling of liquid metal thin film flows in a quasi-coplanar magentic field

    Energy Technology Data Exchange (ETDEWEB)

    Morley, Neil B. [Univ. of California, Los Angeles, CA (United States)

    1994-01-01

    Liquid metal film protection of plasma-facing surfaces in fusion reactors is proposed in an effort to counter the adverse effects of high heat and particle fluxes from the burning plasma. Concerns still exist about establishing the required flow in presence of strong magnetic fields and plasma momentum flux typical of a reactor environment. In this work, the flow behavior of the film is examined under such conditions. Analysis of MHD equations as they apply to liquid metal flows with a free surface in the fully-developed limit was undertaken. Solution yields data for velocity profiles and uniform film heights vs key design parameters (channel size, magnetic field magnitude/orientation, channel slope, wall conductivity). These results are compared to previous models to determine accuracy of simplifying assumptions, in particular Hartmann averaging of films along {rvec B}. Effect of a plasma momentum flux on the thin films is also analyzed. The plasma momentum is strong enough in the cases examined to seriously upset the film, especially for lighter elements like Li. Ga performed much better and its possible use is bolstered by calculations. In an experiment in the MeGA-loop MHD facility, coplanar, wide film flow was found to be little affected by the magnetic field due to the elongated nature of the film. Both MHD drag and partial laminarization are observed, supporting the fully- developed film model predictions of the onset of MHD drag and duct flow estimations for flow laminarization.

  5. Numerical and experimental modeling of liquid metal thin film flows in a quasi-coplanar magentic field

    International Nuclear Information System (INIS)

    Morley, N.B.

    1994-01-01

    Liquid metal film protection of plasma-facing surfaces in fusion reactors is proposed in an effort to counter the adverse effects of high heat and particle fluxes from the burning plasma. Concerns still exist about establishing the required flow in presence of strong magnetic fields and plasma momentum flux typical of a reactor environment. In this work, the flow behavior of the film is examined under such conditions. Analysis of MHD equations as they apply to liquid metal flows with a free surface in the fully-developed limit was undertaken. Solution yields data for velocity profiles and uniform film heights vs key design parameters (channel size, magnetic field magnitude/orientation, channel slope, wall conductivity). These results are compared to previous models to determine accuracy of simplifying assumptions, in particular Hartmann averaging of films along rvec B. Effect of a plasma momentum flux on the thin films is also analyzed. The plasma momentum is strong enough in the cases examined to seriously upset the film, especially for lighter elements like Li. Ga performed much better and its possible use is bolstered by calculations. In an experiment in the MeGA-loop MHD facility, coplanar, wide film flow was found to be little affected by the magnetic field due to the elongated nature of the film. Both MHD drag and partial laminarization are observed, supporting the fully- developed film model predictions of the onset of MHD drag and duct flow estimations for flow laminarization

  6. Influence of Cu–Ti thin film surface properties on antimicrobial activity and viability of living cells

    International Nuclear Information System (INIS)

    Wojcieszak, Damian; Kaczmarek, Danuta; Antosiak, Aleksandra; Mazur, Michal; Rybak, Zbigniew; Rusak, Agnieszka; Osekowska, Malgorzata; Poniedzialek, Agata; Gamian, Andrzej; Szponar, Bogumila

    2015-01-01

    The paper describes properties of thin-film coatings based on copper and titanium. Thin films were prepared by co-sputtering of Cu and Ti targets in argon plasma. Deposited coatings consist of 90 at.% of Cu and 10 at.% of Ti. Characterization of the film was made on the basis of investigations of microstructure and physicochemical properties of the surface. Methods such as scanning electron microscopy, x-ray microanalysis, x-ray diffraction, x-ray photoelectron spectroscopy, atomic force microscopy, optical profilometry and wettability measurements were used to assess the properties of deposited thin films. An impact of Cu–Ti coating on the growth of selected bacteria and viability of the living cells (line L929, NCTC clone 929) was described in relation to the structure, surface state and wettability of the film. It was found that as-deposited films were amorphous. However, in such surroundings the nanocrystalline grains of 10–15 nm and 25–35 nm size were present. High surface active area with a roughness of 8.9 nm, had an effect on receiving relatively high water contact angle value (74.1°). Such wettability may promote cell adhesion and result in an increase of the probability of copper ion transfer from the film surface into the cell. Thin films revealed bactericidal and fungicidal effects even in short term-contact. High activity of prepared films was directly related to high amount (ca. 51 %) of copper ions at 1+ state as x-ray photoelectron spectroscopy results have shown. - Graphical abstract: Bactericidal and fungicidal effects of time contact with surface of Cu–Ti thin films. - Highlights: • Antimicrobial activity and cytotoxic effect (viability of L929 cell line) of metallic Cu–Ti filmsThin films were prepared by co-sputtering of Cu and Ti. • As-deposited Cu–Ti films were amorphous and homogenous. • Bactericidal and fungicidal effects even in short term-contact were observed

  7. Influence of Cu–Ti thin film surface properties on antimicrobial activity and viability of living cells

    Energy Technology Data Exchange (ETDEWEB)

    Wojcieszak, Damian, E-mail: damian.wojcieszak@pwr.edu.pl [Faculty of Microsystem Electronics and Photonics, Wroclaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw (Poland); Kaczmarek, Danuta [Faculty of Microsystem Electronics and Photonics, Wroclaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw (Poland); Antosiak, Aleksandra [Institute of Immunology and Experimental Therapy, Polish Academy of Sciences, Rudolfa Weigla 12, 53-114 Wrocław (Poland); Mazur, Michal [Faculty of Microsystem Electronics and Photonics, Wroclaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw (Poland); Rybak, Zbigniew; Rusak, Agnieszka; Osekowska, Malgorzata [Department for Experimental Surgery and Biomaterials Research, Wroclaw Medical University, Poniatowskiego 2, 50-326 Wroclaw (Poland); Poniedzialek, Agata [Faculty of Microsystem Electronics and Photonics, Wroclaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw (Poland); Gamian, Andrzej; Szponar, Bogumila [Institute of Immunology and Experimental Therapy, Polish Academy of Sciences, Rudolfa Weigla 12, 53-114 Wrocław (Poland)

    2015-11-01

    The paper describes properties of thin-film coatings based on copper and titanium. Thin films were prepared by co-sputtering of Cu and Ti targets in argon plasma. Deposited coatings consist of 90 at.% of Cu and 10 at.% of Ti. Characterization of the film was made on the basis of investigations of microstructure and physicochemical properties of the surface. Methods such as scanning electron microscopy, x-ray microanalysis, x-ray diffraction, x-ray photoelectron spectroscopy, atomic force microscopy, optical profilometry and wettability measurements were used to assess the properties of deposited thin films. An impact of Cu–Ti coating on the growth of selected bacteria and viability of the living cells (line L929, NCTC clone 929) was described in relation to the structure, surface state and wettability of the film. It was found that as-deposited films were amorphous. However, in such surroundings the nanocrystalline grains of 10–15 nm and 25–35 nm size were present. High surface active area with a roughness of 8.9 nm, had an effect on receiving relatively high water contact angle value (74.1°). Such wettability may promote cell adhesion and result in an increase of the probability of copper ion transfer from the film surface into the cell. Thin films revealed bactericidal and fungicidal effects even in short term-contact. High activity of prepared films was directly related to high amount (ca. 51 %) of copper ions at 1+ state as x-ray photoelectron spectroscopy results have shown. - Graphical abstract: Bactericidal and fungicidal effects of time contact with surface of Cu–Ti thin films. - Highlights: • Antimicrobial activity and cytotoxic effect (viability of L929 cell line) of metallic Cu–Ti filmsThin films were prepared by co-sputtering of Cu and Ti. • As-deposited Cu–Ti films were amorphous and homogenous. • Bactericidal and fungicidal effects even in short term-contact were observed.

  8. The Structure and Stability of Molybdenum Ditelluride Thin Films

    Directory of Open Access Journals (Sweden)

    Zhouling Wang

    2014-01-01

    Full Text Available Molybdenum-tellurium alloy thin films were fabricated by electron beam evaporation and the films were annealed in different conditions in N2 ambient. The hexagonal molybdenum ditelluride thin films with well crystallization annealed at 470°C or higher were obtained by solid state reactions. Thermal stability measurements indicate the formation of MoTe2 took place at about 350°C, and a subtle weight-loss was in the range between 30°C and 500°C. The evolution of the chemistry for Mo-Te thin films was performed to investigate the growth of the MoTe2 thin films free of any secondary phase. And the effect of other postdeposition treatments on the film characteristics was also investigated.

  9. Magnetic surfaces, thin films, and multilayers

    International Nuclear Information System (INIS)

    Parkin, S.S.P.; Renard, J.P.; Shinjo, T.; Zinn, W.

    1992-01-01

    This paper details recent developments in the magnetism of surfaces, thin films and multilayers. More than 20 invited contributions and more than 60 contributed papers attest to the great interest and vitality of this subject. In recent years the study of magnetic surfaces, thin films and multilayers has undergone a renaissance, partly motivated by the development of new growth and characterization techniques, but perhaps more so by the discovery of many exciting new properties, some quite unanticipated. These include, most recently, the discovery of enormous values of magnetoresistance in magnetic multilayers far exceeding those found in magnetic single layer films and the discovery of oscillatory interlayer coupling in transition metal multilayers. These experimental studies have motivated much theoretical work. However these developments are to a large extent powered by materials engineering and our ability to control and understand the growth of thin layers just a few atoms thick. The preparation of single crystal thin film layers and multilayers remains important for many studies, in particular, for properties dependent. These studies obviously require engineering not just a layer thicknesses but of lateral dimensions as well. The properties of such structures are already proving to be a great interest

  10. Thin films prepared from tungstate glass matrix

    Energy Technology Data Exchange (ETDEWEB)

    Montanari, B.; Ribeiro, S.J.L.; Messaddeq, Y. [Departamento de Quimica Geral e Inorganica, Instituto de Quimica, Sao Paulo State University-UNESP, CP 355, CEP 14800-900, Araraquara, SP (Brazil); Li, M.S. [Instituto de Fisica, USP, CP 369, CEP 13560-970, Sao Carlos, SP (Brazil); Poirier, G. [Departamento de Ciencias Exatas, UNIFAL-MG, CEP 37130-000, Alfenas-MG (Brazil)], E-mail: gael@unifal-mg.edu.br

    2008-01-30

    Vitreous samples containing high concentrations of WO{sub 3} (above 40% M) have been used as a target to prepare thin films. Such films were deposited using the electron beam evaporation method onto soda-lime glass substrates. These films were characterized by X-ray diffraction (XRD), perfilometry, X-ray energy dispersion spectroscopy (EDS), M-Lines and UV-vis absorption spectroscopy. In this work, experimental parameters were established to obtain stable thin films showing a chemical composition close to the glass precursor composition and with a high concentration of WO{sub 3}. These amorphous thin films of about 4 {mu}m in thickness exhibit a deep blue coloration but they can be bleached by thermal treatment near the glass transition temperature. Such bleached films show several guided modes in the visible region and have a high refractive index. Controlled crystallization was realized and thus it was possible to obtain WO{sub 3} microcrystals in the amorphous phase.

  11. Electron cyclotron resonance microwave ion sources for thin film processing

    International Nuclear Information System (INIS)

    Berry, L.A.; Gorbatkin, S.M.

    1990-01-01

    Plasmas created by microwave absorption at the electron cyclotron resonance (ECR) are increasingly used for a variety of plasma processes, including both etching and deposition. ECR sources efficiently couple energy to electrons and use magnetic confinement to maximize the probability of an electron creating an ion or free radical in pressure regimes where the mean free path for ionization is comparable to the ECR source dimensions. The general operating principles of ECR sources are discussed with special emphasis on their use for thin film etching. Data on source performance during Cl base etching of Si using an ECR system are presented. 32 refs., 5 figs

  12. Characterization of Sucrose Thin Films for Biomedical Applications

    Directory of Open Access Journals (Sweden)

    S. L. Iconaru

    2011-01-01

    Full Text Available Sucrose is a natural osmolyte accumulated in the cells of organisms as they adapt to environmental stress. In vitro sucrose increases protein stability and forces partially unfolded structures to refold. Thin films of sucrose (C12H22O11 were deposited on thin cut glass substrates by the thermal evaporation technique (P∼10−5 torr. Characteristics of thin films were put into evidence by Fourier Transform Infrared Spectroscopy (FTIR, X-ray Photoelectron Spectroscopy (XPS, scanning electron microscopy (SEM, and differential thermal analysis and thermal gravimetric analysis (TG/DTA. The experimental results confirm a uniform deposition of an adherent layer. In this paper we present a part of the characteristics of sucrose thin films deposited on glass in medium vacuum conditions, as a part of a culture medium for osteoblast cells. Osteoblast cells were used to determine proliferation, viability, and cytotoxicity interactions with sucrose powder and sucrose thin films. The osteoblast cells have been provided from the American Type Culture Collection (ATCC Centre. The outcome of this study demonstrated the effectiveness of sucrose thin films as a possible nontoxic agent for biomedical applications.

  13. Significant questions in thin liquid film heat transfer

    International Nuclear Information System (INIS)

    Bankoff, S.G.

    1994-01-01

    Thin liquid films appear in many contexts, such as the cooling of gas turbine blade tips, rocket engines, microelectronics arrays, and hot fuel element surfaces in hypothetical nuclear reactor accidents. Apart from these direct cooling applications of thin liquid layers, thin films form a crucial element in determining the allowable heat flux limits in boiling. This is because the last stages of dryout almost invariably involve the rupture of a residual liquid film, either as a microlayer underneath the bubbles, or a thin annular layer in a high-quality burnout scenario. The destabilization of these thin films under the combined actions of shear stress, evaporation, and thermocapillary effects is quite complex. The later stages of actual rupture to form dry regions, which then expand, resulting in possible overheating, are even more complex and less well understood. However, significant progress has been made in understanding the behavior of these thin films, which are subject to competing instabilities prior to actual rupture. This will be reviewed briefly. Recent work on the advance, or recession, of contact lines will also be described briefly, and significant questions that still remain to be answered will be discussed. 68 refs., 7 figs

  14. Novel photon management for thin-film photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Menon, Rajesh [Univ. of Utah, Salt Lake City, UT (United States)

    2016-11-11

    The objective of this project is to enable commercially viable thin-film photovoltaics whose efficiencies are increased by over 10% using a novel optical spectral-separation technique. A thin planar diffractive optic is proposed that efficiently separates the solar spectrum and assigns these bands to optimal thin-film sub-cells. An integrated device that is comprised of the optical element, an array of sub-cells and associated packaging is proposed.

  15. Effects of RF plasma treatment on spray-pyrolyzed copper oxide films on silicon substrates

    Science.gov (United States)

    Madera, Rozen Grace B.; Martinez, Melanie M.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    The effects of radio-frequency (RF) argon (Ar) plasma treatment on the structural, morphological, electrical and compositional properties of the spray-pyrolyzed p-type copper oxide films on n-type (100) silicon (Si) substrates were investigated. The films were successfully synthesized using 0.3 M copper acetate monohydrate sprayed on precut Si substrates maintained at 350 °C. X-ray diffraction revealed cupric oxide (CuO) with a monoclinic structure. An apparent improvement in crystallinity was realized after Ar plasma treatment, attributed to the removal of residues contaminating the surface. Scanning electron microscope images showed agglomerated monoclinic grains and revealed a reduction in size upon plasma exposure induced by the sputtering effect. The current-voltage characteristics of CuO/Si showed a rectifying behavior after Ar plasma exposure with an increase in turn-on voltage. Four-point probe measurements revealed a decrease in sheet resistance after plasma irradiation. Fourier transform infrared spectral analyses also showed O-H and C-O bands on the films. This work was able to produce CuO thin films via spray pyrolysis on Si substrates and enhancement in their properties by applying postdeposition Ar plasma treatment.

  16. Hydrogen–argon plasma pre-treatment for improving the anti-corrosion properties of thin Al2O3 films deposited using atomic layer deposition on steel

    International Nuclear Information System (INIS)

    Härkönen, Emma; Potts, Stephen E.; Kessels, Wilhelmus M.M.; Díaz, Belén; Seyeux, Antoine; Światowska, Jolanta; Maurice, Vincent; Marcus, Philippe; Radnóczi, György; Tóth, Lajos; Kariniemi, Maarit; Niinistö, Jaakko; Ritala, Mikko

    2013-01-01

    The effect of H 2 –Ar plasma pre-treatment prior to thermal atomic layer deposition (ALD) and plasma-enhanced atomic layer deposition (PEALD) of Al 2 O 3 films on steel for corrosion protection was investigated. Time-of-flight secondary ion mass spectrometry and transmission electron microscopy were used to observe the changes in the interface. The electrochemical properties of the samples were studied with polarization measurements, and the coating porosities were calculated from the polarization results for easier comparison of the coatings. Prior to thermal ALD the plasma pre-treatment was observed to reduce the amount of impurities at the interface and coating porosity by 1–3 orders of magnitude. The anti-corrosion properties of the PEALD coatings could also be improved by the pre-treatment. However, exposure of the pre-treatment plasma activated steel surface to oxygen plasma species in PEALD led to facile oxide layer formation in the interface. The oxide layer formed this way was thicker than the native oxide layer and appeared to be detrimental to the protective properties of the coating. The best performance for PEALD Al 2 O 3 coatings was achieved when, after the plasma pre-treatment, the surface was given time to regrow a thin protective interfacial oxide prior to exposure to the oxygen plasma. The different effects that thermal and plasma-enhanced ALD have on the substrate-coating interface were compared. The reactivity of the oxygen precursor was shown to have a significant influence on substrate surface in the early stages of film growth and thereafter also on the overall quality of the protective film. - Highlights: • Influence of H 2 –Ar plasma pre-treatment to ALD coatings on steel was studied. • The pre-treatment modified the coating–substrate interface composition and thickness. • The pre-treatment improved the barrier properties of the coatings

  17. Thin films as an emerging platform for drug delivery

    Directory of Open Access Journals (Sweden)

    Sandeep Karki

    2016-10-01

    Full Text Available Pharmaceutical scientists throughout the world are trying to explore thin films as a novel drug delivery tool. Thin films have been identified as an alternative approach to conventional dosage forms. The thin films are considered to be convenient to swallow, self-administrable, and fast dissolving dosage form, all of which make it as a versatile platform for drug delivery. This delivery system has been used for both systemic and local action via several routes such as oral, buccal, sublingual, ocular, and transdermal routes. The design of efficient thin films requires a comprehensive knowledge of the pharmacological and pharmaceutical properties of drugs and polymers along with an appropriate selection of manufacturing processes. Therefore, the aim of this review is to provide an overview of the critical factors affecting the formulation of thin films, including the physico-chemical properties of polymers and drugs, anatomical and physiological constraints, as well as the characterization methods and quality specifications to circumvent the difficulties associated with formulation design. It also highlights the recent trends and perspectives to develop thin film products by various companies.

  18. Investigations of Si Thin Films as Anode of Lithium-Ion Batteries

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Qingliu [Department of Chemical; Shi, Bing; Bareño, Javier; Liu, Yuzi; Maroni, Victor A.; Zhai, Dengyun; Dees, Dennis W.; Lu, Wenquan

    2018-01-22

    Amorphous silicon thin films having various thicknesses were investigated as a negative electrode material for lithium-ion batteries. Electrochemical characterization of the 20 nm thick thin silicon film revealed a very low first cycle Coulombic efficiency, which can be attributed to the silicon oxide layer formed on both the surface of the as-deposited Si thin film and the interface between the Si and the substrate. Among the investigated films, the 100 nm Si thin film demonstrated the best performance in terms of first cycle efficiency and cycle life. Observations from scanning electron microscopy demonstrated that the generation of cracks was inevitable in the cycled Si thin films, even as the thickness of the film was as little as 20 nm, which was not predicted by previous modeling work. However, the cycling performance of the 20 and 100 nm silicon thin films was not detrimentally affected by these cracks. The poor capacity retention of the 1 mu m silicon thin film was attributed to the delamination.

  19. A simple method to deposit palladium doped SnO2 thin films using plasma enhanced chemical vapor deposition technique

    International Nuclear Information System (INIS)

    Kim, Young Soon; Wahab, Rizwan; Shin, Hyung-Shik; Ansari, S. G.; Ansari, Z. A.

    2010-01-01

    This work presents a simple method to deposit palladium doped tin oxide (SnO 2 ) thin films using modified plasma enhanced chemical vapor deposition as a function of deposition temperature at a radio frequency plasma power of 150 W. Stannic chloride (SnCl 4 ) was used as precursor and oxygen (O 2 , 100 SCCM) (SCCM denotes cubic centimeter per minute at STP) as reactant gas. Palladium hexafluroacetyleacetonate (Pd(C 5 HF 6 O 2 ) 2 ) was used as a precursor for palladium. Fine granular morphology was observed with tetragonal rutile structure. A peak related to Pd 2 Sn is observed, whose intensity increases slightly with deposition temperature. Electrical resistivity value decreased from 8.6 to 0.9 mΩ cm as a function of deposition temperature from 400 to 600 deg. C. Photoelectron peaks related to Sn 3d, Sn 3p3, Sn 4d, O 1s, and C 1s were detected with varying intensities as a function of deposition temperature.

  20. Removable Thin Films used for the Abatement and Mitigation of Beryllium

    International Nuclear Information System (INIS)

    Lumia, M.; Gentile, C.; Creek, K.; Sandoval, R.

    2003-01-01

    The use of removable thin films for the abatement of hazardous particulates has many advantages. Removable thin films are designed to trap and fix particulates in the film's matrix by adhesion. Thin films can be applied to an existing contaminated area to fix and capture the particulates for removal. The nature of the removable thin films, after sufficient cure time, is such that it can typically be removed as one continuous entity. The removable thin films can be applied to almost any surface type with a high success rate of removal

  1. Thermochemical hydrogen generation of indium oxide thin films

    Directory of Open Access Journals (Sweden)

    Taekyung Lim

    2017-03-01

    Full Text Available Development of alternative energy resources is an urgent requirement to alleviate current energy constraints. As such, hydrogen gas is gaining attention as a future alternative energy source to address existing issues related to limited energy resources and air pollution. In this study, hydrogen generation by a thermochemical water-splitting process using two types of In2O3 thin films was investigated. The two In2O3 thin films prepared by chemical vapor deposition (CVD and sputtering deposition systems contained different numbers of oxygen vacancies, which were directly related to hydrogen generation. The as-grown In2O3 thin film prepared by CVD generated a large amount of hydrogen because of its abundant oxygen vacancies, while that prepared by sputtering had few oxygen vacancies, resulting in low hydrogen generation. Increasing the temperature of the In2O3 thin film in the reaction chamber caused an increase in hydrogen generation. The oxygen-vacancy-rich In2O3 thin film is expected to provide a highly effective production of hydrogen as a sustainable and efficient energy source.

  2. ZnO and Al doped ZnO thin films deposited by Spray Plasma: Effect of the growth time and Al doping on microstructural, optical and electrical properties

    International Nuclear Information System (INIS)

    Baba, Kamal; Lazzaroni, Claudia; Nikravech, Mehrdad

    2015-01-01

    Nanostructured zinc oxide (ZnO) and Al doped ZnO (ZnO:Al) thin films are deposited on glass substrate by the Spray Plasma technique. Zinc nitrate and aluminium nitrate are used as Zn and Al precursors, respectively. The effect of the growth time on structural and optical properties of undoped films is studied by X-ray diffraction, atomic force microscopy, and UV–Vis spectroscopy. The effect of Al doping on microstructural, optical and electrical characteristics of ZnO:Al films is also investigated. The results show that the grain size and the film thickness both increase with the growth time. The band gap of the layers varies from 3.17 to 3.24 eV depending on the thickness. The increase of the Al doping results in the enlargement of the peak (002) and the shift of its position to higher 2θ values. Average optical transmittance decreases from 90 to 65% with the growth time because of the thickness increase while there is no significant influence of the aluminium doping on the transmittance which is above 80% in most of the visible and near-IR range for all ZnO:Al films. The electrical properties characterized by Hall measurements show that all the deposited films exhibit high resistivity, between 4 and 10 4 Ω cm. The carrier concentration decreases from 2.10 19 to 2.10 13 cm −3 when the concentration of Al increases from 1.5 to 5 atm%. - Highlights: • The original Spray Plasma technique is used for ZnO and ZnO:Al thin film deposition. • Investigation of the effect of growth time and Al doping on the structural and optical properties • Increase of grain size and film thickness with the growth time • Optical transmittance decreases from 90 to 65% with the growth time and is above 80% for ZnO:Al films in UV–Vis-NIR range. • The peak position of the (002) plane is shifted to high 2θ values with Al doping.

  3. Thin Film Photovoltaic/Thermal Solar Panels

    Institute of Scientific and Technical Information of China (English)

    David JOHNSTON

    2008-01-01

    A solar panel is described.in which thin films of semiconductor are deposited onto a metal substrate.The semiconductor-metal combination forms a thin film photovoltaic cell,and also acts as a reflector,absorber tandem, which acts as a solar selective surface,thus enhancing the solar thermal performance of the collector plate.The use of thin films reduces the distance heat is required to flow from the absorbing surface to the metal plate and heat exchange conduits.Computer modelling demonstrated that,by suitable choice of materials,photovohaic efficiency call be maintained,with thermal performance slishtly reduced,compared to that for thermal-only panels.By grading the absorber layer-to reduce the band gap in the lower region-the thermal performance can be improved,approaching that for a thermal-only solar panel.

  4. Phonon transport across nano-scale curved thin films

    Energy Technology Data Exchange (ETDEWEB)

    Mansoor, Saad B.; Yilbas, Bekir S., E-mail: bsyilbas@kfupm.edu.sa

    2016-12-15

    Phonon transport across the curve thin silicon film due to temperature disturbance at film edges is examined. The equation for radiative transport is considered via incorporating Boltzmann transport equation for the energy transfer. The effect of the thin film curvature on phonon transport characteristics is assessed. In the analysis, the film arc length along the film centerline is considered to be constant and the film arc angle is varied to obtain various film curvatures. Equivalent equilibrium temperature is introduced to assess the phonon intensity distribution inside the curved thin film. It is found that equivalent equilibrium temperature decay along the arc length is sharper than that of in the radial direction, which is more pronounced in the region close to the film inner radius. Reducing film arc angle increases the film curvature; in which case, phonon intensity decay becomes sharp in the close region of the high temperature edge. Equivalent equilibrium temperature demonstrates non-symmetric distribution along the radial direction, which is more pronounced in the near region of the high temperature edge.

  5. Phonon transport across nano-scale curved thin films

    International Nuclear Information System (INIS)

    Mansoor, Saad B.; Yilbas, Bekir S.

    2016-01-01

    Phonon transport across the curve thin silicon film due to temperature disturbance at film edges is examined. The equation for radiative transport is considered via incorporating Boltzmann transport equation for the energy transfer. The effect of the thin film curvature on phonon transport characteristics is assessed. In the analysis, the film arc length along the film centerline is considered to be constant and the film arc angle is varied to obtain various film curvatures. Equivalent equilibrium temperature is introduced to assess the phonon intensity distribution inside the curved thin film. It is found that equivalent equilibrium temperature decay along the arc length is sharper than that of in the radial direction, which is more pronounced in the region close to the film inner radius. Reducing film arc angle increases the film curvature; in which case, phonon intensity decay becomes sharp in the close region of the high temperature edge. Equivalent equilibrium temperature demonstrates non-symmetric distribution along the radial direction, which is more pronounced in the near region of the high temperature edge.

  6. Improvement of dielectric properties of BLT thin films deposited by magnetron sputtering

    International Nuclear Information System (INIS)

    Besland, M P; Barroy, P R J; Richard-Plouet, M; Tessier, P Y; Brohan, L; Djouadi, M A; Borderon, C; Tacon, S Le; Averty, D; Gundel, H W

    2008-01-01

    Well crystallized BLT thin films were deposited by RF magnetron sputtering using a target of Aurivillius phase Bi 3.25 La 0.75 Ti 3 O 12 (BLT 0,75 ), elaborated in our institute. RF sputtering experiments were performed at room temperature with an argon/oxygen mixture, in a pressure range of 20-50 mTorr. Optimisation of the plasma parameters, namely deposition pressure, RF power and oxygen content in the gas phase, allows obtaining BLT films with a chemical composition close to Bi 3.25 La 0.75 Ti 3 O 12 . After ex-situ annealing under oxygen atmosphere at 650 deg. C, BLT films deposited on Pt/TiO 2 /SiO 2 /Si (multilayer) substrates exhibit well defined rod-like grains morphology. A two step deposition process appeared to be necessary in order to reach satisfying dielectric properties. The effect of the plasma parameters on the chemical composition and electrical properties are presented and discussed

  7. Enhanced properties of tungsten thin films deposited with a novel HiPIMS approach

    Science.gov (United States)

    Velicu, Ioana-Laura; Tiron, Vasile; Porosnicu, Corneliu; Burducea, Ion; Lupu, Nicoleta; Stoian, George; Popa, Gheorghe; Munteanu, Daniel

    2017-12-01

    Despite the tremendous potential for industrial use of tungsten (W), very few studies have been reported so far on controlling and tailoring the properties of W thin films obtained by physical vapor deposition techniques and, even less, for those deposited by High Power Impulse Magnetron Sputtering (HiPIMS). This study presents results on the deposition process and properties characterization of nanocrystalline W thin films deposited on silicon and molybdenum substrates (100 W average sputtering power) by conventional dc magnetron sputtering (dcMS) and HiPIMS techniques. Topological, structural, mechanical and tribological properties of the deposited thin films were investigated. It was found that in HiPIMS, both deposition process and coatings properties may be optimized by using an appropriate magnetic field configuration and pulsing design. Compared to the other deposited samples, the W films grown in multi-pulse (5 × 3 μs) HiPIMS assisted by an additional magnetic field, created with a toroidal-shaped permanent magnet placed in front of the magnetron cathode, show significantly enhanced properties, such as: smoother surfaces, higher homogeneity and denser microstructure, higher hardness and Young's modulus values, better adhesion to the silicon substrate and lower coefficient of friction. Mechanical behaviour and structural changes are discussed based on plasma diagnostics results.

  8. Emergent Topological Phenomena in Thin Films of Pyrochlore Iridates

    Science.gov (United States)

    Yang, Bohm-Jung; Nagaosa, Naoto

    2014-06-01

    Because of the recent development of thin film and artificial superstructure growth techniques, it is possible to control the dimensionality of the system, smoothly between two and three dimensions. In this Letter we unveil the dimensional crossover of emergent topological phenomena in correlated topological materials. In particular, by focusing on the thin film of pyrochlore iridate antiferromagnets grown along the [111] direction, we demonstrate that the thin film can have a giant anomalous Hall conductance, proportional to the thickness of the film, even though there is no Hall effect in 3D bulk material. Moreover, in the case of ultrathin films, a quantized anomalous Hall conductance can be observed, despite the fact that the system is an antiferromagnet. In addition, we uncover the emergence of a new topological phase, the nontrivial topological properties of which are hidden in the bulk insulator and manifest only in thin films. This shows that the thin film of correlated topological materials is a new platform to search for unexplored novel topological phenomena.

  9. Thermoelectric effects of amorphous Ga-Sn-O thin film

    Science.gov (United States)

    Matsuda, Tokiyoshi; Uenuma, Mutsunori; Kimura, Mutsumi

    2017-07-01

    The thermoelectric effects of an amorphous Ga-Sn-O (a-GTO) thin film have been evaluated as a physical parameter of a novel oxide semiconductor. Currently, a-GTO thin films are greatly desired not only because they do not contain rare metals and are therefore free from problems on the exhaustion of resources and the increase in cost but also because their initial characteristics and performance stabilities are excellent when they are used in thin-film transistors. In this study, an a-GTO thin film was deposited on a quartz substrate by RF magnetron sputtering and postannealing was performed in air at 350 °C for 1 h using an annealing furnace. The Seebeck coefficient and electrical conductivity of the a-GTO thin film were -137 µV/K and 31.8 S/cm at room temperature, and -183 µV/K and 43.8 S/cm at 397 K, respectively, and as a result, the power factor was 1.47 µW/(cm·K2) at 397 K; these values were roughly as high as those of amorphous In-Ga-Zn-O (a-IGZO) thin films. Therefore, a-GTO thin films will be a candidate material for thermoelectric devices fabricated in a large area at a low cost by controlling the carrier mobility, carrier density, device structures, and so forth.

  10. Development of nanocrystalline Indium Tin Oxide (ITO) thin films using RF-magnetron sputtering

    International Nuclear Information System (INIS)

    Tamilselvan, N.; Thilakan, Periyasamy

    2013-01-01

    ITO thin films have been deposited on glass substrate using RF Magnetron puttering Technique from the pre-synthesized ITO target. The sputtering parameters such as the deposition temperature, gas composition and the RF power densities were varied. X-ray diffraction studies revealed that the crystallization of the films is mostly depending on the RF power density and substrate temperature. Crystallized films exhibited a change in the preferred orientation from (111) plane to (100) plane at specific conditions such as high RF power density and high oxygen mixing to the plasma. Change in the film microstructure and a shift in the optical bandgap were recorded from the SEM and UV-Visible measurements respectively. (author)

  11. Beryllium thin films for resistor applications

    Science.gov (United States)

    Fiet, O.

    1972-01-01

    Beryllium thin films have a protective oxidation resistant property at high temperature and high recrystallization temperature. However, the experimental film has very low temperature coefficient of resistance.

  12. XRay Study of Transfer Printed Pentacene Thin Films

    International Nuclear Information System (INIS)

    Shao, Y.; Solin, S. A.; Hines, D. R.; Williams, E. D.

    2007-01-01

    We investigated the structural properties and transfer properties of pentacene thin films fabricated by thermal deposition and transfer printing onto SiO2 and plastic substrates, respectively. The dependence of the crystallite size on the printing time, temperature and pressure were measured. The increases of crystalline size were observed when pentacene thin films were printed under specific conditions, e.g. 120 deg. C and 600 psi and can be correlated with the improvement of the field effect mobility of pentacene thin-film transistors

  13. Self-sustaining thin films as a means of reducing first wall erosion and plasma impurity influx

    International Nuclear Information System (INIS)

    Krauss, A.R.; Gruen, D.M.

    1982-01-01

    Neutral impurities ejected from Tokamak wall and limiter surfaces may travel several cm before being ionized very quickly upon entering the plasma edge. The influence of the unipolar sheath potential is exerted only within a very short distance of the surface and has no effect on neutral impurity atoms within a very short distance of the surface and has no effect on neutral impurity atoms which are subsequently ionized by charge-exchange collisions or electron impact ionization. However, secondary ions emanating from the limiter surfaces with kinetic energies less than the sheath potential will have essentially zero probability of traveling more than a few Debye lengths before being redeposited. Similarly, secondary ions originating at the first wall are redeposited as a result of the deflection produced by the magnetic field. Impurity influx resulting from sputtering would therefore be substantially reduced for surfaces which produce a very high ion/neutral ratio when sputtered. It has been previously shown that the high secondary ion yield associated with the alkali metal potassium does not apply to the bulk metal but pertains to ionic compounds and thin (mono-layer) films. Two processes are discussed as a means of producing these films in a self-sustaining manner compatible with the fusion reactor environment. (orig.)

  14. Macro stress mapping on thin film buckling

    Energy Technology Data Exchange (ETDEWEB)

    Goudeau, P.; Villain, P.; Renault, P.-O.; Tamura, N.; Celestre, R.S.; Padmore, H.A.

    2002-11-06

    Thin films deposited by Physical Vapour Deposition techniques on substrates generally exhibit large residual stresses which may be responsible of thin film buckling in the case of compressive stresses. Since the 80's, a lot of theoretical work has been done to develop mechanical models but only a few experimental work has been done on this subject to support these theoretical approaches and nothing concerning local stress measurement mainly because of the small dimension of the buckling (few 10th mm). This paper deals with the application of micro beam X-ray diffraction available on synchrotron radiation sources for stress mapping analysis of gold thin film buckling.

  15. Effect of plasma voltage on sulfurization of α-MoO{sub 3} nanostructured thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Prabhat, E-mail: prabhat89k@gmail.com; Singh, Megha; Sharma, Rabindar K.; Reddy, G. B. [Thin Film Laboratory, Physics Department, Indian Institute of Technology Delhi, Hauz Khas, New Delhi-110016 (India)

    2016-05-06

    In this report, the effect of plasma voltage on plasma assisted sulfurization (PAS) of vertically aligned molybdenum trioxide (α- MoO{sub 3}) nanoflakes (NFs) on glass substrates has been studied systematically. MoO{sub 3} NFs were deposited using plasma assisted sublimation process. These nanoflakes were subjected to H{sub 2}S/Ar plasma at two different plasma voltages 600 and 1000 volts; to study the effect of plasma ionization on degree of sulfurization of MoO{sub 3} into MoS{sub 2}. XRD and Raman analysis show that film sulfurized at 1000 volts have relatively higher degree of conversion into MoS{sub 2}, as more intense peaks of MoS{sub 2} and MoO{sub 2} are obtained than that sulfurized at 600 volts. HRTEM of sulfurized film shows that outer surface of nanoflake has been converted into MoS{sub 2} (4-5 monolayers). Meanwhile, MoO{sub 3} was reduced into MoO{sub 2} as confirmed by XRD and Raman results. All the observed results are well in consonance with each other.

  16. Theoretical investigation of the thermodynamic properties of metallic thin films

    International Nuclear Information System (INIS)

    Hung, Vu Van; Phuong, Duong Dai; Hoa, Nguyen Thi; Hieu, Ho Khac

    2015-01-01

    The thermodynamic properties of metallic thin films with face-centered cubic structure at ambient conditions were investigated using the statistical moment method including the anharmonicity effects of thermal lattice vibrations. The analytical expressions of Helmholtz free energy, lattice parameter, linear thermal expansion coefficient, specific heats at the constant volume and constant pressure were derived in terms of the power moments of the atomic displacements. Numerical calculations of thermodynamic properties have been performed for Au and Al thin films and compared with those of bulk metals. This research proposes that thermodynamic quantities of thin films approach the values of bulk when the thickness of thin film is about 70 nm. - Highlights: • Thermodynamic properties of thin films were investigated using the moment method. • Expressions of Helmholtz energy, expansion coefficient, specific heats were derived. • Calculations for Au, Al thin films were performed and compared with those of bulks

  17. Theoretical investigation of the thermodynamic properties of metallic thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hung, Vu Van [Vietnam Education Publishing House, 81 Tran Hung Dao, Hanoi (Viet Nam); Phuong, Duong Dai [Hanoi National University of Education, 136 Xuan Thuy, Hanoi (Viet Nam); Hoa, Nguyen Thi [University of Transport and Communications, Lang Thuong, Dong Da, Hanoi (Viet Nam); Hieu, Ho Khac, E-mail: hieuhk@duytan.edu.vn [Institute of Research and Development, Duy Tan University, K7/25 Quang Trung, Danang (Viet Nam)

    2015-05-29

    The thermodynamic properties of metallic thin films with face-centered cubic structure at ambient conditions were investigated using the statistical moment method including the anharmonicity effects of thermal lattice vibrations. The analytical expressions of Helmholtz free energy, lattice parameter, linear thermal expansion coefficient, specific heats at the constant volume and constant pressure were derived in terms of the power moments of the atomic displacements. Numerical calculations of thermodynamic properties have been performed for Au and Al thin films and compared with those of bulk metals. This research proposes that thermodynamic quantities of thin films approach the values of bulk when the thickness of thin film is about 70 nm. - Highlights: • Thermodynamic properties of thin films were investigated using the moment method. • Expressions of Helmholtz energy, expansion coefficient, specific heats were derived. • Calculations for Au, Al thin films were performed and compared with those of bulks.

  18. Modeling of thermal, electronic, hydrodynamic, and dynamic deposition processes for pulsed-laser deposition of thin films

    International Nuclear Information System (INIS)

    Liu, C.L.; LeBoeuf, J.N.; Wood, R.F.; Geohegan, D.B.; Donato, J.M.; Chen, K.R.; Puretzky, A.A.

    1994-11-01

    Various physical processes during laser ablation of solids for pulsed-laser deposition (PLD) are studied using a variety of computational techniques. In the course of the authors combined theoretical and experimental effort, they have been trying to work on as many aspects of PLD processes as possible, but with special focus on the following areas: (a) the effects of collisional interactions between the particles in the plume and in the background on the evolving flow field and on thin film growth, (b) interactions between the energetic particles and the growing thin films and their effects on film quality, (c) rapid phase transformations through the liquid and vapor phases under possibly nonequilibrium thermodynamic conditions induced by laser-solid interactions, (d) breakdown of the vapor into a plasma in the early stages of ablation through both electronic and photoionization processes, (c) hydrodynamic behavior of the vapor/plasma during and after ablation. The computational techniques used include finite difference (FD) methods, particle-in-cell model, and atomistic simulations using molecular dynamics (MD) techniques

  19. Effect of solution concentration on MEH-PPV thin films

    Science.gov (United States)

    Affendi, I. H. H.; Sarah, M. S. P.; Alrokayan, Salman A. H.; Khan, Haseeb A.; Rusop, M.

    2018-05-01

    MEH-PPV thin films were prepared with a mixture of THF (tetrahydrofuran) solution deposited by spin coating method. The surface topology of MEH-PPV thin film were characterize by atomic force microscopy (AFM) and optical properties of absorption spectra were characterized by using Ultraviolet-visible-near-infrared (UV-Vis-NIR). The MEH-PPV concentration variation affects the surface and optical properties of the thin film where 0.5 mg/ml MEH-PPV concentration have a good surface topology provided the same film also gives the highest absorption coefficient were then deposited to a TiO2 thin film forming composite layer. The composite layer then shows low current flow of short circuit current of Isc = -5.313E-7 A.

  20. Polycrystalline AlN films with preferential orientation by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Sanchez, G.; Wu, A.; Tristant, P.; Tixier, C.; Soulestin, B.; Desmaison, J.; Bologna Alles, A.

    2008-01-01

    AlN thin films for acoustic wave devices were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition under different process conditions, employing Si (100) and Pt (111)/SiO 2 /Si (100) substrates. The films were characterized by X-ray diffraction, Fourier transform infrared transmission spectroscopy, atomic force microscopy, scanning electron microscopy, and transmission electron microscopy. The values of the distance between the plasma and the tri-methyl-aluminum precursor injector, the radiofrequency bias potential, and the substrate temperature were central in the development of polycrystalline films. The choice of the chamber total pressure during deposition allowed for the development of two different crystallographic orientations, i.e., or . The film microstructures exhibited in general a column-like growth with rounded tops, an average grain size of about 40 nm, and a surface roughness lower than 20 nm under the best conditions

  1. The influence of the electrical asymmetry effect on deposition uniformity of thin silicon film

    Energy Technology Data Exchange (ETDEWEB)

    Hrunski, D., E-mail: Dzmitry.Hrunski@leyboldoptics.com; Janssen, A.; Fritz, T.; Hegemann, T.; Clark, C.; Schreiber, U.; Grabosch, G.

    2013-04-01

    The deposition of amorphous and microcrystalline silicon is an important step in the production of thin silicon film solar panels. Deposition rate, layer uniformity and material quality are key attributes for achieving high efficiency in such panels. Due to the multilayer structure of tandem solar cells (more than 6 thin silicon layers), it is becoming increasingly important to improve the uniformity of deposition without sacrificing deposition rate and material quality. This paper reports the results of an investigation into the influence of the electrical asymmetry effect (EAE) on the uniformity of deposited layers. 13.56 MHz + 27.12 MHz excitation frequencies were used for thin silicon film deposition in a Gen5 reactor (1100 × 1400 mm). To change the plasma properties, the DC self bias voltage on the RF electrode was varied by adjustment of the phase angle between the two frequencies applied. It was found that the layers deposited by EAE method have better uniformity than layers deposited in single frequency 27.12 MHz discharge. The EAE provides additional opportunities for improvement of uniformity, deposition rate and material quality. - Highlights: ► The electrical asymmetry effect technique tested for thin silicon film deposition ► Bias voltage has an influence on film uniformity. ► Minimized the deterioration of layer uniformity while increasing discharge frequency.

  2. Perovskite phase thin films and method of making

    Science.gov (United States)

    Boyle, Timothy J.; Rodriguez, Mark A.

    2000-01-01

    The present invention comprises perovskite-phase thin films, of the general formula A.sub.x B.sub.y O.sub.3 on a substrate, wherein A is selected from beryllium, magnesium, calcium, strontium, and barium or a combination thereof; B is selected from niobium and tantalum or a combination thereof; and x and y are mole fractions between approximately 0.8 and 1.2. More particularly, A is strontium or barium or a combination thereof and B is niobium or tantalum or a combination thereof. Also provided is a method of making a perovskite-phase thin film, comprising combining at least one element-A-containing compound, wherein A is selected from beryllium, magnesium, calcium, strontium or barium, with at least one element-B-containing compound, wherein B niobium or tantalum, to form a solution; adding a solvent to said solution to form another solution; spin-coating the solution onto a substrate to form a thin film; and heating the film to form the perovskite-phase thin film.

  3. Properties of RF-Sputtered PZT Thin Films with Ti/Pt Electrodes

    Directory of Open Access Journals (Sweden)

    Cui Yan

    2014-01-01

    Full Text Available Effect of annealing temperature and thin film thickness on properties of Pb(Zr0.53Ti0.47O3 (PZT thin film deposited via radiofrequency magnetron sputtering technique onto Pt/Ti/SiO2/Si substrate was investigated. Average grain sizes of the PZT thin film were measured by atomic force microscope; their preferred orientation was studied through X-ray diffraction analysis. Average residual stress in the thin film was estimated according to the optimized Stoney formula, and impedance spectroscopy characterization was performed via an intelligent LCR measuring instrument. Average grain sizes of PZT thin films were 60 nm~90 nm and their average roughness was less than 2 nm. According to X-ray diffraction analysis, 600°C is the optimal annealing temperature to obtain the PZT thin film with better crystallization. Average residual stress showed that thermal mismatch was the decisive factor of residual stress in Pt/Ti/SiO2/Si substrate; the residual stress in PZT thin film decreased as their thickness increased and increased with annealing temperature. The dielectric constant and loss angle tangent were extremely increased with the thickness of PZT thin films. The capacitance of the device can be adjusted according to the thickness of PZT thin films.

  4. Substrate-HTcS thin film interaction studies by (S)TEM

    NARCIS (Netherlands)

    Ramaekers, P.P.J.; Klepper, D.; Kitazawa, K.; Ishiguro, T.

    1989-01-01

    This paper concerns with compatibility aspects beween HTcS thin film either their substrates. The influence of substrate-thin film interaction and thin film microstructure on the superconducting properties is discussed. In this respect, data based on (S)TEM observations are presented. It is

  5. Low-field vortex dynamics in various high-Tc thin films

    Indian Academy of Sciences (India)

    Abstract. We present a novel ac susceptibility technique for the study of vortex creep in supercon- ducting thin films. With this technique we study the dynamics of dilute vortices in c-axis oriented. Y-123, Hg-1212, and Tl-1212 thin films, as well as a-axis oriented Hg-1212 thin films. Results on the Hg-1212 and Tl-1212 thin ...

  6. Atomic Structure Control of Silica Thin Films on Pt(111)

    KAUST Repository

    Crampton, Andrew S

    2015-05-27

    Metal oxide thin films grown on metal single crystals are commonly used to model heterogeneous catalyst supports. The structure and properties of thin silicon dioxide films grown on metal single crystals have only recently been thoroughly characterized and their spectral properties well established. We report the successful growth of a three- dimensional, vitreous silicon dioxide thin film on the Pt(111) surface and reproduce the closed bilayer structure previously reported. The confirmation of the three dimensional nature of the film is unequivocally shown by the infrared absorption band at 1252 cm−1. Temperature programmed desorption was used to show that this three-dimensional thin film covers the Pt(111) surface to such an extent that its application as a catalyst support for clusters/nanoparticles is possible. The growth of a three-dimensional film was seen to be directly correlated with the amount of oxygen present on the surface after the silicon evaporation process. This excess of oxygen is tentatively attributed to atomic oxygen being generated in the evaporator. The identification of atomic oxygen as a necessary building block for the formation of a three-dimensional thin film opens up new possibilities for thin film growth on metal supports, whereby simply changing the type of oxygen enables thin films with different atomic structures to be synthesized. This is a novel approach to tune the synthesis parameters of thin films to grow a specific structure and expands the options for modeling common amorphous silica supports under ultra high vacuum conditions.

  7. Ion beams as a means of deposition and in-situ characterization of thin films and thin film layered structures

    International Nuclear Information System (INIS)

    Krauss, A.R.; Rangaswamy, M.; Gruen, D.M.; Lin, Y.P.; Schmidt, H.; Liu, Y.L.; Barr, T.; Chang, R.P.H.

    1992-01-01

    Ion beam-surface interactions produce many effects in thin film deposition which are similar to those encountered in plasma deposition processes. However, because of the lower pressures and higher directionality associated with the ion beam process, it is easier to avoid some sources of film contamination and to provide better control of ion energies and fluxes. Additional effects occur in the ion beam process because of the relatively small degree of thermalization resulting from gas phase collisions with both the ion beam and atoms sputtered from the target. These effects may be either beneficial or detrimental to the film properties, depending on the material and deposition conditions. Ion beam deposition is particularly suited to the deposition of multi-component films and layered structures, and can in principle be extended to a complete device fabrication process. However, complex phenomena occur in the deposition of many materials of high technical interest which make it desirable to monitor the film growth at the monolayer level. It is possible to make use of ion-surface interactions to provide a full suite of surface analytical capabilities in one instrument, and this data may be obtained at ambient pressures which are far too high for conventional surface analysis techniques. Such an instrument is under development and its current performance characteristics and anticipated capabilities are described

  8. A novel application of the CuI thin film for preparing thin copper nanowires

    International Nuclear Information System (INIS)

    Shi Shuo; Sun Jialin; Zhang Jianhong; Cao Yang

    2005-01-01

    We present a novel application of the CuI thin film for preparing thin copper nanowires under a direct current electric field (DCEF). The CuI thin film was used as a medium for transmitting cuprous ions during the growing process of copper nanowires. As electrodes are the source of cuprous ions, high-purity copper films were deposited on both ends of the CuI thin film. At 353 K, under whole solid condition, without any templates, and having applied a DCEF of 1.5x10 4 V/m, cuprous ions were generated at the anode and migrated towards the cathode through the CuI film. At the edge of the cathode, cuprous ions obtained electrons and congregated to form a disordered thin copper nanowires bundle. The SEM images showed that these copper nanowires were from 10 to 20 nm in diameter and several hundred nanometers in length. The effect of the electric field intensity and the growth temperature on the diameter of the nanowires was also studied

  9. Solid phase epitaxial growth of high mobility La:BaSnO_3 thin films co-doped with interstitial hydrogen

    International Nuclear Information System (INIS)

    Niedermeier, Christian A.; Rhode, Sneha; Fearn, Sarah; Moram, Michelle A.; Ide, Keisuke; Hiramatsu, Hidenori; Hosono, Hideo; Kamiya, Toshio

    2016-01-01

    This work presents the solid phase epitaxial growth of high mobility La:BaSnO_3 thin films on SrTiO_3 single crystal substrates by crystallization through thermal annealing of nanocrystalline thin films prepared by pulsed laser deposition at room temperature. The La:BaSnO_3 thin films show high epitaxial quality and Hall mobilities up to 26 ± 1 cm"2/Vs. Secondary ion mass spectroscopy is used to determine the La concentration profile in the La:BaSnO_3 thin films, and a 9%–16% La doping activation efficiency is obtained. An investigation of H doping to BaSnO_3 thin films is presented employing H plasma treatment at room temperature. Carrier concentrations in previously insulating BaSnO_3 thin films were increased to 3 × 10"1"9" cm"−"3 and in La:BaSnO_3 thin films from 6 × 10"1"9" cm"−"3 to 1.5 × 10"2"0" cm"−"3, supporting a theoretical prediction that interstitial H serves as an excellent n-type dopant. An analysis of the free electron absorption by infrared spectroscopy yields a small (H,La):BaSnO_3 electron effective mass of 0.27 ± 0.05 m_0 and an optical mobility of 26 ± 7 cm"2/Vs. As compared to La:BaSnO_3 single crystals, the smaller electron mobility in epitaxial thin films grown on SrTiO_3 substrates is ascribed to threading dislocations as observed in high resolution transmission electron micrographs.

  10. Silicon-integrated thin-film structure for electro-optic applications

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick Joseph

    2000-01-01

    A crystalline thin-film structure suited for use in any of an number of electro-optic applications, such as a phase modulator or a component of an interferometer, includes a semiconductor substrate of silicon and a ferroelectric, optically-clear thin film of the perovskite BaTiO.sub.3 overlying the surface of the silicon substrate. The BaTiO.sub.3 thin film is characterized in that substantially all of the dipole moments associated with the ferroelectric film are arranged substantially parallel to the surface of the substrate to enhance the electro-optic qualities of the film.

  11. Nitrogen incorporation in sputter deposited molybdenum nitride thin films

    Energy Technology Data Exchange (ETDEWEB)

    Stöber, Laura, E-mail: laura.stoeber@tuwien.ac.at; Patocka, Florian, E-mail: florian.patocka@tuwien.ac.at; Schneider, Michael, E-mail: michael.schneider@tuwien.ac.at; Schmid, Ulrich, E-mail: ulrich.e366.schmid@tuwien.ac.at [Institute of Sensor and Actuator Systems, TU Wien, Gußhausstraße 27-29, A-1040 Vienna (Austria); Konrath, Jens Peter, E-mail: jenspeter.konrath@infineon.com; Haberl, Verena, E-mail: verena.haberl@infineon.com [Infineon Technologies Austria AG, Siemensstraße 2, 9500 Villach (Austria)

    2016-03-15

    In this paper, the authors report on the high temperature performance of sputter deposited molybdenum (Mo) and molybdenum nitride (Mo{sub 2}N) thin films. Various argon and nitrogen gas compositions are applied for thin film synthetization, and the amount of nitrogen incorporation is determined by Auger measurements. Furthermore, effusion measurements identifying the binding conditions of the nitrogen in the thin film are performed up to 1000 °C. These results are in excellent agreement with film stress and scanning electron microscope analyses, both indicating stable film properties up to annealing temperatures of 500 °C.

  12. Thin Cu film resistivity using four probe techniques: Effect of film thickness and geometrical shapes

    Science.gov (United States)

    Choudhary, Sumita; Narula, Rahul; Gangopadhyay, Subhashis

    2018-05-01

    Precise measurement of electrical sheet resistance and resistivity of metallic thin Cu films may play a significant role in temperature sensing by means of resistivity changes which can further act as a safety measure of various electronic devices during their operation. Four point probes resistivity measurement is a useful approach as it successfully excludes the contact resistance between the probes and film surface of the sample. Although, the resistivity of bulk samples at a particular temperature mostly depends on its materialistic property, however, it may significantly differ in the case of thin films, where the shape and thickness of the sample can significantly influence on it. Depending on the ratio of the film thickness to probe spacing, samples are usually classified in two segments such as (i) thick films or (ii) thin films. Accordingly, the geometric correction factors G can be related to the sample resistivity r, which has been calculated here for thin Cu films of thickness up to few 100 nm. In this study, various rectangular shapes of thin Cu films have been used to determine the shape induced geometric correction factors G. An expressions for G have been obtained as a function of film thickness t versus the probe spacing s. Using these expressions, the correction factors have been plotted separately for each cases as a function of (a) film thickness for fixed linear probe spacing and (b) probe distance from the edge of the film surface for particular thickness. Finally, we compare the experimental results of thin Cu films of various rectangular geometries with the theoretical reported results.

  13. Optical constant of thin gold films

    DEFF Research Database (Denmark)

    Yakubovsky, D. I.; Fedyanin, D. Yu; Arsenin, A. V.

    2017-01-01

    The performance of metal-based devices is limited by ohmic losses in the metal, which are determined by electron scattering. The structural properties of gold thin films also play an important role in the film quality, which may affect its' optical properties and the overall capability...... and spectroscopic ellipsometry, the structural morphology and optical properties of polycrystalline gold thin films (fabricated by e-beam deposition at a low sputtering rate smooth gold) in the thickness range of 20 - 200 nm. By extracting the real and imaginary dielectric function and the Drude parameter...... of the device. At the same time, metal films of different thicknesses are needed for different applications and, since these films are polycrystalline, their internal properties and surface roughness can greatly vary from one thickness to another. In this work, we study, using atomic force microscopy...

  14. Phosphorus-doped thin silica films characterized by magic-angle spinning nuclear magnetic resonance spectroscopy

    DEFF Research Database (Denmark)

    Jacobsen, H.J.; Skibsted, J.; Kristensen, Martin

    2001-01-01

    Magic-angle spinning nuclear magnetic resonance spectra of 31P and 29Si have been achieved for a thin silica film doped with only 1.8% 31P and deposited by plasma enhanced chemical vapor deposition on a pure silicon wafer. The observation of a symmetric 31P chemical shift tensor is consistent...

  15. Preparation and properties of thin films treatise on materials science and technology

    CERN Document Server

    Tu, K N

    1982-01-01

    Treatise on Materials Science and Technology, Volume 24: Preparation and Properties of Thin Films covers the progress made in the preparation of thin films and the corresponding study of their properties. The book discusses the preparation and property correlations in thin film; the variation of microstructure of thin films; and the molecular beam epitaxy of superlattices in thin film. The text also describes the epitaxial growth of silicon structures (thermal-, laser-, and electron-beam-induced); the characterization of grain boundaries in bicrystalline thin films; and the mechanical properti

  16. The processing of heteroepitaxial thin-film diamond for electronic applications

    International Nuclear Information System (INIS)

    McGrath, J.

    1998-09-01

    Thin film diamond is of particular interest because of its wide applicability, including its potential use in high temperature electronics. This thesis describes a study of some of the processing stages required to exploit thin film diamond as an electronic device. Initial experiments were carried out to optimise bi-metallic contact schemes on orientated diamond film using electrical measurements and chemical analysis. Temperature stability was also investigated and it was concluded that the most favourable ohmic contact scheme is aluminium-on-titanium. Further electrical measurements confirmed that the contribution of resistance made by the contacts themselves to the metal/diamond/metal system overall was acceptably low, specifically 6 Ω.cm 2 for an undoped diamond system and less than 3 x 10 -6 Ω.cm 2 for boron doped diamond. To improve the as-grown resistivity of diamond films, an oxygen/argon plasma etch process was applied. The input parameters of the plasma system were optimised to give the maximum achievable resistivity of 4 x 10 11 Ω.cm. This was attained using a statistical design procedure via analysis of resistivity and etch rate outputs. Having optimised post growth treatment and contact metallisation, undoped and doped orientated diamond films were characterised via voltage and temperature dependencies. It was concluded that the dominant charge transport mechanisms for undoped diamond, nitrogen and boron doped diamond were variable range hopping at low temperatures up to 523 K and grain boundary effects. At higher temperatures, valence or impurity band conduction appeared to be the probable mechanisms with activation energies of 0.23 eV for nitrogen doped diamond and 0.08 eV for boron doped diamond. Preliminary experiments electrical properties of diamond and initial results suggested the presence of a high density of recombination centres. The final stage of experimental research initiated a study of direct electron beam writing lithography to

  17. Hall effect of K-doped superconducting thin films

    Energy Technology Data Exchange (ETDEWEB)

    Son, Eunseon; Lee, Nam Hoon; Kang, Won Nam [Dept. of physics, Sungkyunkwan University, Suwon (Korea, Republic of); Hwang, Tae Jong; Kim, Dong Ho [Dept. of physics, Yeungnam University, Gyeongsan(Korea, Republic of)

    2013-09-15

    We have studied Hall effect for potassium (K)-doped BaFe{sub 2}As{sub 2}superconducting thin films by analyzing the relation between the longitudinal resistivity (ρ{sub xy}) and the Hall resistivity (ρ{sub xy}). The thin films used in this study were fabricated on Al{sub O3} (000l) substrates by using an ex-situ pulsed laser deposition (PLD) technique under a high-vacuum condition of ∼10{sup -6} Torr. The samples showed the high superconducting transition temperatures (T{sub C}) of ∼40 K. The ρ{sub xx} and ρ{sub xy}the for K-doped BaFeAs{sub 2} thin films were measured by using a physical property measurement system (PPMS) with a temperature sweep (T-sweep) mode at an applied current density of 100 A/cm{sup 2} and at magnetic fields from 0 up to 9 T. We report the T-sweep results of the ρ{sub xx} and the ρ{sub xy} to investigate Hall scaling behavior on the basis of the relation of ρ{sub xy} = A(ρ{sub xy}){sup β}. The ρ{sub xx} values are 3.0 ± 0.2 in the c-axis-oriented K-doped BaFeAs{sub 2} thin films, whereas the thin films with various oriented-directions like a polycrystal showed slightly lower β than that of c-axis-oriented thin films. Interestingly, the β value is decreased with increasing magnetic fields.

  18. Properties of Spray Pyrolysied Copper Oxide Thin Films

    Directory of Open Access Journals (Sweden)

    S. S. Roy

    2017-02-01

    Full Text Available Copper oxide (CuO thin films were deposited on well cleaned glass substrates by spray pyrolysis technique (SPT from cupric acetate (Cu(CH3COO2.H2O precursor solutions of 0.05 – 0.15 M molar concentrations (MC at a substrate temperature of 350 °C and at an air pressure of 1 bar. Effect of varying MC on the surface morphology, structural optical and electrical properties of CuO thin films were investigated. XRD patterns of the prepared films revealed the formation of CuO thin films having monoclinic structure with the main CuO (111 orientation and crystalline size ranging from 8.02 to 9.05 nm was observed. The optical transmission of the film was found to decrease with the increase of MC. The optical band gap of the thin films for 0.10 M was fond to be 1.60 eV. The room temperature electrical resistivity varies from 31 and 24 ohm.cm for the films grown with MC of 0.05 and 0.10 M respectively. The change in resistivity of the films was studied with respect to the change in temperature was shown that semiconductor nature is present. This information is expected to underlie the successful development of CuO films for solar windows and other semi-conductor applications including gas sensors.

  19. Laser-induced damage to thin film dielectric coatings

    International Nuclear Information System (INIS)

    Walker, T.W.

    1980-01-01

    The laser-induced damage thresholds of dielectric thin film coatings have been found to be more than an order of magnitude lower than the bulk material damage thresholds. Prior damage studies have been inconclusive in determining the damage mechanism which is operative in thin films. A program was conducted in which thin film damage thresholds were measured as a function of laser wavelength (1.06 μm, 0.53 μm, 0.35 μm and 0.26 μm), laser pulse length (5 and 15 nanoseconds), film materials and film thickness. The large matrix of data was compared to predictions given by avalanche ionization, multiphoton ionization and impurity theories of laser damage. When Mie absorption cross-sections and the exact thermal equations were included into the impurity theory excellent agreement with the data was found. The avalanche and multiphoton damage theories could not account for most parametric variations in the data. For example, the damage thresholds for most films increased as the film thickness decreased and only the impurity theory could account for this behavior. Other observed changes in damage threshold with changes in laser wavelength, pulse length and film material could only be adequately explained by the impurity theory. The conclusion which results from this study is that laser damage in thin film coatings results from absorbing impurities included during the deposition process

  20. Physical Vapor Deposition of Thin Films

    Science.gov (United States)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam