WorldWideScience

Sample records for plasma surface quality

  1. Coil Tolerance Impact on Plasma Surface Quality for NCSX

    International Nuclear Information System (INIS)

    Brooks, Art; Reiersen, Wayne

    2003-01-01

    The successful operation of the National Compact Stellarator Experiment (NCSX) machine will require producing plasma configurations with good flux surfaces, with a minimum volume of the plasma lost to magnetic islands or stochastic regions. The project goal is to achieve good flux surfaces over 90% of the plasma volume. NCSX is a three period device designed to be operated with iota ranging from ∼0.4 on axis to ∼0.7 at the edge. The field errors of most concern are those that are resonant with 3/5 and 3/6 modes (for symmetry preserving field errors) and the 1/2 and 2/3 modes (for symmetry breaking field errors). In addition to losses inherent in the physics configuration itself, there will be losses from field errors arising from coil construction and assembly errors. Some of these losses can be recovered through the use of trim coils or correction coils. The impact of coil tolerances on plasma surface quality is evaluated herein for the NCSX design. The methods used in this evaluation are discussed. The ability of the NCSX trim coils to correct for field errors is also examined. The results are used to set coils tolerances for the various coil systems

  2. Influence of surface finish on the plasma formation at the skin explosion

    International Nuclear Information System (INIS)

    Datsko, I M; Chaikovsky, S A; Labetskaya, N A; Rybka, D V; Oreshkin, V I; Khishchenko, K V

    2016-01-01

    The paper reports on experiments to investigate how the quality of surface finish, i.e., surface roughness, influences the plasma formation in a skin explosion of conductors. The experiments were performed on a MIG terawatt generator with a current amplitude of up to 2.5 MA and current rise time of 100 ns. The plasma formation at the conductor surface and the evolution of the plasma boundary was recorded using a four-frame optical camera with an exposure time of 3 ns per frame. It is shown that the quality of surface finish little affects the onset of plasma formation in a skin explosion of stainless steel and St3 steel conductors at a magnetic field of up to 400 T. (paper)

  3. Plasma-surface interactions

    International Nuclear Information System (INIS)

    Goeckner, M J; Nelson, C T; Sant, S P; Jindal, A K; Joseph, E A; Zhou, B S; Padron-Wells, G; Jarvis, B; Pierce, R; Overzet, L J

    2008-01-01

    Materials processing is at a crossroads. Currently a large fraction of industrially viable materials processing is via plasmas. Until recently it has been economical to just examine the influence the plasma properties on the desired surface processes and through this ultimately optimize manufacturing. For example, it is well known that the surface processes (etch or deposition), occur in the top few mono-layers of the surface. Thus, in film growth one requires that molecules from the gas-phase land and bond on the surface. However as processing has reached the nano-scale, development of viable processes has become more and more difficult. In part, this is because of all of the free parameters that exist in plasmas. To overcome this economic issue, tool vendors and semiconductor companies have turned to complex computational models of processing plasmas. For those models to work, one requires a through understanding of all of the gas-phase and surface-phase processes that are exhibited in plasmas. Unfortunately, these processes, particularly those at the surface, are not well understood. In this article we describe a viable model of the surface-phase based on cross sections for processes that occur. While originally developed of fluorocarbon systems, the model also appears to be applicable to hydrocarbon systems.

  4. Plasma-surface interactions

    Energy Technology Data Exchange (ETDEWEB)

    Goeckner, M J; Nelson, C T; Sant, S P; Jindal, A K; Joseph, E A; Zhou, B S; Padron-Wells, G; Jarvis, B; Pierce, R; Overzet, L J [Department of Electrical Engineering, University of Texas at Dallas (United States)], E-mail: goeckner@utdallas.edu

    2008-10-01

    Materials processing is at a crossroads. Currently a large fraction of industrially viable materials processing is via plasmas. Until recently it has been economical to just examine the influence the plasma properties on the desired surface processes and through this ultimately optimize manufacturing. For example, it is well known that the surface processes (etch or deposition), occur in the top few mono-layers of the surface. Thus, in film growth one requires that molecules from the gas-phase land and bond on the surface. However as processing has reached the nano-scale, development of viable processes has become more and more difficult. In part, this is because of all of the free parameters that exist in plasmas. To overcome this economic issue, tool vendors and semiconductor companies have turned to complex computational models of processing plasmas. For those models to work, one requires a through understanding of all of the gas-phase and surface-phase processes that are exhibited in plasmas. Unfortunately, these processes, particularly those at the surface, are not well understood. In this article we describe a viable model of the surface-phase based on cross sections for processes that occur. While originally developed of fluorocarbon systems, the model also appears to be applicable to hydrocarbon systems.

  5. Surface characterization of alloy Ti-6Al-7Nb treated plasma

    International Nuclear Information System (INIS)

    Moura, J.K.L.; Macedo, H.R.A.; Brito, E.M.; Brandim, A.S.

    2014-01-01

    Plasma surface modifications are subject of numerous studies to improve the quality of a given material. Titanium and its alloys are widely used in biomedical applications and plasma treatment technique is increasingly used to improve the surface properties thereof. The research have a objective in the comparative analysis of the change in microstructure of Ti-6Al-7Nb alloys after treatment of plasma nitriding. The technical are: nitriding with cathode cage (NGC) and planar discharge. The characterization was obtained by MEV (Scanning Electronic Microscope) and hardness. The results was compared about the better surface modification that meets future prospects of the biocompatibility of the alloy.(author)

  6. Note: A single-chamber tool for plasma activation and surface functionalization in microfabrication

    Energy Technology Data Exchange (ETDEWEB)

    Bowman, Adam J.; Scherrer, Joseph R.; Reiserer, Ronald S., E-mail: ron.reiserer@vanderbilt.edu [Vanderbilt Institute for Integrative Biosystems Research and Education and Department of Physics and Astronomy, Vanderbilt University, Nashville, Tennessee 37235 (United States)

    2015-06-15

    We present a simple apparatus for improved surface modification of polydimethylsiloxane (PDMS) microfluidic devices. A single treatment chamber for plasma activation and chemical/physical vapor deposition steps minimizes the time-dependent degradation of surface activation that is inherent in multi-chamber techniques. Contamination and deposition irregularities are also minimized by conducting plasma activation and treatment phases in the same vacuum environment. An inductively coupled plasma driver allows for interchangeable treatment chambers. Atomic force microscopy confirms that silane deposition on PDMS gives much better surface quality than standard deposition methods, which yield a higher local roughness and pronounced irregularities in the surface.

  7. Optimization of plasma mirror reflectivity and optical quality using double laser pulses

    International Nuclear Information System (INIS)

    Scott, G G; Clarke, R J; Green, J S; Heathcote, R I; Neely, D; Bagnoud, V; Brabetz, C; Zielbauer, B; Powell, H W; McKenna, P; Arber, T D

    2015-01-01

    We measure a record 96 ±2.5% specularly reflected energy fraction from an interaction with a plasma mirror (PM) surface preionized by a controlled prepulse and find that the optical quality is dependent on the inter pulse time delay. Simulations show that the main pulse reflected energy is a strong function of plasma density scale length, which increases with the time delay and reaches a peak reflectivity for a scale length of 0.3 μm, which is achieved here for a pulse separation time of 3 ps. It is found that the incident laser quasi near field intensity distribution leads to nonuniformities in this plasma expansion and consequent critical surface position distribution. The PM optical quality is found to be governed by the resultant perturbations in the critical surface position, which become larger with inter pulse time delay. (paper)

  8. Towards Enhanced Performance Thin-film Composite Membranes via Surface Plasma Modification

    Science.gov (United States)

    Reis, Rackel; Dumée, Ludovic F.; Tardy, Blaise L.; Dagastine, Raymond; Orbell, John D.; Schutz, Jürg A.; Duke, Mikel C.

    2016-01-01

    Advancing the design of thin-film composite membrane surfaces is one of the most promising pathways to deal with treating varying water qualities and increase their long-term stability and permeability. Although plasma technologies have been explored for surface modification of bulk micro and ultrafiltration membrane materials, the modification of thin film composite membranes is yet to be systematically investigated. Here, the performance of commercial thin-film composite desalination membranes has been significantly enhanced by rapid and facile, low pressure, argon plasma activation. Pressure driven water desalination tests showed that at low power density, flux was improved by 22% without compromising salt rejection. Various plasma durations and excitation powers have been systematically evaluated to assess the impact of plasma glow reactions on the physico-chemical properties of these materials associated with permeability. With increasing power density, plasma treatment enhanced the hydrophilicity of the surfaces, where water contact angles decreasing by 70% were strongly correlated with increased negative charge and smooth uniform surface morphology. These results highlight a versatile chemical modification technique for post-treatment of commercial membrane products that provides uniform morphology and chemically altered surface properties. PMID:27363670

  9. Negative ion surface plasma source development for plasma trap injectors in Novosibirsk

    International Nuclear Information System (INIS)

    Bel'chenko, Yu.I.; Dimov, G.I.; Dudnikov, V.G.; Kupriyanov, A.S.

    1989-01-01

    Work on high-current ion sources carried out at the Novosibirsk Institute of Nuclear Physics (INP) is presented. The INP investigations on ''pure plasma'' planotron and ''pure surface'' secondary emission systems of H - generation, which preceded the surface-plasma concept developed in Novosibirsk, are described. The physical basis of the surface-plasma method of negative-ion production is considered. The versions and operating characteristics of different surface-plasma sources including the multi-ampere (approx-gt 10A) source are discussed. Research on efficient large-area (∼10 2 cm 2 ) negative ion surface-plasma emitters is described. The INP long-pulse multiaperture surface- plasma generators, with a current of about 1A, are described. 38 refs., 17 figs

  10. Plasma surface interaction processes and possible synergisms

    International Nuclear Information System (INIS)

    Behrisch, R.; Roberto, J.B.

    1984-08-01

    The process determining the plasma surface interaction in today's high temperature plasma experiments are investigated following several lines. First, in plasma devices, the particle and energy fluxes to the different first wall areas the fluxes from the walls back into the plasma are measured and the boundary plasma parameters are determined. The surface composition and structure of the walls, limiters and divertor plates are analyzed following exposure to many discharges. Secondly, the different surface processes which are expected to contribute to the plasma surface interaction (particularly to hydrogen particle balance and impurity introduction) are studied in simulation experiments using well defined particle beams

  11. Plasma-polymerized perfluoro(methylcyclohexane) coating on ethylene propylene diene elastomer surface: Effect of plasma processing condition on the deposition kinetics, morphology and surface energy of the film

    International Nuclear Information System (INIS)

    Tran, N.D.; Dutta, N.K.; Choudhury, N. Roy

    2005-01-01

    Plasma polymerization of perfluoro (methylcyclohexane) was carried out under cold plasma process operated at 13.56 MHz to deposit pore-free, uniform, ultra-thin film on an ethylene propylene diene terpolymer (EPDM) substrate in a view to modify the surface characteristics. The plasma fluoropolymeric films were formed at different plasma treatment times (from 20 s to 16 min), applied powers (20 to 100 W) and precursor flow rates to produce high quality films in a controllable yet tunable fashion. Scanning electron microscopy was employed successfully to characterize the evolution of the morphological feature in the film and also to determine the thickness of the coating. The surface energy of the film was determined by sessile drop method using different solvents as probe liquids. It is observed that a pore-free homogeneous plasma polymer thin film is formed within 20 s of treatment time, however, the morphology of the film depends on the plasma processing conditions, such as plasma power, precursor flow rate and deposition time. With increased time and power at a constant flow rate, the morphology of the film progressively changes from flat smooth to globular and rough. The kinetics and activation energy of the plasma polymer film deposition process were also estimated. The surface energy of the EPDM substrate decreased dramatically with plasma coating, however, it appears to be independent of the treatment time

  12. Plasma immersion surface modification with metal ion plasma

    International Nuclear Information System (INIS)

    Brown, I.G.; Yu, K.M.; Godechot, X.

    1991-04-01

    We describe here a novel technique for surface modification in which metal plasma is employed and by which various blends of plasma deposition and ion implantation can be obtained. The new technique is a variation of the plasma immersion technique described by Conrad and co-workers. When a substrate is immersed in a metal plasma, the plasma that condenses on the substrate remains there as a film, and when the substrate is then implanted, qualitatively different processes can follow, including' conventional' high energy ion implantation, recoil implantation, ion beam mixing, ion beam assisted deposition, and metallic thin film and multilayer fabrication with or without species mixing. Multiple metal plasma guns can be used with different metal ion species, films can be bonded to the substrate through ion beam mixing at the interface, and multilayer structures can be tailored with graded or abrupt interfaces. We have fabricated several different kinds of modified surface layers in this way. 22 refs., 4 figs

  13. Electrolytic plasma processing of steel surfaces

    International Nuclear Information System (INIS)

    Bejar, M.A; Araya, R.N; Baeza, B

    2006-01-01

    The thermo-chemical treatments of steels with plasma is normally carried out in low-pressure ionized gaseous atmospheres. Among the treatments used most often are: nitruration, carburization and boronized. A plasma can also generate at atmospheric pressure. One way to produce it is with an electrochemical cell that works at a relatively high inter-electrode voltage and under conditions of heavy gas generation. This type of plasma is known as electrolytic plasma. This work studies the feasibility of using electrolytic plasma for the surface processing of steels. Two processes were selected: boronized and nitruration., for the hardening of two types of steel: one with low carbon (1020) and one with low alloy (4140). In the case of the nitruration, the 1020 steel was first aluminized. The electrolytes were aqueous solutions of borax for the boronizing and urea for the nitruration. The electrolytic plasmas were classified qualitatively, in relation with their luminosity by low, medium and high intensity. The boronizing was carried out with low intensity plasmas for a period of one hour. The nitruration was performed with plasmas of different intensities and for period of a few minutes to half an hour. The test pieces processed by electrolytic plasma were characterized by micro-hardness tests and X-ray diffraction. The maximum surface hardnesses obtained for the 1020 and 4140 steels were the following: 300 and 700 HV for the boronizing, and 1650 and 1200 HV for the nitruration, respectively. The utilization of an electrolytic plasma permits the surface processing of steels, noticeably increasing their hardness. With this type of plasma some thermo-chemical surface treatments can be done very rapidly as well (CW)

  14. Plasma-surface interactions under high heat and particle fluxes

    NARCIS (Netherlands)

    De Temmerman, G.; Bystrov, K.; Liu, F.; Liu, W.; Morgan, T.; Tanyeli, I.; van den Berg, M.; Xu, H.; Zielinski, J.

    2013-01-01

    The plasma-surface interactions expected in the divertor of a future fusion reactor are characterized by extreme heat and particle fluxes interacting with the plasma-facing surfaces. Powerful linear plasma generators are used to reproduce the expected plasma conditions and allow plasma-surface

  15. Resonances and surface waves in bounded plasmas

    International Nuclear Information System (INIS)

    Bowers, K.J.; Qui, D.W.; Smith, H.B.; Birdsall, C.K.

    1999-01-01

    Surface waves provide a promising means of creating large, area plasmas. These waves can uniformly distribute the excitation energy and while presenting a small resistance and zero reactance to the driving source. Experimentally and in the simulations, the electron temperature is low (like 1--3 eV) as is the plasma potential (like 10 Te). The use of surface waves experimentally, and now industrially, to sustain large area plasma sources with device size is comparable to free space wavelength have motivated the authors to refine the theories of [1] and [2] to be fully electromagnetic. The wave dispersion predicted by the electromagnetic theory differs from the predictions of the prior theories and the results illuminate limitations of the electrostatic model. The use of surface waves have also motivated them to explore the mechanisms by which surface waves heat the plasma. In the 1d electrostatic simulations high velocity electron bunches are formed in the sheaths and are alternatively accelerated from each sheath into the bulk plasma each RF cycle. They speculate similar mechanisms provide the ionization in surface wave discharges. They also see in these simulations the plasma makes an abrupt transition from capacitively coupled to resistively coupled and the series resonance locks onto the drive frequency; these abrupt transitions resemble mode-jumping seen experimentally in large area sources. Furthermore, the density profile of the plasma tracks the drive frequency while in the resonant mode giving a new mechanism by which the plasma parameters can be controlled. They are currently investigating the effect of the driving electrode shape has on these resonances and conducting 2d simulations of a large area surface wave source to explore the ignition of surface wave devices and how the plasma fills in the device

  16. Laser surface wakefield in a plasma column

    International Nuclear Information System (INIS)

    Gorbunov, L.M.; Mora, P.; Ramazashvili, R.R.

    2003-01-01

    The structure of the wakefield in a plasma column, produced by a short intense laser pulse, propagating through a gas affected by tunneling ionization is investigated. It is shown that besides the usual plasma waves in the bulk part of the plasma column [see Andreev et al., Phys. Plasmas 9, 3999 (2002)], the laser pulse also generates electromagnetic surface waves propagating along the column boundary. The length of the surface wake wave substantially exceeds the length of the plasma wake wave and its electromagnetic field extends far outside the plasma column

  17. Surface studies of plasma processed Nb samples

    International Nuclear Information System (INIS)

    Tyagi, Puneet V.; Doleans, Marc; Hannah, Brian S.; Afanador, Ralph; Stewart, Stephen; Mammosser, John; Howell, Matthew P; Saunders, Jeffrey W; Degraff, Brian D; Kim, Sang-Ho

    2015-01-01

    Contaminants present at top surface of superconducting radio frequency (SRF) cavities can act as field emitters and restrict the cavity accelerating gradient. A room temperature in-situ plasma processing technology for SRF cavities aiming to clean hydrocarbons from inner surface of cavities has been recently developed at the Spallation Neutron Source (SNS). Surface studies of the plasma-processed Nb samples by Secondary ion mass spectrometry (SIMS) and Scanning Kelvin Probe (SKP) showed that the NeO_2 plasma processing is very effective to remove carbonaceous contaminants from top surface and improves the surface work function by 0.5 to 1.0 eV.

  18. Plasma assisted surface treatments of biomaterials.

    Science.gov (United States)

    Minati, L; Migliaresi, C; Lunelli, L; Viero, G; Dalla Serra, M; Speranza, G

    2017-10-01

    The biocompatibility of an implant depends upon the material it is composed of, in addition to the prosthetic device's morphology, mechanical and surface properties. Properties as porosity and pore size should allow, when required, cells penetration and proliferation. Stiffness and strength, that depend on the bulk characteristics of the material, should match the mechanical requirements of the prosthetic applications. Surface properties should allow integration in the surrounding tissues by activating proper communication pathways with the surrounding cells. Bulk and surface properties are not interconnected, and for instance a bone prosthesis could possess the necessary stiffness and strength for the application omitting out prerequisite surface properties essential for the osteointegration. In this case, surface treatment is mandatory and can be accomplished using various techniques such as applying coatings to the prosthesis, ion beams, chemical grafting or modification, low temperature plasma, or a combination of the aforementioned. Low temperature plasma-based techniques have gained increasing consensus for the surface modification of biomaterials for being effective and competitive compared to other ways to introduce surface functionalities. In this paper we review plasma processing techniques and describe potentialities and applications of plasma to tailor the interface of biomaterials. Copyright © 2017 Elsevier B.V. All rights reserved.

  19. Ultrasound enhanced plasma surface modification at atmospheric pressure

    DEFF Research Database (Denmark)

    Kusano, Yukihiro; Singh, Shailendra Vikram; Norrman, Kion

    and the material surface, and thus many reactive species generated in the plasma can reach the surface before inactivated, and be efficiently utilized for surface modification. In the present work polyester plates are treated using a dielectric barrier discharge (DBD) and a gliding arc at atmospheric pressure......Atmospheric pressure plasma treatment can be highly enhanced by simultaneous high-power ultrasonic irradiation onto the treating surface. It is because ultrasonic waves with a sound pressure level (SPL) above approximately 140 dB can reduce the thickness of a boundary gas layer between the plasma...... irradiation, the water contact angle dropped markedly, and tended to decrease furthermore at higher power. The ultrasonic irradiation during the plasma treatment consistently improved the wettability. Oxygen containing polar functional groups were introduced at the surface by the plasma treatment...

  20. The role of surface currents in plasma confinement

    International Nuclear Information System (INIS)

    Webster, Anthony J.

    2011-01-01

    During plasma instabilities, ''surface currents'' can flow at the interface between the plasma and the surrounding vacuum, and in most cases, they are a harmless symptom of the instability that is causing them. Large instabilities can lead to ''disruptions,'' an abrupt termination of the plasma with the potential to damage the machine in which it is contained. For disruptions, the correct calculation of surface currents is thought to be essential for modelling disruptions properly. Recently, however, there has been debate and disagreement about the correct way to calculate surface currents. The purpose of this paper is to clarify as simply as possible the role of surface currents for plasma confinement and to show that a commonly used representation for surface currents σ-vector with σ-vector=∇I and n-vector, I a scalar function, and n-vector the unit normal to the plasma surface, is only appropriate for the calculation of surface currents that are in magnetohydrodynamic equilibrium. Fortunately, this is the situation thought to be of most relevance for disruption calculations.

  1. Electroreflectance and the problem of studying plasma-surface interactions

    International Nuclear Information System (INIS)

    Preppernau, B.L.

    1995-01-01

    A long standing problem in low-temperature plasma discharge physics is to understand in detail the mutual interaction of real exposed surfaces (electrodes) with the reactive plasma environment. In particular, one wishes to discern the influence of these surfaces on the plasma parameters given their contributions from secondary electrons and ions. This paper briefly reviews the known surface interaction processes as well as currently available diagnostics to study the interface between plasmas and surfaces. Next comes a discussion describing the application of plasma-modulated electroreflectance to this research and some potential experimental techniques

  2. Surface modification of gutta-percha cones by non-thermal plasma

    Energy Technology Data Exchange (ETDEWEB)

    Prado, Maíra, E-mail: maira@metalmat.ufrj.br [Department of Metallurgic and Materials Engineering, Federal University of Rio de Janeiro – UFRJ, Rio de Janeiro, RJ (Brazil); Menezes, Marilia Santana de Oliveira [Department of Metallurgic and Materials Engineering, Federal University of Rio de Janeiro – UFRJ, Rio de Janeiro, RJ (Brazil); Gomes, Brenda Paula Figueiredo de Almeida [Department of Restorative Dentistry, Endodontics Division, Piracicaba Dental School, State University of Campinas - UNICAMP, Piracicaba, SP (Brazil); Barbosa, Carlos Augusto de Melo [Department of Clinical Dentistry, Endodontic Division, Federal University of Rio de Janeiro – UFRJ, Rio de Janeiro, RJ (Brazil); Athias, Leonardo [Social Indicators Division, Brazilian Institute of Geography and Statistics, Rio de Janeiro, RJ (Brazil); Simão, Renata Antoun [Department of Metallurgic and Materials Engineering, Federal University of Rio de Janeiro – UFRJ, Rio de Janeiro, RJ (Brazil)

    2016-11-01

    This study was designed to evaluate the effects of Oxygen and Argon plasma on gutta-percha surfaces. A total of 185 flat smooth gutta-percha surfaces were used. Samples were divided into groups: control: no plasma treatment; Oxygen: treatment with Oxygen plasma for 1 min; Argon: treatment with Argon plasma for 1 min. Samples were evaluated topographically by scanning electron microscopy and atomic force microscopy; and chemically by Fourier Transform-infrared Spectroscopy. A goniometer was used to determine the surface free energy and the wettability of the endodontic sealers. Additionally 60 bovine teeth were filled using pellets of gutta-percha (control, oxygen and argon plasma) and the sealers. Teeth were evaluated by push-out and microleakage tests. Data were statistically analyzed using specific tests. Argon plasma did not change the surface topography, while Oxygen plasma led to changes. Both treatments chemically modified the gutta-percha surface. Argon and Oxygen plasma increased the surface free energy and favored the wettability of AH Plus and Pulp Canal Sealer EWT. Regarding bond strength analysis, for AH Plus sealer, both plasma treatments on gutta-percha favored the bond strength to dentin. However, for Pulp Canal Sealer, there is no statistically significant influence. For leakage test, dye penetration occurred between sealer and dentin in all groups. In conclusion, Oxygen plasma led to both topographic and chemical changes in the gutta-percha surface, while Argon plasma caused only chemical changes. Both treatments increased the surface free energy, favoring the wettability of AH Plus and Pulp Canal Sealer EWT sealers and influenced positively in the adhesion and leakage. - Highlights: • Argon plasma did not change the gutta-percha surface. • Oxygen plasma led to topographic changes. • Both treatments chemically modified the gutta-percha surface. • Treatments increased the surface free energy and favored the wettability of sealers. • Plasma

  3. Surface modification of gutta-percha cones by non-thermal plasma

    International Nuclear Information System (INIS)

    Prado, Maíra; Menezes, Marilia Santana de Oliveira; Gomes, Brenda Paula Figueiredo de Almeida; Barbosa, Carlos Augusto de Melo; Athias, Leonardo; Simão, Renata Antoun

    2016-01-01

    This study was designed to evaluate the effects of Oxygen and Argon plasma on gutta-percha surfaces. A total of 185 flat smooth gutta-percha surfaces were used. Samples were divided into groups: control: no plasma treatment; Oxygen: treatment with Oxygen plasma for 1 min; Argon: treatment with Argon plasma for 1 min. Samples were evaluated topographically by scanning electron microscopy and atomic force microscopy; and chemically by Fourier Transform-infrared Spectroscopy. A goniometer was used to determine the surface free energy and the wettability of the endodontic sealers. Additionally 60 bovine teeth were filled using pellets of gutta-percha (control, oxygen and argon plasma) and the sealers. Teeth were evaluated by push-out and microleakage tests. Data were statistically analyzed using specific tests. Argon plasma did not change the surface topography, while Oxygen plasma led to changes. Both treatments chemically modified the gutta-percha surface. Argon and Oxygen plasma increased the surface free energy and favored the wettability of AH Plus and Pulp Canal Sealer EWT. Regarding bond strength analysis, for AH Plus sealer, both plasma treatments on gutta-percha favored the bond strength to dentin. However, for Pulp Canal Sealer, there is no statistically significant influence. For leakage test, dye penetration occurred between sealer and dentin in all groups. In conclusion, Oxygen plasma led to both topographic and chemical changes in the gutta-percha surface, while Argon plasma caused only chemical changes. Both treatments increased the surface free energy, favoring the wettability of AH Plus and Pulp Canal Sealer EWT sealers and influenced positively in the adhesion and leakage. - Highlights: • Argon plasma did not change the gutta-percha surface. • Oxygen plasma led to topographic changes. • Both treatments chemically modified the gutta-percha surface. • Treatments increased the surface free energy and favored the wettability of sealers. • Plasma

  4. Surface interaction of polyimide with oxygen ECR plasma

    International Nuclear Information System (INIS)

    Naddaf, M.; Balasubramanian, C.; Alegaonkar, P.S.; Bhoraskar, V.N.; Mandle, A.B.; Ganeshan, V.; Bhoraskar, S.V.

    2004-01-01

    Polyimide (Kapton-H), was subjected to atomic oxygen from an electron cyclotron resonance plasma. An optical emission spectrometer was used to characterize the atomic oxygen produced in the reactor chamber. The energy of the ions was measured using a retarding field analyzer, placed near the substrate. The density of atomic oxygen in the plasma was estimated using a nickel catalytic probe. The surface wettability of the polyimide samples monitored by contact angle measurements showed considerable improvement when treated with plasma. X-ray photoelectron spectroscopy and Fourier transform infrared spectroscopic studies showed that the atomic oxygen in the plasma is the main specie affecting the surface chemistry and adhesion properties of polyimide. The improvement in the surface wettability is attributed to the high degree of cross-linking and large concentration of polar groups generated in the surface region of polyimide, after plasma treatment. The changes in the surface region of polyimide were observed by atomic force microscopic analysis

  5. Surface interaction of polyimide with oxygen ECR plasma

    Science.gov (United States)

    Naddaf, M.; Balasubramanian, C.; Alegaonkar, P. S.; Bhoraskar, V. N.; Mandle, A. B.; Ganeshan, V.; Bhoraskar, S. V.

    2004-07-01

    Polyimide (Kapton-H), was subjected to atomic oxygen from an electron cyclotron resonance plasma. An optical emission spectrometer was used to characterize the atomic oxygen produced in the reactor chamber. The energy of the ions was measured using a retarding field analyzer, placed near the substrate. The density of atomic oxygen in the plasma was estimated using a nickel catalytic probe. The surface wettability of the polyimide samples monitored by contact angle measurements showed considerable improvement when treated with plasma. X-ray photoelectron spectroscopy and Fourier transform infrared spectroscopic studies showed that the atomic oxygen in the plasma is the main specie affecting the surface chemistry and adhesion properties of polyimide. The improvement in the surface wettability is attributed to the high degree of cross-linking and large concentration of polar groups generated in the surface region of polyimide, after plasma treatment. The changes in the surface region of polyimide were observed by atomic force microscopic analysis.

  6. Modification of polycarbonate surface in oxidizing plasma

    Science.gov (United States)

    Ovtsyn, A. A.; Smirnov, S. A.; Shikova, T. G.; Kholodkov, I. V.

    2017-11-01

    The properties of the surface of the film polycarbonate Lexan 8010 were experimentally studied after treatment in a DC discharge plasma in oxygen and air at pressures of 50-300 Pa and a discharge current of 80 mA. The contact angles of wetting and surface energies are measured. The topography of the surface was investigated by atomic force microscopy. The chemical composition of the surface was determined from the FT-IR spectroscopy data in the variant of total internal reflection, as well as X-ray photoelectron spectroscopy. Treatment in the oxidizing plasma leads to a change in morphology (average roughness increases), an increase in the surface energy, and the concentration of oxygen-containing groups (hydroxyl groups, carbonyl groups in ketones or aldehydes and in oxyketones) on the surface of the polymer. Possible reasons for the difference in surface properties of polymer under the action of oxygen and air plasma on it are discussed.

  7. Wettability and XPS analyses of nickel–phosphorus surfaces after plasma treatment: An efficient approach for surface qualification in mechatronic processes

    International Nuclear Information System (INIS)

    Vivet, L.; Joudrier, A.-L.; Bouttemy, M.; Vigneron, J.; Tan, K.L.; Morelle, J.M.; Etcheberry, A.; Chalumeau, L.

    2013-01-01

    Electroless nickel-high-phosphorus Ni–P plating is known for its physical properties. In case of electronic and mechatronic assembly processes achieved under ambient conditions the wettability of the Ni–P layer under ambient temperature and ambient air stays a point of surface quality investigation. This contribution will be devoted to the study of the surface properties of Ni–P films for which we performed air plasma treatment. We focus our attention on the evolution of the surface wettability, using the classical sessile drop technique. Interpreting the results with the OWRK model we extract the polar and disperse surface tension components from which we deduced typical evolution of the surface properties with the different treatment settings. By controlling the variations of the parameters of the plasma exposure we are able to change the responses of our Ni–P sample from total hydrophobic to total hydrophilic behaviours. All the intermediate states can be reached by adapting the treatment parameters. So it is demonstrated that the apparent Ni–P surface properties can be fully adapted and the surface setting can be well characterized by wettability measurements. To deep our knowledge of the surface modifications induced by plasma we performed parallel SEM and XPS analyses which provide informations on the structure and the chemical composition of the surface for each set of treatment parameters. Using this double approach we were able to propose a correlation between the evolution of surface chemical composition and surface wettability which are completely governed by the plasma treatment conditions. Chemical parameters as the elimination of the carbon contamination, the progressive surface oxidation, and the slight incorporation of nitrogen due to the air plasma interaction are well associated with the evolution of the wettability properties. So a complete engineering for the Ni–P surface preparation has been established. The sessile drop method can

  8. Wettability and XPS analyses of nickel-phosphorus surfaces after plasma treatment: An efficient approach for surface qualification in mechatronic processes

    Science.gov (United States)

    Vivet, L.; Joudrier, A.-L.; Bouttemy, M.; Vigneron, J.; Tan, K. L.; Morelle, J. M.; Etcheberry, A.; Chalumeau, L.

    2013-06-01

    Electroless nickel-high-phosphorus Ni-P plating is known for its physical properties. In case of electronic and mechatronic assembly processes achieved under ambient conditions the wettability of the Ni-P layer under ambient temperature and ambient air stays a point of surface quality investigation. This contribution will be devoted to the study of the surface properties of Ni-P films for which we performed air plasma treatment. We focus our attention on the evolution of the surface wettability, using the classical sessile drop technique. Interpreting the results with the OWRK model we extract the polar and disperse surface tension components from which we deduced typical evolution of the surface properties with the different treatment settings. By controlling the variations of the parameters of the plasma exposure we are able to change the responses of our Ni-P sample from total hydrophobic to total hydrophilic behaviours. All the intermediate states can be reached by adapting the treatment parameters. So it is demonstrated that the apparent Ni-P surface properties can be fully adapted and the surface setting can be well characterized by wettability measurements. To deep our knowledge of the surface modifications induced by plasma we performed parallel SEM and XPS analyses which provide informations on the structure and the chemical composition of the surface for each set of treatment parameters. Using this double approach we were able to propose a correlation between the evolution of surface chemical composition and surface wettability which are completely governed by the plasma treatment conditions. Chemical parameters as the elimination of the carbon contamination, the progressive surface oxidation, and the slight incorporation of nitrogen due to the air plasma interaction are well associated with the evolution of the wettability properties. So a complete engineering for the Ni-P surface preparation has been established. The sessile drop method can be

  9. Magnetohydrodynamic simulation study of plasma jets and plasma-surface contact in coaxial plasma accelerators

    Science.gov (United States)

    Subramaniam, Vivek; Raja, Laxminarayan L.

    2017-06-01

    Recent experiments by Loebner et al. [IEEE Trans. Plasma Sci. 44, 1534 (2016)] studied the effect of a hypervelocity jet emanating from a coaxial plasma accelerator incident on target surfaces in an effort to mimic the transient loading created during edge localized mode disruption events in fusion plasmas. In this paper, we present a magnetohydrodynamic (MHD) numerical model to simulate plasma jet formation and plasma-surface contact in this coaxial plasma accelerator experiment. The MHD system of equations is spatially discretized using a cell-centered finite volume formulation. The temporal discretization is performed using a fully implicit backward Euler scheme and the resultant stiff system of nonlinear equations is solved using the Newton method. The numerical model is employed to obtain some key insights into the physical processes responsible for the generation of extreme stagnation conditions on the target surfaces. Simulations of the plume (without the target plate) are performed to isolate and study phenomena such as the magnetic pinch effect that is responsible for launching pressure pulses into the jet free stream. The simulations also yield insights into the incipient conditions responsible for producing the pinch, such as the formation of conductive channels. The jet-target impact studies indicate the existence of two distinct stages involved in the plasma-surface interaction. A fast transient stage characterized by a thin normal shock transitions into a pseudo-steady stage that exhibits an extended oblique shock structure. A quadratic scaling of the pinch and stagnation conditions with the total current discharged between the electrodes is in qualitative agreement with the results obtained in the experiments. This also illustrates the dominant contribution of the magnetic pressure term in determining the magnitude of the quantities of interest.

  10. Electron acceleration by surface plasma waves in double metal surface structure

    Science.gov (United States)

    Liu, C. S.; Kumar, Gagan; Singh, D. B.; Tripathi, V. K.

    2007-12-01

    Two parallel metal sheets, separated by a vacuum region, support a surface plasma wave whose amplitude is maximum on the two parallel interfaces and minimum in the middle. This mode can be excited by a laser using a glass prism. An electron beam launched into the middle region experiences a longitudinal ponderomotive force due to the surface plasma wave and gets accelerated to velocities of the order of phase velocity of the surface wave. The scheme is viable to achieve beams of tens of keV energy. In the case of a surface plasma wave excited on a single metal-vacuum interface, the field gradient normal to the interface pushes the electrons away from the high field region, limiting the acceleration process. The acceleration energy thus achieved is in agreement with the experimental observations.

  11. Osteoblast response to oxygen functionalised plasma polymer surfaces

    International Nuclear Information System (INIS)

    Kelly, Jonathan M.

    2001-01-01

    Thin organic films with oxygen-carbon functionalities were deposited from plasmas containing vapour of the small organic compounds: allyI alcohol, methyl vinyl ketone and acrylic acid with octadiene. Characterisation of the deposits was carried out using X-ray photoelectron spectroscopy, in conjunction with chemical derivatisation, and this showed that plasma polymers retained high levels of original monomer functionality when the plasmas were sustained at low power for a given monomer vapour flow rate. High levels of attachment of rat osteosarcoma (ROS 17/2.8) cells were observed on surfaces that had high concentrations of hydroxyl and carbonyl functionalities and intermediate concentrations of carboxyl functionality. Cells did not attach to the octadiene plasma polymer. Cell attachment to carboxyl and methyl functionalised self-assembled monolayers increased with increasing concentration of surface carboxyl groups. Adsorption of the extracellular matrix protein fibronectin to acrylic acid/octadiene plasma copolymers was studied by enzyme linked immunosorbent assays and by I 125 radiolabelling. Fibronectin adsorbed in largest amounts to surfaces with intermediate concentrations of carboxyl functionality. Spreading of ROS cells and rat bone marrow stromal cells (BMSC) was characterised by computer image analysis. Cell spreading in media containing 10% serum, on a surface deposited from a plasma of 5 O/o acrylic acid was much greater than on the octadiene plasma polymer while most extensive cell spreading was observed on these surfaces when preadsorbed with fibronectin. Growth (proliferation) of BMSC was assessed over nine days and was found to be faster on an 50% acrylic acid plasma polymer than on tissue culture polystyrene or a hydrocarbon plasma polymer, though cell growth was fastest on fibronectin precoated substrates. Expression of cellular alkaline phosphatase, collagen and calcium reached similar levels on the 50% acrylic acid plasma polymer, tissue culture

  12. Osteoblast response to oxygen functionalised plasma polymer surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Kelly, Jonathan M

    2001-07-01

    Thin organic films with oxygen-carbon functionalities were deposited from plasmas containing vapour of the small organic compounds: allyI alcohol, methyl vinyl ketone and acrylic acid with octadiene. Characterisation of the deposits was carried out using X-ray photoelectron spectroscopy, in conjunction with chemical derivatisation, and this showed that plasma polymers retained high levels of original monomer functionality when the plasmas were sustained at low power for a given monomer vapour flow rate. High levels of attachment of rat osteosarcoma (ROS 17/2.8) cells were observed on surfaces that had high concentrations of hydroxyl and carbonyl functionalities and intermediate concentrations of carboxyl functionality. Cells did not attach to the octadiene plasma polymer. Cell attachment to carboxyl and methyl functionalised self-assembled monolayers increased with increasing concentration of surface carboxyl groups. Adsorption of the extracellular matrix protein fibronectin to acrylic acid/octadiene plasma copolymers was studied by enzyme linked immunosorbent assays and by I{sup 125} radiolabelling. Fibronectin adsorbed in largest amounts to surfaces with intermediate concentrations of carboxyl functionality. Spreading of ROS cells and rat bone marrow stromal cells (BMSC) was characterised by computer image analysis. Cell spreading in media containing 10% serum, on a surface deposited from a plasma of 5 O/o acrylic acid was much greater than on the octadiene plasma polymer while most extensive cell spreading was observed on these surfaces when preadsorbed with fibronectin. Growth (proliferation) of BMSC was assessed over nine days and was found to be faster on an 50% acrylic acid plasma polymer than on tissue culture polystyrene or a hydrocarbon plasma polymer, though cell growth was fastest on fibronectin precoated substrates. Expression of cellular alkaline phosphatase, collagen and calcium reached similar levels on the 50% acrylic acid plasma polymer, tissue

  13. Plasma diagnostics surface analysis and interactions

    CERN Document Server

    Auciello, Orlando

    2013-01-01

    Plasmas and their interaction with materials have become subjects of major interest because of their importance in modern forefront technologies such as microelectronics, fusion energy, and space. Plasmas are used in microelectronics to process semiconductors (etching of patterns for microcircuits, plasma-induced deposition of thin films, etc.); plasmas produce deleterious erosion effects on surfaces of materials used for fusion devices and spaceships exposed to the low earth environment.Diagnostics of plasmas and materials exposed to them are fundamental to the understanding of the physical a

  14. Evaluation of surface fractal dimension of carbon for plasma-facing material damaged by hydrogen plasma

    International Nuclear Information System (INIS)

    Nishino, Nobuhiro

    1997-01-01

    The surface structure of the plasma facing materials (PFM) changes due to plasma-surface interaction in a nuclear fusion reactor. Usually B 4 C coated graphite block are used as PFM. In this report, the surface fractal was applied to study the surface structure of plasma-damaged PFM carbon. A convenient flow-type adsorption apparatus was developed to evaluate the surface fractal dimension of materials. Four branched alkanol molecules with different apparent areas were used as the probe adsorbates. The samples used here were B 4 C coated isotopic graphite which were subjected to hydrogen plasma for various periods of exposure. The monolayer capacities of these samples for alkanols were determined by applying BET theory. The surface fractal dimension was calculated using the monolayer capacities and molecular areas for probe molecules and was found to increase from 2 to 3 with the plasma exposure time. (author)

  15. Removal of Microbial Contamination from Surface by Plasma

    Science.gov (United States)

    Feng, Xinxin; Liu, Hongxia; Shen, Zhenxing; Wang, Taobo

    2018-01-01

    Microbial contamination is closely associated with human and environmental health, they can be tested on food surfaces, medical devices, packing material and so on. In this paper the removal of the microbial contamination from surface using plasma treatment is investigated. The Escherichia coli (E. coli) has been chosen as a bio-indicator enabling to evaluate the effect of plasma assisted microbial inactivation. Oxygen gas was as the working gas. The plasma RF power, plasma exposition time, gas flow and the concentration of organic pollutant were varied in order to see the effect of the plasma treatment on the Gram-negative germ removal. After the treatment, the microbial abatement was evaluated by the standard plate count method. This proved a positive effect of the plasma treatment on Gram-negative germ removal. The kinetics and mathematical model of removal were studied after plasma treatment, and then the removing course of E. coli was analyzed. This work is meaningful for deepening our understanding of the fundamental scientific principles regarding microbial contamination from surface by plasma.

  16. Plasma transferred arc surface modification of atmospheric plasma sprayed ceramic coatings

    Energy Technology Data Exchange (ETDEWEB)

    Ulutan, Mustafa; Kilicay, Koray; Kaya, Esad; Bayar, Ismail [Dept. of Mechanical Engineering, Eskisehir Osmangazi University, Eskisehir (Turkmenistan)

    2016-08-15

    In this study, a 90MnCrV8 steel surface was coated with aluminum oxide and chromium oxide powders through the Atmospheric plasma spray (APS) and Plasma transferred arc (PTA) methods. The effects of PTA surface melting on the microstructure, hardness, and wear behavior were investigated. The microstructures of plasma-sprayed and modified layers were characterized by Optical microscopy (OM), Scanning electron microscopy (SEM) and Energy dispersive X-ray spectroscopy (EDS). The dry-sliding wear properties of the samples were determined through the ball-on-disk wear test method. Voids, cracks, and nonhomogeneous regions were observed in the microstructure of the APS ceramic-coated surface. These microstructure defects were eliminated by the PTA welding process. The microhardness of the samples was increased. Significant reductions in wear rate were observed after the PTA surface modification. The wear resistance of ceramic coatings increased 7 to 12 times compared to that of the substrate material.

  17. Inner surface modification of a tube by magnetic glow-arc plasma source ion implantation

    International Nuclear Information System (INIS)

    Zhang Guling; Chinese Academy of Sciences, Beijing; Wang Jiuli; Feng Wenran; Chen Guangliang; Gu Weichao; Niu Erwu; Fan Songhua; Liu Chizi; Yang Size; Wu Xingfang

    2006-01-01

    A new method named the magnetic glow-arc plasma source ion implantation (MGA-PSII) is proposed for inner surface modification of tubes. In MGA-PSII, under the control of an axial magnetic field, which is generated by an electric coil around the tube sample, glow arc plasma moves spirally into the tube from its two ends. A negative voltage applied on the tube realized its inner surface implantation. Titanium nitride (TiN) films are prepared on the inner surface of a stainless steel tube in diameter 90 mm and length 600 mm. Hardness tests show that the hardness at the tube centre is up to 20 GPa. XRD, XPS and AES analyses demonstrate that good quality of TiN films can be achieved. (authors)

  18. Inner Surface Modification of a Tube by Magnetic Glow-Arc Plasma Source Ion Implantation

    Science.gov (United States)

    Zhang, Gu-Ling; Wang, Jiu-Li; Wu, Xing-Fang; Feng, Wen-Ran; Chen, Guang-Liang; Gu, Wei-Chao; Niu, Er-Wu; Fan, Song-Hua; Liu, Chi-Zi; Yang, Si-Ze

    2006-05-01

    A new method named the magnetic glow-arc plasma source ion implantation (MGA-PSII) is proposed for inner surface modification of tubes. In MGA-PSII, under the control of an axial magnetic field, which is generated by an electric coil around the tube sample, glow arc plasma moves spirally into the tube from its two ends. A negative voltage applied on the tube realized its inner surface implantation. Titanium nitride (TiN) films are prepared on the inner surface of a stainless steel tube in diameter 90 mm and length 600 mm. Hardness tests show that the hardness at the tube centre is up to 20 GPa. XRD, XPS and AES analyses demonstrate that good quality of TiN films can be achieved.

  19. Oxygen Plasma Treatment of Rubber Surface by the Atmospheric Pressure Cold Plasma Torch

    DEFF Research Database (Denmark)

    Lee, Bong-ju; Kusano, Yukihiro; Kato, Nobuko

    1997-01-01

    adhesive. The adhesion property was improved by treatment of the rubber compound with plasma containing oxygen radicals. Physical and chemical changes of the rubber surface as a result of the plasma treatment were analyzed by field emission scanning electron microscopy (FE-SEM) and fourier transform......A new application of the atmospheric cold plasma torch has been investigated. Namely, the surface treatment of an air-exposed vulcanized rubber compound. The effect of plasma treatment was evaluated by the bondability of the treated rubber compound with another rubber compound using a polyurethane...

  20. Plasma drift towards a plane equipotential surface

    International Nuclear Information System (INIS)

    Carlqvist, P.

    1984-03-01

    Recently Alfven has qualitatively described how a collisionless plasma drifts in crossed electric and magnetic fields towards an infinite conducting plate of constant potential. In the present note we quantitatively study three models which are closely related to Alfven's model. It is found that when the plasma comes sufficiently close to a plane equipotential surface (conducting plate) it is deflected approximately along the surface. The deflection is not caused by pressure effects but rather by the electric and magnetic fields. Small fluxes of ions and electrons also cross the plane equipotential surface. These fluxes account for an electric current in the plasma which induces a magnetic field in the same direction as the total magnetic field assumed to be homogeneous. It is shown that if the Alfven number, M(sub)A, is much smaller than unity in the volume considered the magnetic field induced by plasma currents is small compared to the total magnetic field. However, if M(sub)A is of the order of unity or larger the total magnetic field is to a substantial degree generated by plasma currents. (Author)

  1. Air plasma processing of poly(methyl methacrylate) micro-beads: Surface characterisations

    International Nuclear Information System (INIS)

    Liu Chaozong; Cui Naiyi; Osbeck, Susan; Liang He

    2012-01-01

    Highlights: ► PMMA micro-beads were processed using a rotary air plasma reactor. ► Surface chemistry and surface texture of PMMA micro-beads were characterised. ► Surface wettability was evaluated using “floating” water contact angle method. ► Surface oxidation and texture changes induced by air plasma attributed to the improvement of surface wettability. - Abstract: This paper reports the surface processing of poly(methyl methacrylate) (PMMA) micro-beads by using a rotary air plasma reactor, and its effects on surface properties. The surface properties, including surface wettability, surface chemistry and textures of the PMMA beads, were characterised. It was observed that the air plasma processing can improve the surface wettability of the PMMA microbeads significantly. A 15 min plasma processing can reduce the surface water contact angle of PMMA beads to about 50° from its original value of 80.3°. This was accompanied by about 8% increase in surface oxygen concentration as confirmed by XPS analysis. The optical profilometry examination revealed the air plasma processing resulted in a rougher surface that has a “delicate” surface texture. It is concluded that the surface chemistry and texture, induced by air plasma processing, co-contributed to the surface wettability improvement of PMMA micro-beads.

  2. Lage-area planar RF plasma productions by surface waves

    International Nuclear Information System (INIS)

    Nonaka, S.

    1994-01-01

    Large-area rf plasmas are confirmed to be produced by means of RF discharges inside a large-area dielectric tube. The plasma space is 73 cm x 176 cm and 2.5 cm. The plasma is thought to be produced by an odd plasma-surface wave (PSW ο ) in case of using large-area electrodes and by an even plasma-surface wave (PSW ο ) in case of without the electrodes. (author). 7 refs, 4 figs

  3. XIX Conference on Plasma Surface Interactions

    International Nuclear Information System (INIS)

    Kurnaev, V A; Gasparyan, Yu M

    2016-01-01

    The 19 th Conference on Plasma Surface Interactions (PSI-2016) was held at National Research Nuclear University MEPhI (Moscow Engineering Physics Institute) on 28-29 January 2016. This is a traditional annual meeting organized by MEPhI for many years and devoted to the recent achievements of Russian scientists and foreign colleagues in experimental and computer simulations of plasma and its components' interactions with plasma faced materials (PFM) for fusion devices and plasma technologies. The conference agenda covered a broad list of topics including: • plasma induced erosion and modification of materials; • radiation damage in materials; • lithium and liquid metals as PFM; • modeling of plasma surface interaction and processes in SOL of tokamak plasma; • diagnostics of plasma-surface interaction. The aim of the conference was to present and discuss new results in PSI in a wide audience with different areas of expertise. The important feature of the conference is to give PhD and undergraduate students the opportunity for approbation of results of their scientific activity and improve their knowledge in the novel directions of R and D in the field of fusion and plasma technologies. In total, more than 80 experienced and young researchers participated in the conference. These Proceedings contain 21 papers selected for publication, which were reviewed by the invited international team of editors (T. Tanabe, S. Petrovich, Ch. Grisolia, Yu. Martynenko, S. Krasheninnikov, L. Begrambekov, A. Pisarev). The Conference as well as editing of this issue were supported by National Research Nuclear University MEPhI in the framework of the Russian Academic Excellence Project (contract No. 02.a03.21.0005, 27.08.2013). Finally, we would like to thank all of the speakers, participants and organizing committee members for their contribution to the conference. Acknowledgements The organizers of the conference would like to thank for the support from National Research

  4. Surface modification of polymer nanofibres by plasma treatment

    International Nuclear Information System (INIS)

    Wei, Q.F.; Gao, W.D.; Hou, D.Y.; Wang, X.Q.

    2005-01-01

    Polymer nanofibres have great potential for technical applications in biomaterials, filtration, composites and electronics. The surface properties of nanofibres are of importance in these applications. In this study, cold gas plasma treatment was used to modify the surface of polyamide 6 nanofibres prepared by electrospinning. The chemical nature of the nanofibre surfaces was examined by X-ray photoelectron spectroscopy (XPS). Atomic force microscopy (AFM) was employed to study the surface characteristics of the fibres. The AFM results indicate a significant change in the morphology of the fibre surface before and after plasma treatment. A Philips Environmental Scanning Electron Microscopy (ESEM) was also used to study the wetting behaviour of the fibres. In the ESEM, relative humidity was raised to 100% to facilitate the water condensation onto fibre surfaces for wetting observation. The ESEM observation revealed that the plasma treatment significantly altered the surface wettability of the polyamide 6 nanofibres

  5. Surface improvement of EPDM rubber by plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Moraes, J H [LPP, Physics Department, ITA, CTA, Pca Mal Eduardo Gomes 50, 12228-900 Sao Jose dos Campos, S.P. (Brazil); Silva Sobrinho, A S da [LPP, Physics Department, ITA, CTA, Pca Mal Eduardo Gomes 50, 12228-900 Sao Jose dos Campos, S.P. (Brazil); Maciel, H S [LPP, Physics Department, ITA, CTA, Pca Mal Eduardo Gomes 50, 12228-900 Sao Jose dos Campos, S.P. (Brazil); Dutra, J C N [EBO, Chemistry Division, IAE, CTA, Pca Mal Eduardo Gomes 50, 12228-904 Sao Jose dos Campos, S.P. (Brazil); Massi, M [LPP, Physics Department, ITA, CTA, Pca Mal Eduardo Gomes 50, 12228-900 Sao Jose dos Campos, S.P. (Brazil); Mello, S A C [EBO, Chemistry Division, IAE, CTA, Pca Mal Eduardo Gomes 50, 12228-904 Sao Jose dos Campos, S.P. (Brazil); Schreiner, W H [Physics Department, UFPR, Centro Politecnico, 80060-000 Curitiba, P.R. (Brazil)

    2007-12-21

    The surface of ethylene-propylene-diene monomer (EPDM) rubber was treated in N{sub 2}/Ar and N{sub 2}/H{sub 2}/Ar RF plasmas in order to achieve similar or better adhesion properties than NBR (acrylonitrile-butadiene) rubber, nowadays used as thermal protection of rocket chambers. The surface properties were studied by contact angle measurements and by x-ray photoelectron spectroscopy (XPS). The treated surfaces of the EPDM samples show a significant reduction in the contact angle measurement, indicating an increase in the surface energy. XPS analyses show the incorporation of polar nitrogen- and oxygen-containing groups on the rubber surface. After plasma treatment the presence of oxygen is observed due to surface oxidation which occurs when the samples are exposed to the air. Atomic force microscopy and scanning electron microscopy analyses indicate a decrease in the EPDM rubber surface roughness, promoted by surface etching during the plasma treatment. Strength tests indicate improvement of about 30% and 110% in the adhesion strength for the plasma treated EPDM/polyurethane liner interface and for the EPDM/epoxy adhesive interface, respectively. The adhesion strength of the EPDM/liner is similar to that obtained for the NBR/liner, which indicates that EPDM rubber can safely be used as thermal protection of the solid propellant rocket chamber.

  6. Surface improvement of EPDM rubber by plasma treatment

    International Nuclear Information System (INIS)

    Moraes, J H; Silva Sobrinho, A S da; Maciel, H S; Dutra, J C N; Massi, M; Mello, S A C; Schreiner, W H

    2007-01-01

    The surface of ethylene-propylene-diene monomer (EPDM) rubber was treated in N 2 /Ar and N 2 /H 2 /Ar RF plasmas in order to achieve similar or better adhesion properties than NBR (acrylonitrile-butadiene) rubber, nowadays used as thermal protection of rocket chambers. The surface properties were studied by contact angle measurements and by x-ray photoelectron spectroscopy (XPS). The treated surfaces of the EPDM samples show a significant reduction in the contact angle measurement, indicating an increase in the surface energy. XPS analyses show the incorporation of polar nitrogen- and oxygen-containing groups on the rubber surface. After plasma treatment the presence of oxygen is observed due to surface oxidation which occurs when the samples are exposed to the air. Atomic force microscopy and scanning electron microscopy analyses indicate a decrease in the EPDM rubber surface roughness, promoted by surface etching during the plasma treatment. Strength tests indicate improvement of about 30% and 110% in the adhesion strength for the plasma treated EPDM/polyurethane liner interface and for the EPDM/epoxy adhesive interface, respectively. The adhesion strength of the EPDM/liner is similar to that obtained for the NBR/liner, which indicates that EPDM rubber can safely be used as thermal protection of the solid propellant rocket chamber

  7. Surface improvement of EPDM rubber by plasma treatment

    Science.gov (United States)

    Moraes, J. H.; da Silva Sobrinho, A. S.; Maciel, H. S.; Dutra, J. C. N.; Massi, M.; Mello, S. A. C.; Schreiner, W. H.

    2007-12-01

    The surface of ethylene-propylene-diene monomer (EPDM) rubber was treated in N2/Ar and N2/H2/Ar RF plasmas in order to achieve similar or better adhesion properties than NBR (acrylonitrile-butadiene) rubber, nowadays used as thermal protection of rocket chambers. The surface properties were studied by contact angle measurements and by x-ray photoelectron spectroscopy (XPS). The treated surfaces of the EPDM samples show a significant reduction in the contact angle measurement, indicating an increase in the surface energy. XPS analyses show the incorporation of polar nitrogen- and oxygen-containing groups on the rubber surface. After plasma treatment the presence of oxygen is observed due to surface oxidation which occurs when the samples are exposed to the air. Atomic force microscopy and scanning electron microscopy analyses indicate a decrease in the EPDM rubber surface roughness, promoted by surface etching during the plasma treatment. Strength tests indicate improvement of about 30% and 110% in the adhesion strength for the plasma treated EPDM/polyurethane liner interface and for the EPDM/epoxy adhesive interface, respectively. The adhesion strength of the EPDM/liner is similar to that obtained for the NBR/liner, which indicates that EPDM rubber can safely be used as thermal protection of the solid propellant rocket chamber.

  8. Surface plasma functionalization influences macrophage behavior on carbon nanowalls

    Energy Technology Data Exchange (ETDEWEB)

    Ion, Raluca [University of Bucharest, Department of Biochemistry and Molecular Biology, 91-95 Spl. Independentei, 050095 Bucharest (Romania); Vizireanu, Sorin [National Institute for Laser, Plasma and Radiation Physics, 409 Atomistilor, PO Box MG-36, 077125, Magurele, Bucharest (Romania); Stancu, Claudia Elena [National Institute for Laser, Plasma and Radiation Physics, 409 Atomistilor, PO Box MG-36, 077125, Magurele, Bucharest (Romania); Leibniz Institute for Plasma Science and Technology (INP Greifswald), Felix-Hausdorff-Str. 2, 17489 Greifswald (Germany); Luculescu, Catalin [National Institute for Laser, Plasma and Radiation Physics, 409 Atomistilor, PO Box MG-36, 077125, Magurele, Bucharest (Romania); Cimpean, Anisoara, E-mail: anisoara.cimpean@bio.unibuc.ro [University of Bucharest, Department of Biochemistry and Molecular Biology, 91-95 Spl. Independentei, 050095 Bucharest (Romania); Dinescu, Gheorghe [National Institute for Laser, Plasma and Radiation Physics, 409 Atomistilor, PO Box MG-36, 077125, Magurele, Bucharest (Romania)

    2015-03-01

    The surfaces of carbon nanowall samples as scaffolds for tissue engineering applications were treated with oxygen or nitrogen plasma to improve their wettability and to functionalize their surfaces with different functional groups. X-ray photoelectron spectroscopy and water contact angle results illustrated the effective conversion of the carbon nanowall surfaces from hydrophobic to hydrophilic and the incorporation of various amounts of carbon, oxygen and nitrogen functional groups during the treatments. The early inflammatory responses elicited by un-treated and modified carbon nanowall surfaces were investigated by quantifying tumor necrosis factor-alpha and macrophage inflammatory protein-1 alpha released by attached RAW 264.7 macrophage cells. Scanning electron microscopy and fluorescence studies were employed to investigate the changes in macrophage morphology and adhesive properties, while MTT assay was used to quantify cell proliferation. All samples sustained macrophage adhesion and growth. In addition, nitrogen plasma treatment was more beneficial for cell adhesion in comparison with un-modified carbon nanowall surfaces. Instead, oxygen plasma functionalization led to increased macrophage adhesion and spreading suggesting a more activated phenotype, confirmed by elevated cytokine release. Thus, our findings showed that the chemical surface alterations which occur as a result of plasma treatment, independent of surface wettability, affect macrophage response in vitro. - Highlights: • N{sub 2} and O{sub 2} plasma treatments alter the CNW surface chemistry and wettability. • Cells seeded on CNW scaffolds are viable and metabolically active. • Surface functional groups, independent of surface wettability, affect cell response. • O{sub 2} plasma treatment of CNW leads to a more activated macrophage phenotype.

  9. A Nanosecond Pulsed Plasma Brush for Surface Decontamination

    Science.gov (United States)

    Neuber, Johanna; Malik, Muhammad; Song, Shutong; Jiang, Chunqi

    2015-11-01

    This work optimizes a non-thermal, atmospheric pressure plasma brush for surface decontamination. The generated plasma plumes with a maximum length of 2 cm are arranged in a 5 cm long, brush-like array. The plasma was generated in ambient air with Acinetobacter baumannii. Laminate surfaces inoculated with over-night cultured bacteria were subject to the plasma treatment for varying water concentrations in He, flow rates and discharge voltages. It was found that increasing the water content of the feed gas greatly enhanced the bactericidal effect. Emission spectroscopy was performed to identify the reactive plasma species that contribute to this variation. Additional affiliation: Frank Reidy Research Center for Bioelectrics

  10. Dielectric barrier discharge plasma treatment of cellulose nanofibre surfaces

    DEFF Research Database (Denmark)

    Kusano, Yukihiro; Madsen, Bo; Berglund, Linn

    2017-01-01

    on the nanofibre surface. Ultrasonic irradiation further enhanced the wetting and oxidation of the nanofibre coating. Scanning electron microscopic observations showed skeleton-like features on the plasma-treated surface, indicating preferential etching of weaker domains, such as low-molecular weight domains......Dielectric barrier discharge plasma treatment was applied to modify cellulose nanofibre (CNF) surfaces with and without ultrasonic irradiation. The plasma treatment improved the wetting by deionised water and glycerol, and increased the contents of oxygen, carbonyl group, and carboxyl group...... and amorphous phases. Ultrasonic irradiation also improved the uniformity of the treatment. Altogether, it is demonstrated that atmospheric pressure plasma treatment is a promising technique to modify the CNF surface before composite processing....

  11. Impacts of Ambient and Ablation Plasmas on Short- and Ultrashort-Pulse Laser Processing of Surfaces

    Directory of Open Access Journals (Sweden)

    Nadezhda M. Bulgakova

    2014-12-01

    Full Text Available In spite of the fact that more than five decades have passed since the invention of laser, some topics of laser-matter interaction still remain incompletely studied. One of such topics is plasma impact on the overall phenomenon of the interaction and its particular features, including influence of the laser-excited plasma re-radiation, back flux of energetic plasma species, and massive material redeposition, on the surface quality and processing efficiency. In this paper, we analyze different plasma aspects, which go beyond a simple consideration of the well-known effect of plasma shielding of laser radiation. The following effects are considered: ambient gas ionization above the target on material processing with formation of a “plasma pipe”; back heating of the target by both laser-driven ambient and ablation plasmas through conductive and radiative heat transfer; plasma chemical effects on surface processing including microstructure growth on liquid metals; complicated dynamics of the ablation plasma flow interacting with an ambient gas that can result in substantial redeposition of material around the ablation spot. Together with a review summarizing our main to-date achievements and outlining research directions, we present new results underlining importance of laser plasma dynamics and photoionization of the gas environment upon laser processing of materials.

  12. HARDENING OF CRANE RAILS BY PLASMA DISCRETE-TIME SURFACE TREATMENT

    Directory of Open Access Journals (Sweden)

    S. S. Samotugin

    2017-01-01

    Full Text Available Crane wheels and rails are subjected to intensive wear in the process of operation. Therefore, improvement of these components’ performance can be considered a task of high importance. A promising direction in this regard is surface treatment by highly concentrated energy flows such as laser beams or plasma jets. This thesis suggests that the use of gradient plasma surface treatment can improve the performance of crane rails. A research was conducted, according to which hardened zones were deposited on crane rails under different treatment modes. Microhardness was measured both at the surface and in depth using custom-made microsections. The article includes the results of study of plasma surface hardening effects on wear resistance of crane rails. Change of plasma surface treatment parameters (current, plasma torch movement speed, argon gas flow rate allows for desired steel hardness and structure, while the choice of optimal location for hardened zones makes it possible to significantly improve wear resistance and crack resistance. As a result of plasma surface hardening, the fine-grained martensite structure is obtained with mainly lamellar morphology and higher hardness rate compared toinduction hardening or overlaying. Wear test of carbon steels revealed that plasma surfacing reduces abrasive wear rate compared to the irinitial state by 2 to 3 times. Enough sharp boundary between hardened and non-hardened portions has a positive effect on the performance of parts under dynamic loads, contributing to the inhibition of cracks during the transition from solid to a soft metal. For carbon and low alloy rail steels, the properties achieved by plasma surface hardening can effectively replace induction hardening or overlaying.The mode range for plasma surface treatment that allow sobtaining a surface layer with certain operating properties has been determined.

  13. Enhanced surface functionality via plasma modification and plasma deposition techniques to create more biologically relevant materials

    Science.gov (United States)

    Shearer, Jeffrey C.

    Functionalizing nanoparticles and other unusually shaped substrates to create more biologically relevant materials has become central to a wide range of research programs. One of the primary challenges in this field is creating highly functionalized surfaces without modifying the underlying bulk material. Traditional wet chemistry techniques utilize thin film depositions to functionalize nanomaterials with oxygen and nitrogen containing functional groups, such as --OH and --NHx. These functional groups can serve to create surfaces that are amenable to cell adhesion or can act as reactive groups for further attachment of larger structures, such as macromolecules or antiviral agents. Additional layers, such as SiO2, are often added between the nanomaterial and the functionalized coating to act as a barrier films, adhesion layers, and to increase overall hydrophilicity. However, some wet chemistry techniques can damage the bulk material during processing. This dissertation examines the use of plasma processing as an alternative method for producing these highly functionalized surfaces on nanoparticles and polymeric scaffolds through the use of plasma modification and plasma enhanced chemical vapor deposition techniques. Specifically, this dissertation will focus on (1) plasma deposition of SiO2 barrier films on nanoparticle substrates; (2) surface functionalization of amine and alcohol groups through (a) plasma co-polymerization and (b) plasma modification; and (3) the design and construction of plasma hardware to facilitate plasma processing of nanoparticles and polymeric scaffolds. The body of work presented herein first examines the fabrication of composite nanoparticles by plasma processing. SiOxC y and hexylamine films were coated onto TiO2 nanoparticles to demonstrate enhanced water dispersion properties. Continuous wave and pulsed allyl alcohol plasmas were used to produce highly functionalized Fe2 O3 supported nanoparticles. Specifically, film composition was

  14. Modification of surface properties of LLDPE by water plasma discharge

    International Nuclear Information System (INIS)

    Chantara Thevy Ratnam; Hill, D.J.T.; Firas Rasoul; Whittaker, A.K.; Imelda Keen

    2007-01-01

    Linear low density polyethylene (LLDPE) surface was modified by water plasma treatment. The LLDPE surface was treated at 10 and 20 W discharge power at various exposure times. A laboratory scale Megatherm radio frequency (RF) plasma apparatus that operates at 27 MHz was used to generate the water plasmas. The changes in chemical structure of the LLDPE polymeric chain upon plasma treatment were characterized by FTIR and XPS techniques. The selectivity of trifluoroacetic anhydride (TFAA) toward hydroxyl groups is used to quantify the hydroxyl groups formed on the polymer surface upon plasma treatment. After exposition to the plasma discharge a decline in water contact angle were observed. FTIR and XPS measurements indicate an oxidation of degraded polymeric chains and creation of hydroxyl, carbonyl, ether, ester and carboxyl groups. Chemical derivatization with TFAA of water plasma treated polymer surfaces has shown that under the conditions employed, a very small (less than 5%) of the oxygen introduced by the water plasma treatment was present as hydroxyl group. (Author)

  15. Atmospheric-pressure plasma activation and surface characterization on polyethylene membrane separator

    Science.gov (United States)

    Tseng, Yu-Chien; Li, Hsiao-Ling; Huang, Chun

    2017-01-01

    The surface hydrophilic activation of a polyethylene membrane separator was achieved using an atmospheric-pressure plasma jet. The surface of the atmospheric-pressure-plasma-treated membrane separator was found to be highly hydrophilic realized by adjusting the plasma power input. The variations in membrane separator chemical structure were confirmed by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Chemical analysis showed newly formed carbonyl-containing groups and high surface concentrations of oxygen-containing species on the atmospheric-pressure-plasma-treated polymeric separator surface. It also showed that surface hydrophilicity primarily increased from the polar component after atmospheric-pressure plasma treatment. The surface and pore structures of the polyethylene membrane separator were examined by scanning electron microscopy, revealing a slight alteration in the pore structure. As a result of the incorporation of polar functionalities by atmospheric-pressure plasma activation, the electrolyte uptake and electrochemical impedance of the atmospheric-pressure-plasma-treated membrane separator improved. The investigational results show that the separator surface can be controlled by atmospheric-pressure plasma surface treatment to tailor the hydrophilicity and enhance the electrochemical performance of lithium ion batteries.

  16. Tungsten and carbon surface change under high dose plasma exposure

    International Nuclear Information System (INIS)

    Martynenko, Y.V.; Khripunov, B.I.; Petrov, V.B.

    2009-01-01

    Study of surface composition dynamics has been made on the LENTA linear plasma simulator. Experiments have been made on tungsten and carbon materials subjected to steady-state plasma exposure. The achieved ion doses on the surface were 10 21 ion cm -2 . WL 10 tungsten containing 1% of La2O3 oxide and titanium-doped graphite RG-T were studied. The following experimental conditions were varied in these experiments: energy of ions, surface temperature, working gas. Irradiations of tungsten WL 10 were executed in deuterium plasma at low ion energies (about 20 eV) and at 200 eV for temperatures below 340 K. Graphite RG-T was exposed at 1300 K. Elevated surface temperature (about 1050K) was also characteristic of experiments on tungsten sample under nitrogen plasma impact (simulated inter-ELMs condition). Surface microstructure modification has been observed and surface composition changes were found on the materials showing influence of high dose plasma irradiations on element redistribution in the near surface layers. (author)

  17. Surface Modification of Polymeric Materials by Plasma Treatment

    Directory of Open Access Journals (Sweden)

    E.F. Castro Vidaurre

    2002-03-01

    Full Text Available Low-temperature plasma treatment has been used in the last years as a useful tool to modify the surface properties of different materials, in special of polymers. In the present work low temperature plasma was used to treat the surface of asymmetric porous substrates of polysulfone (PSf membranes. The main purpose of this work was to study the influence of the exposure time and the power supplied to argon plasma on the permeability properties of the membranes. Three rf power levels, respectively 5, 10 and 15 W were used. Treatment time ranged from 1 to 50 min. Reduction of single gas permeability was observed with Ar plasma treatments at low energy bombardment (5 W and short exposure time (20 min. Higher power and/or higher plasma exposition time causes a degradation process begins. The chemical and structural characterization of the membranes before and after the surface modification was done by AFM, SEM and XPS.

  18. Surface cleaning of metal wire by atmospheric pressure plasma

    International Nuclear Information System (INIS)

    Nakamura, T.; Buttapeng, C.; Furuya, S.; Harada, N.

    2009-01-01

    In this study, the possible application of atmospheric pressure dielectric barrier discharge plasma for the annealing of metallic wire is examined and presented. The main purpose of the current study is to examine the surface cleaning effect for a cylindrical object by atmospheric pressure plasma. The experimental setup consists of a gas tank, plasma reactor, and power supply with control panel. The gas assists in the generation of plasma. Copper wire was used as an experimental cylindrical object. This copper wire was irradiated with the plasma, and the cleaning effect was confirmed. The result showed that it is possible to remove the tarnish which exists on the copper wire surface. The experiment reveals that atmospheric pressure plasma is usable for the surface cleaning of metal wire. However, it is necessary to examine the method for preventing oxidization of the copper wire.

  19. Surface damage of W exposed to combined stationary D plasma and ELMs-like pulsed plasma

    Energy Technology Data Exchange (ETDEWEB)

    Jia, Y.Z., E-mail: jaja880816@aliyun.com [Science and Technology on Reactor Fuel and Materials Laboratory, Nuclear Power Institute of China, Chengdu, Sichuan 610213 (China); Laboratory of Advanced Materials, School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Liu, W., E-mail: liuw@mail.tsinghua.edu.cn [Laboratory of Advanced Materials, School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Xu, B.; Qu, S.L. [Laboratory of Advanced Materials, School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Morgan, T.W. [FOM Institute DIFFER-Dutch Institute for Fundamental Energy Research, 5612AJ Eindhoven (Netherlands)

    2017-04-15

    The surface damage of W under D plasma and ELMs-like transient heat loads was studied by combined stationary and pulsed D plasma. Low-flux transient heat loads will promote blister formation due to the gas expansion inside the blisters. On the contrary, high-flux transient heat loads will mitigate blistering due to the high surface temperature. Therefore, blistering on W surface first increased and then decreased with the increasing transient heat loads. The promotion effect of pulsed plasma on blistering is more obvious on [001] and [110] surfaces than on [111] surface, and the orientation dependence of blisters was mitigated by the transient heat loads. Surface modification induced by transient heat loads only formed on [001] and [110] surfaces, but did not form on [111] surface. The orientation dependence of surface modification was mainly due to the slipping system of dislocations.

  20. Study of plasma-material surface interaction using langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2012-01-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisiloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  1. Study of plasma-material surface interaction using Langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2009-06-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisoloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  2. Hemocompatible control of sulfobetaine-grafted polypropylene fibrous membranes in human whole blood via plasma-induced surface zwitterionization.

    Science.gov (United States)

    Chen, Sheng-Han; Chang, Yung; Lee, Kueir-Rarn; Wei, Ta-Chin; Higuchi, Akon; Ho, Feng-Ming; Tsou, Chia-Chun; Ho, Hsin-Tsung; Lai, Juin-Yih

    2012-12-21

    In this work, the hemocompatibility of zwitterionic polypropylene (PP) fibrous membranes with varying grafting coverage of poly(sulfobetaine methacrylate) (PSBMA) via plasma-induced surface polymerization was studied. Charge neutrality of PSBMA-grafted layers on PP membrane surfaces was controlled by the low-pressure and atmospheric plasma treatment in this study. The effects of grafting composition, surface hydrophilicity, and hydration capability on blood compatibility of the membranes were determined. Protein adsorption onto the different PSBMA-grafted PP membranes from human fibrinogen solutions was measured by enzyme-linked immunosorbent assay (ELISA) with monoclonal antibodies. Blood platelet adhesion and plasma clotting time measurements from a recalcified platelet-rich plasma solution were used to determine if platelet activation depends on the charge bias of the grafted PSBMA layer. The charge bias of PSBMA layer deviated from the electrical balance of positively and negatively charged moieties can be well-controlled via atmospheric plasma-induced interfacial zwitterionization and was further tested with human whole blood. The optimized PSBMA surface graft layer in overall charge neutrality has a high hydration capability and keeps its original blood-inert property of antifouling, anticoagulant, and antithrmbogenic activities when it comes into contact with human blood. This work suggests that the hemocompatible nature of grafted PSBMA polymers by controlling grafting quality via atmospheric plasma treatment gives a great potential in the surface zwitterionization of hydrophobic membranes for use in human whole blood.

  3. Water surface coverage effects on reactivity of plasma oxidized Ti films

    International Nuclear Information System (INIS)

    Pranevicius, L.; Pranevicius, L.L.; Vilkinis, P.; Baltaragis, S.; Gedvilas, K.

    2014-01-01

    Highlights: • The reactivity of Ti films immersed in water vapor plasma depends on the surface water coverage. • The adsorbed water monolayers are disintegrated into atomic constituents on the hydrophilic TiO 2 under plasma radiation. • The TiO 2 surface covered by water multilayer loses its ability to split adsorbed water molecules under plasma radiation. - Abstract: The behavior of the adsorbed water on the surface of thin sputter deposited Ti films maintained at room temperature was investigated in dependence on the thickness of the resulting adsorbed water layer, controllably injecting water vapor into plasma. The surface morphology and microstructure were used to characterize the surfaces of plasma treated titanium films. Presented experimental results showed that titanium films immersed in water vapor plasma at pressure of 10–100 Pa promoted the photocatalytic activity of overall water splitting. The surfaces of plasma oxidized titanium covered by an adsorbed hydroxyl-rich island structure water layer and activated by plasma radiation became highly chemically reactive. As water vapor pressure increased up to 300–500 Pa, the formed water multilayer diminished the water oxidation and, consequently, water splitting efficiency decreased. Analysis of the experimental results gave important insights into the role an adsorbed water layer on surface of titanium exposed to water vapor plasma on its chemical activity and plasma activated electrochemical processes, and elucidated the surface reactions that could lead to the split of water molecules

  4. Surface multipole guide field for plasma injection

    International Nuclear Information System (INIS)

    Breun, R.A.; Rael, B.H.; Wong, A.Y.

    1977-01-01

    Described here is a surface guide field system which is useful for injection of plasmas into confinement devices. Experimental results are given for 5--25-eV hydrogen plasmas produced by a coaxial discharge (Marshall) gun. It is found that better than 90% of the plasma produced by the gun is delivered to the end of the guide 180 cm away, while the neutral component falls by more than an order of magnitude. For these results the rod current providing the magnetic field had to be large enough to provide at least 1.5-ion gyroradii from the center of the guide to the surface of the inner rod

  5. Tritium saturation in plasma-facing materials surfaces

    International Nuclear Information System (INIS)

    Longhurst, G.R.; Anderl, R.A.; Pawelko, R.J.; Causey, R.A.; Federici, G.; Haasz, A.A.

    1998-01-01

    Plasma-facing components in the international thermonuclear experimental reactor (ITER) will experience high heat loads and intense plasma fluxes of order 10 20 -10 23 particles/m 2 s. Experiments on Be and W, two of the materials considered for use in ITER, have revealed that a tritium saturation phenomenon can take place under these conditions in which damage to the surface results that enhances the return of implanted tritium to the plasma and inhibits uptake of tritium. This phenomenon is important because it implies that tritium inventories due to implantation in these plasma-facing materials will probably be lower than was previously estimated using classical recombination-limited release at the plasma surface. Similarly, permeation through these components to the coolant streams should be reduced. In this paper we discuss evidences for the existence of this phenomenon, describe techniques for modeling it, and present results of the application of such modeling to prior experiments. (orig.)

  6. Tritium saturation in plasma-facing materials surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Longhurst, G.R.; Anderl, R.A.; Pawelko, R.J. [Idaho Nat. Eng. and Environ. Lab., Idaho Falls, ID (United States); Causey, R.A. [Sandia National Labs., Livermore, CA (United States); Federici, G. [ITER Garching Joint Work Site, Garching (Germany); Haasz, A.A. [Toronto Univ., ON (Canada). Inst. for Aerospace Studies

    1998-10-01

    Plasma-facing components in the international thermonuclear experimental reactor (ITER) will experience high heat loads and intense plasma fluxes of order 10{sup 20}-10{sup 23} particles/m{sup 2}s. Experiments on Be and W, two of the materials considered for use in ITER, have revealed that a tritium saturation phenomenon can take place under these conditions in which damage to the surface results that enhances the return of implanted tritium to the plasma and inhibits uptake of tritium. This phenomenon is important because it implies that tritium inventories due to implantation in these plasma-facing materials will probably be lower than was previously estimated using classical recombination-limited release at the plasma surface. Similarly, permeation through these components to the coolant streams should be reduced. In this paper we discuss evidences for the existence of this phenomenon, describe techniques for modeling it, and present results of the application of such modeling to prior experiments. (orig.) 39 refs.

  7. Transience of plasma surface modification as an adhesion promoter for polychlorotrifluorethylene

    International Nuclear Information System (INIS)

    Subrahmanyan, S.; Dillard, J.G.; Love, B.J.; Romand, M.; Charbonnier, M.

    2002-01-01

    Poly(chlorotrifluoroethylene) (PCTFE) and other fluoropolymers are increasingly used as inner layer dielectrics. However, these polymers have low surface energies and correspondingly poor adhesive properties. Results are presented on the use of a low-pressure ammonia plasma to enhance the surface bondability of PCTFE. The plasma modified PCTFE film surfaces were characterized by x-ray photoelectron spectroscopy and contact angle measurements. Surface modified films exhibited improved adhesion to electroless copper deposits (180 deg. peel test) compared to coated PCTFE controls and that underwent no plasma exposure. Annealing studies were conducted between 30 and 100 deg. C to examine the stability of the plasma-modified surfaces. For samples annealed below T g , contact angle measurements indicated that the plasma-introduced groups remained bound on the surface for four weeks. For specimens annealed above T g , the surface functionalities were absorbed within the bulk and surface rearrangement occurred within 10 h of annealing time. As a result of rearrangement, the benefit of adhesion enhancement by plasma is lost and the adhesion to copper is reduced

  8. Analysis of surface roughness and surface heat affected zone of steel S355J0 after plasma arc cutting

    International Nuclear Information System (INIS)

    Hatala, Michal; Chep, Robert; Pandilov, Zoran

    2010-01-01

    This paper deals with thermal cutting technology of materials with plasma arc. In the first part of this paper the theoretical knowledge of the principles of plasma arc cutting and current use of this technology in industry are presented. The cut of products with this technology is perpendicular and accurate, but the use of this technology affects micro-structural changes and depth of the heat affected zone (HAZ). This article deals with the experimental evaluation of plasma arc cutting technological process. The influence of technological factors on the roughness parameter Ra of the steel surface EN S355J0 has been evaluated by using planned experiments. By using the factor experiment, the significance of the four process factors such as plasma burner feed speed, plasma gas pressure, nozzle diameter, distance between nozzle mouth and material has been analyzed. Regression models obtained by multiple linear regression indicate the quality level of observed factors function. The heat from plasma arc cutting affects the micro-structural changes of the material, too.

  9. Improvement of silicon direct bonding using surfaces activated by hydrogen plasma treatment

    CERN Document Server

    Choi, W B; Lee Jae Sik; Sung, M Y

    2000-01-01

    The plasma surface treatment, using hydrogen gas, of silicon wafers was studied as a pretreatment for silicon direct bonding. Chemical reactions of the hydrogen plasma with the surfaces were used for both surface activation and removal of surface contaminants. Exposure of the silicon wafers to the plasma formed an active oxide layer on the surface. This layer was hydrophilic. The surface roughness and morphology were examined as functions of the plasma exposure time and power. The surface became smoother with shorter plasma exposure time and lower power. In addition, the plasma surface treatment was very efficient in removing the carbon contaminants on the silicon surface. The value of the initial surface energy, as estimated by using the crack propagation method, was 506 mJ/M sup 2 , which was up to about three times higher than the value for the conventional direct bonding method using wet chemical treatments.

  10. Surface modification by preparation of buffer zone in glow-discharge plasma

    International Nuclear Information System (INIS)

    Cho, D.L.

    1986-01-01

    Reactive species, energetic particles, and uv radiation in the plasma created by a glow discharge strongly interact with solid surfaces under the influence of the plasma. As a result of the strong interaction, various physical and chemical reactions, unique and advantageous for the surface modification of solid materials, occur on the solid surfaces. The surface modification is carried out through formation of a thin buffering layer on the solid surface. The preparation of a buffer zone on solid surfaces for surface modification is described. Two kinds of a buffer zone are prepared by plasma polymerization, or simultaneous sputter deposition of electrode material with plasma polymerization: a transitional buffer zone and a graded buffer zone. Important factors for preparation of the buffer zone (pre-conditioning of a substrate surface, thin-film deposition, post-treatment of the film, magnetron discharge, energy input, geometry of a substrate and a plasma) are discussed

  11. Transience of plasma surface modification as an adhesion promoter for polychlorotrifluorethylene

    CERN Document Server

    Subramanian, S; Love, B J; Romand, M; Charbonnier, M

    2002-01-01

    Poly(chlorotrifluoroethylene) (PCTFE) and other fluoropolymers are increasingly used as inner layer dielectrics. However, these polymers have low surface energies and correspondingly poor adhesive properties. Results are presented on the use of a low-pressure ammonia plasma to enhance the surface bondability of PCTFE. The plasma modified PCTFE film surfaces were characterized by x-ray photoelectron spectroscopy and contact angle measurements. Surface modified films exhibited improved adhesion to electroless copper deposits (180 deg. peel test) compared to coated PCTFE controls and that underwent no plasma exposure. Annealing studies were conducted between 30 and 100 deg. C to examine the stability of the plasma-modified surfaces. For samples annealed below T sub g , contact angle measurements indicated that the plasma-introduced groups remained bound on the surface for four weeks. For specimens annealed above T sub g , the surface functionalities were absorbed within the bulk and surface rearrangement occurre...

  12. Plasma technology of the surface polymer activation

    International Nuclear Information System (INIS)

    Dutra, Jorge C.N.; Mello, Sandra C.; Massi, Marcos; Otani, Choyu; Maciel, Homero S.; Bittencourt, Edison

    2005-01-01

    A number of polymers, especially rubbers, require surface treatment to achieve a satisfactory level of adhesion. The surface of EPDM rubber vulcanized is high hydrophobicity and is not suited for a number of potential applications, in particular, for adhering to the polyurethane liner of solid rocket propellants. In this case, plasma treatment can be a very attractive process because it can efficiently increase the surface energy attributed to surface oxidation with the introduction of polar groups 1, 2. In order to investigate the influence of the parameters on the modifications of the treated surface samples of EPDM rubber by plasma generated by gas oxygen and argon, the water and methylene iodide contact angles were measured at room temperature with an image analyzing using the sessile drop technique 3 - 6 . (author)

  13. Plasma based Ar+ beam assisted poly(dimethylsiloxane) surface modification

    International Nuclear Information System (INIS)

    Vladkova, T.G.; Keranov, I.L.; Dineff, P.D.; Youroukov, S.Y.; Avramova, I.A.; Krasteva, N.; Altankov, G.P.

    2005-01-01

    Plasma based Ar + beam performed in RF (13.56 MHz) low-pressure (200 mTorr) glow discharge (at 100 W, 1200 W and 2500 W) with a serial capacitance was employed for surface modification of poly(dimethylsiloxane) (PDMS) aimed at improvement of its interactions with living cells. The presence of a serial capacitance ensures arise of an ion-flow inside the plasma volume directed toward the treated sample and the vary of the discharge power ensures varied density of the ion-flow. XPS analysis was performed to study the changes in the surface chemical composition of the modified samples and the corresponding changes in the surface energy were monitored by contact angle measurements. We found that plasma based Ar + beam transforms the initially hydrophobic PDMS surface into a hydrophilic one mainly due to a raising of the polar component of the surface tension, this effect being most probably due to an enrichment of the modified surface layer with permanent dipoles of a [SiO x ]-based network and elimination of the original methyl groups. The initial adhesion of human fibroblast cells was studied on the described above plasma based Ar + beam modified and acrylic acid (AA) grafted or not fibronectin (FN) pre-coated or bare surfaces. The cell response seems to be related with the peculiar structure and wettability of the modified PDMS surface layer after plasma based Ar + beam treatment followed or not by AA grafting

  14. Plasma surface interaction studies in Japan

    International Nuclear Information System (INIS)

    Hino, T.; Hirohata, Y.; Yamashina, T.

    1994-01-01

    In order to achieve a long burning time period in a fusion reactor, the interactions between the plasma facing materials and the fusion plasma have to be well controlled. Namely, the radiation loss due to impurities and deterioration of the energy confinement time due to fuel particle recyclings have to be suppressed, in addition to the requirement of heat removal based on a high heat flux component. Recently, in Japan, the plasma facing material/component has been very actively developed for ITER and Large Helical Device (LHD). In this review paper, we briefly introduce the following issues, (1) progress of plasma surface interactions in tokamaks and helical devices, (2) development of plasma facing materials, (3) divertor development, (4) boronization, (5) selective pumping of helium ash, (6) tritium retention, and (7) neutron damage of graphite plasma facing material. (author)

  15. Study of plasma-surface interaction at the GOL-3 facility

    Energy Technology Data Exchange (ETDEWEB)

    Shoshin, A.A., E-mail: shoshin@mail.ru [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Arakcheev, A.S., E-mail: asarakcheev@gmail.com [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Arzhannikov, A.V., E-mail: A.V.Arzhannikov@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Burdakov, A.V., E-mail: a.v.burdakov@mail.ru [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State Technical University, Novosibirsk 630092 (Russian Federation); Ivanov, I.A., E-mail: I.A.Ivanov@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Kasatov, A.A., E-mail: a.a.kasatov@gmail.com [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kuklin, K.N., E-mail: K.N.Kuklin@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Polosatkin, S.V., E-mail: S.V.Polosatkin@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Postupaev, V.V., E-mail: V.V.Postupaev@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Sinitsky, S.L., E-mail: S.L.Sinitsky@inp.nsk.su [Budker Institute of Nuclear Physics SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); and others

    2017-01-15

    The review presents experimental studies of plasma-surface interaction and materials behavior under plasma loads done in the multiple-mirror trap of the GOL-3 facility. In the experiments for the PSI, the energy density in the extracted plasma stream varies from 0.5 to 30 MJ/m{sup 2}. Parameters of near-surface plasma measured by a set of diagnostics are reviewed. Surface patterns of targets exposed to the plasma are analyzed. The erosion depth depends on the energy loads—it rises from 0 to 600 μm at 0.5 and 30 MJ/m{sup 2}, correspondingly. Cracking and evolution of graphite and tungsten surface morphology are discussed. The enthalpy of brittle destruction of graphite (10 kJ/g), which determines the threshold of bulk damage of targets irradiated with a charged-particle flux with large penetration depth, was determined. Comparison of different facilities for PSI studies are presented. Heat flux play a key role to the target surface erosion.

  16. Surface modification of polylactic acid films by atmospheric pressure plasma treatment

    Science.gov (United States)

    Kudryavtseva, V. L.; Zhuravlev, M. V.; Tverdokhlebov, S. I.

    2017-09-01

    A new approach for the modification of polylactic acid (PLA) materials using atmospheric pressure plasma (APP) is described. PLA films plasma exposure time was 20, 60, 120 s. The surface morphology and wettability of the obtained PLA films were investigated by atomic force microscopy (AFM) and the sitting drop method. The atmospheric pressure plasma increased the roughness and surface energy of PLA film. The wettability of PLA has been improved with the application of an atmospheric plasma surface treatment. It was shown that it is possible to obtain PLA films with various surface relief and tunable wettability. Additionally, we demonstrated that the use of cold atmospheric pressure plasma for surface activation allows for the immobilization of bioactive compounds like hyaluronic acid (HA) on the surface of obtained films. It was shown that composite PLA-HA films have an increased long-term hydrophilicity of the films surface.

  17. Surface modification of polyethylene by plasma

    International Nuclear Information System (INIS)

    Colin O, E.

    2003-01-01

    The products made of polyethylene (PE) go from construction materials, electric insulating until packing material. The films for bags and pack occupy 83.6% of the distribution of the market of PE approximately. The enormous quantity of PE that is generated by its indiscriminate use brings as consequence a deterioration to the atmosphere, due to the long life that they present as waste. This work is a study on the modification of low density polyethylene films. In this type of thin materials, the changes in the surface meet with largely on the conformation of the rest of the material. To induce changes that modify the surface of PE, plasmas were used with reactive atmospheres of air, oxygen and nitrogen. The experimentation that was carries out went to introduce the PE to a cylindrical reactor where it was generated the plasma of air, oxygen and nitrogen to different times of exposure. After having carried out the exposure to the plasma, it was found that in the polyethylene it modifies their morphology, crystallinity, hydrophobicity, composition and electric conductivity. The analytical techniques that were used to characterize later to the polyethylene of being in contact with the plasma were: X-ray diffraction, Scanning Electron Microscopy, Infrared spectroscopy, Electric conductivity, Angle of contact and finally Thermal Gravimetric Analysis. The content of this work it is presented in five chapters: In the chapter 1 there are presented some general concepts of plasma and of the one polymer in study PE. In the chapter 2 it is made a general revision on modification of surfaces, as well as the properties that were modified in polymeric materials that were exposed to plasma in previous works. In the chapter 3 the experimental part and the conditions used are described in the modification of the PE. Also in this chapter a brief description it is made of the used characterization techniques. The results and discussion are presented in the chapter 4. These results

  18. Stochastic clustering of material surface under high-heat plasma load

    Science.gov (United States)

    Budaev, Viacheslav P.

    2017-11-01

    The results of a study of a surface formed by high-temperature plasma loads on various materials such as tungsten, carbon and stainless steel are presented. High-temperature plasma irradiation leads to an inhomogeneous stochastic clustering of the surface with self-similar granularity - fractality on the scale from nanoscale to macroscales. Cauliflower-like structure of tungsten and carbon materials are formed under high heat plasma load in fusion devices. The statistical characteristics of hierarchical granularity and scale invariance are estimated. They differ qualitatively from the roughness of the ordinary Brownian surface, which is possibly due to the universal mechanisms of stochastic clustering of material surface under the influence of high-temperature plasma.

  19. Apparatus for plasma surface treating and preparation of membrane layers

    NARCIS (Netherlands)

    1990-01-01

    An apparatus suitable for plasma surface treating (e.g., forming a membrane layer on a substrate surface) comprises a plasma generation section which is operable at least at substantially atmospheric pressure and is in communication via at least one plasma inlet (e.g., a nozzle) with an enclosed

  20. Surface chemistry and fundamental limitations on the plasma cleaning of metals

    Energy Technology Data Exchange (ETDEWEB)

    Dong, Bin, E-mail: bindong@my.unt.edu [Department of Chemistry, University of North Texas, 1155 Union Circle 305070, Denton, TX, 76203 (United States); Driver, M. Sky, E-mail: Marcus.Driver@unt.edu [Department of Chemistry, University of North Texas, 1155 Union Circle 305070, Denton, TX, 76203 (United States); Emesh, Ismail, E-mail: Ismail_Emesh@amat.com [Applied Materials Inc., 3050 Bowers Ave, Santa Clara, CA, 95054 (United States); Shaviv, Roey, E-mail: Roey_Shaviv@amat.com [Applied Materials Inc., 3050 Bowers Ave, Santa Clara, CA, 95054 (United States); Kelber, Jeffry A., E-mail: Jeffry.Kelber@unt.edu [Department of Chemistry, University of North Texas, 1155 Union Circle 305070, Denton, TX, 76203 (United States)

    2016-10-30

    Highlights: • O{sub 2}-free plasma treatment of air-exposed Co or Cu surfaces yields remnant C layers inert to further plasma cleaning. • The formation of the remnant C layer is graphitic (Cu) or carbidic (Co). • The formation of a remnant C layer is linked to plasma cleaning of a metal surface. - Abstract: In-situ X-ray photoelectron spectroscopy (XPS) studies reveal that plasma cleaning of air-exposed Co or Cu transition metal surfaces results in the formation of a remnant C film 1–3 monolayers thick, which is not reduced upon extensive further plasma exposure. This effect is observed for H{sub 2} or NH{sub 3} plasma cleaning of Co, and He or NH{sub 3} plasma cleaning of Cu, and is observed with both inductively coupled (ICP) and capacitively-coupled plasma (CCP). Changes in C 1 s XPS spectra indicate that this remnant film formation is accompanied by the formation of carbidic C on Co and of graphitic C on Cu. This is in contrast to published work showing no such remnant carbidic/carbon layer after similar treatments of Si oxynitride surfaces. The observation of the remnant carbidic C film on Co and graphitic film on Cu, but not on silicon oxynitride (SiO{sub x}N{sub y}), regardless of plasma chemistry or type, indicates that this effect is due to plasma induced secondary electron emission from the metal surface, resulting in transformation of sp{sup 3} adventitious C to either a metal carbide or graphite. These results suggest fundamental limitations to plasma-based surface cleaning procedures on metal surfaces.

  1. Plasma assisted surface coating/modification processes: An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1986-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation). These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  2. Plasma assisted surface coating/modification processes - An emerging technology

    Science.gov (United States)

    Spalvins, T.

    1987-01-01

    A broad understanding of the numerous ion or plasma assisted surface coating/modification processes is sought. An awareness of the principles of these processes is needed before discussing in detail the ion nitriding technology. On the basis of surface modifications arising from ion or plasma energizing and interactions, it can be broadly classified as deposition of distinct overlay coatings (sputtering-dc, radio frequency, magnetron, reactive; ion plating-diode, triode) and surface property modification without forming a discrete coating (ion implantation, ion beam mixing, laser beam irradiation, ion nitriding, ion carburizing, plasma oxidation. These techniques offer a great flexibility and are capable in tailoring desirable chemical and structural surface properties independent of the bulk properties.

  3. Low-temperature plasma techniques in surface modification of biomaterials

    International Nuclear Information System (INIS)

    Feng Xiangfen; Xie Hankun; Zhang Jing

    2002-01-01

    Since synthetic polymers usually can not meet the biocompatibility and bio-functional demands of the human body, surface treatment is a prerequisite for them to be used as biomaterials. A very effective surface modification method, plasma treatment, is introduced. By immobilizing the bio-active molecules with low temperature plasma, polymer surfaces can be modified to fully satisfy the requirements of biomaterials

  4. Surface characterization of polyethylene terephthalate films treated by ammonia low-temperature plasma

    International Nuclear Information System (INIS)

    Zheng Zhiwen; Ren Li; Feng Wenjiang; Zhai Zhichen; Wang Yingjun

    2012-01-01

    In order to study the surface characterization and protein adhesion behavior of polyethylene terephthalate film, low temperature ammonia plasma was used to modify the film. Effects of plasma conditions of the surface structures and properties were investigated. Results indicated that surface hydrophilicity of polyethylene terephthalate was significantly improved by ammonia plasma treatment. Ammonia plasma played the role more important than air treatment in the process of modification. Furthermore, by Fourier Transform Infrared spectra some new bonds such as -N=O and N-H which could result in the improvement of the surface hydrophilicity were successfully grafted on the film surface. Atom force microscope experiments indicated that more protein adsorbed on hydrophobic surfaces than hydrophilic ones, and the blobs arranged in a straight line at etching surface by plasma. Modified membrane after ammonia plasma treatment had a good cell affinity and could be effective in promoting the adhesion and growth of cells on the material surface. Timeliness experiments showed that the plasma treatment gave the material a certain performance only in a short period of time and the hydrophobicity recovered after 12 days.

  5. Plasma Surface interaction in Controlled fusion devices

    International Nuclear Information System (INIS)

    1990-05-01

    The subjects presented in the 9th conference on plasma surface interaction in controlled fusion devices were: the modifications of power scrape-off-length and power deposition during various configurations in Tore Supra plasmas; the effects observed in ergodic divertor experiments in Tore-Supra; the diffuse connexion induced by the ergodic divertor and the topology of the heat load patterns on the plasma facing components in Tore-Supra; the study of the influence of air exposure on graphite implanted by low energy high density deuterium plasma

  6. Quantification of air plasma chemistry for surface disinfection

    International Nuclear Information System (INIS)

    Pavlovich, Matthew J; Clark, Douglas S; Graves, David B

    2014-01-01

    Atmospheric-pressure air plasmas, created by a variety of discharges, are promising sources of reactive species for the emerging field of plasma biotechnology because of their convenience and ability to operate at ambient conditions. One biological application of ambient-air plasma is microbial disinfection, and the ability of air plasmas to decontaminate both solid surfaces and liquid volumes has been thoroughly established in the literature. However, the mechanism of disinfection and which reactive species most strongly correlate with antimicrobial effects are still not well understood. We describe quantitative gas-phase measurements of plasma chemistry via infrared spectroscopy in confined volumes, focusing on air plasma generated via surface micro-discharge (SMD). Previously, it has been shown that gaseous chemistry is highly sensitive to operating conditions, and the measurements we describe here extend those findings. We quantify the gaseous concentrations of ozone (O 3 ) and nitrogen oxides (NO and NO 2 , or NO x ) throughout the established ‘regimes’ for SMD air plasma chemistry: the low-power, ozone-dominated mode; the high-power, nitrogen oxides-dominated mode; and the intermediate, unstable transition region. The results presented here are in good agreement with previously published experimental studies of aqueous chemistry and parameterized models of gaseous chemistry. The principal finding of the present study is the correlation of bacterial inactivation on dry surfaces with gaseous chemistry across these time and power regimes. Bacterial decontamination is most effective in ‘NO x mode’ and less effective in ‘ozone mode’, with the weakest antibacterial effects in the transition region. Our results underscore the dynamic nature of air plasma chemistry and the importance of careful chemical characterization of plasma devices intended for biological applications. (paper)

  7. Quantification of air plasma chemistry for surface disinfection

    Science.gov (United States)

    Pavlovich, Matthew J.; Clark, Douglas S.; Graves, David B.

    2014-12-01

    Atmospheric-pressure air plasmas, created by a variety of discharges, are promising sources of reactive species for the emerging field of plasma biotechnology because of their convenience and ability to operate at ambient conditions. One biological application of ambient-air plasma is microbial disinfection, and the ability of air plasmas to decontaminate both solid surfaces and liquid volumes has been thoroughly established in the literature. However, the mechanism of disinfection and which reactive species most strongly correlate with antimicrobial effects are still not well understood. We describe quantitative gas-phase measurements of plasma chemistry via infrared spectroscopy in confined volumes, focusing on air plasma generated via surface micro-discharge (SMD). Previously, it has been shown that gaseous chemistry is highly sensitive to operating conditions, and the measurements we describe here extend those findings. We quantify the gaseous concentrations of ozone (O3) and nitrogen oxides (NO and NO2, or NOx) throughout the established ‘regimes’ for SMD air plasma chemistry: the low-power, ozone-dominated mode; the high-power, nitrogen oxides-dominated mode; and the intermediate, unstable transition region. The results presented here are in good agreement with previously published experimental studies of aqueous chemistry and parameterized models of gaseous chemistry. The principal finding of the present study is the correlation of bacterial inactivation on dry surfaces with gaseous chemistry across these time and power regimes. Bacterial decontamination is most effective in ‘NOx mode’ and less effective in ‘ozone mode’, with the weakest antibacterial effects in the transition region. Our results underscore the dynamic nature of air plasma chemistry and the importance of careful chemical characterization of plasma devices intended for biological applications.

  8. Method for atmospheric pressure reactive atom plasma processing for surface modification

    Science.gov (United States)

    Carr, Jeffrey W [Livermore, CA

    2009-09-22

    Reactive atom plasma processing can be used to shape, polish, planarize and clean the surfaces of difficult materials with minimal subsurface damage. The apparatus and methods use a plasma torch, such as a conventional ICP torch. The workpiece and plasma torch are moved with respect to each other, whether by translating and/or rotating the workpiece, the plasma, or both. The plasma discharge from the torch can be used to shape, planarize, polish, and/or clean the surface of the workpiece, as well as to thin the workpiece. The processing may cause minimal or no damage to the workpiece underneath the surface, and may involve removing material from the surface of the workpiece.

  9. Atmospheric pressure plasma jets : properties of plasma bullets and the dynamics of the interaction with dielectric surfaces

    NARCIS (Netherlands)

    Sobota, A.; Slikboer, E.; Guaitella, O.Y.N.

    2015-01-01

    Cold atmospheric pressure plasma jets, although mostly researched for applications in surface treatment, are rarely investigated in the presence of a surface. This paper presents the properties of plasma bullets formed in the capillary as well as the dynamics of the propagation of the plasma on

  10. Centrifugation protocols: tests to determine optimal lithium heparin and citrate plasma sample quality.

    Science.gov (United States)

    Dimeski, Goce; Solano, Connie; Petroff, Mark K; Hynd, Matthew

    2011-05-01

    Currently, no clear guidelines exist for the most appropriate tests to determine sample quality from centrifugation protocols for plasma sample types with both lithium heparin in gel barrier tubes for biochemistry testing and citrate tubes for coagulation testing. Blood was collected from 14 participants in four lithium heparin and one serum tube with gel barrier. The plasma tubes were centrifuged at four different centrifuge settings and analysed for potassium (K(+)), lactate dehydrogenase (LD), glucose and phosphorus (Pi) at zero time, poststorage at six hours at 21 °C and six days at 2-8°C. At the same time, three citrate tubes were collected and centrifuged at three different centrifuge settings and analysed immediately for prothrombin time/international normalized ratio, activated partial thromboplastin time, derived fibrinogen and surface-activated clotting time (SACT). The biochemistry analytes indicate plasma is less stable than serum. Plasma sample quality is higher with longer centrifugation time, and much higher g force. Blood cells present in the plasma lyse with time or are damaged when transferred in the reaction vessels, causing an increase in the K(+), LD and Pi above outlined limits. The cells remain active and consume glucose even in cold storage. The SACT is the only coagulation parameter that was affected by platelets >10 × 10(9)/L in the citrate plasma. In addition to the platelet count, a limited but sensitive number of assays (K(+), LD, glucose and Pi for biochemistry, and SACT for coagulation) can be used to determine appropriate centrifuge settings to consistently obtain the highest quality lithium heparin and citrate plasma samples. The findings will aid laboratories to balance the need to provide the most accurate results in the best turnaround time.

  11. Bactericidal effects of plasma-modified surface chemistry of silicon nanograss

    International Nuclear Information System (INIS)

    Ostrikov, Kola; Macgregor-Ramiasa, Melanie; Cavallaro, Alex; Ostrikov, Kostya; Vasilev, Krasimir

    2016-01-01

    The surface chemistry and topography of biomaterials regulate the adhesion and growth of microorganisms in ways that are still poorly understood. Silicon nanograss structures prepared via inductively coupled plasma etching were coated with plasma deposited nanometer-thin polymeric films to produce substrates with controlled topography and defined surface chemistry. The influence of surface properties on Staphylococcus aureus proliferation is demonstrated and explained in terms of nanograss substrate wetting behaviour. With the combination of the nanograss topography; hydrophilic plasma polymer coatings enhanced antimicrobial activity while hydrophobic coatings reduced it. This study advances the understanding of the effects of surface wettability on the bactericidal properties of reactive nano-engineered surfaces. (paper)

  12. Surfaces electrons at dielectric plasma walls

    International Nuclear Information System (INIS)

    Heinisch, Rafael Leslie

    2013-01-01

    The concept of the electron surface layer introduced in this thesis provides a framework for the description of the microphysics of the surplus electrons immediately at the wall and thereby complements the modelling of the plasma sheath. In this work we have considered from a surface physics perspective the distribution and build-up of an electron adsorbate on the wall as well as the effect of the negative charge on the scattering of light by a spherical particle immersed in a plasma. In our electron surface layer model we treat the wall-bound electrons as a wall-thermalised electron distribution minimising the grand canonical potential and satisfying Poisson's equation. The boundary between the electron surface layer and the plasma sheath is determined by a force balance between the attractive image potential and the repulsive sheath potential and lies in front of the crystallographic interface. Depending on the electron affinity χ, that is the offset of the conduction band minimum to the potential in front of the surface, two scenarios for the wall-bound electrons are realised. For χ 0 electrons penetrate into the conduction band where they form an extended space charge. These different scenarios are also reflected in the electron kinetics at the wall which control the sticking coefficient and the desorption time. If χ -3 . For χ>0 electron physisorption takes place in the conduction band. For this case sticking coefficients and desorption times have not been calculated yet but in view of the more efficient scattering with bulk phonons, responsible for electron energy relaxation in this case, we expect them to be larger than for the case of χ 0 the electrons in the bulk of the particle modify the refractive index through their bulk electrical conductivity. In both cases the conductivity is limited by scattering with surface or bulk phonons. Surplus electrons lead to an increase of absorption at low frequencies and, most notably, to a blue-shift of an

  13. Plasma Surface Modification of Polyaramid Fibers for Protective Clothing

    Science.gov (United States)

    Widodo, Mohamad

    2011-12-01

    The purpose of this research was to develop a novel process that would achieve biocidal properties on Kevlar fabric via atmospheric pressure plasma jet (APPJ) induced-graft polymerization of monomers. In the course of the study, experiments were carried out to understand plasma-monomer-substrate interactions, particularly, how each of the main parameters in the plasma processing affects the formation of surface radicals and eventually the degree of graft polymerization of monomers. The study also served to explore the possibility of developing plasma-initiated and plasma-controlled graft polymerization for continuous operation. In this regards, three methods of processing were studied, which included two-step plasma graft-polymerization with immersion, two-step and one-step plasma graft-polymerization with pad-dry. In general, plasma treatment did not cause visible damage to the surface of Kevlar fibers, except for the appearance of tiny globules distributed almost uniformly indicating a minor effect of plasma treatment to the surface morphology of the polymer. From the examination of SEM images, however, it was found that a very localized surface etching seemed to have taken place, especially at high RF power (800 W) and long time of exposure (60 s), even in plasma downstream mode of operation. It was suggested that a small amount of charged particles might have escaped and reached the substrate surface. High density of surface radicals, which is the prerequisite for high graft density and high antimicrobial activity, was achieved by the combination of high RF power and short exposure time or low RF power and long time of exposure. This was a clear indication that the formation of surface radicals is a function of amount of the dissipated energy, which also explained the two-factor interaction between the two process parameters. XPS results showed that hydrolysis of the anilide bond of PPTA chains took place to some extent on the surface of Kevlar, leading to the

  14. Microwave plasma induced surface modification of diamond-like carbon films

    Science.gov (United States)

    Rao Polaki, Shyamala; Kumar, Niranjan; Gopala Krishna, Nanda; Madapu, Kishore; Kamruddin, Mohamed; Dash, Sitaram; Tyagi, Ashok Kumar

    2017-12-01

    Tailoring the surface of diamond-like carbon (DLC) film is technically relevant for altering the physical and chemical properties, desirable for useful applications. A physically smooth and sp3 dominated DLC film with tetrahedral coordination was prepared by plasma-enhanced chemical vapor deposition technique. The surface of the DLC film was exposed to hydrogen, oxygen and nitrogen plasma for physical and chemical modifications. The surface modification was based on the concept of adsorption-desorption of plasma species and surface entities of films. Energetic chemical species of microwave plasma are adsorbed, leading to desorbtion of the surface carbon atoms due to energy and momentum exchange. The interaction of such reactive species with DLC films enhanced the roughness, surface defects and dangling bonds of carbon atoms. Adsorbed hydrogen, oxygen and nitrogen formed a covalent network while saturating the dangling carbon bonds around the tetrahedral sp3 valency. The modified surface chemical affinity depends upon the charge carriers and electron covalency of the adsorbed atoms. The contact angle of chemically reconstructed surface increases when a water droplet interacts either through hydrogen or van dear Waals bonding. These weak interactions influenced the wetting property of the DLC surface to a great extent.

  15. Material Surface Characteristics and Plasma Performance in the Lithium Tokamak Experiment

    Science.gov (United States)

    Lucia, Matthew James

    The performance of a tokamak plasma and the characteristics of the surrounding plasma facing component (PFC) material surfaces strongly influence each other. Despite this relationship, tokamak plasma physics has historically been studied more thoroughly than PFC surface physics. The disparity is particularly evident in lithium PFC research: decades of experiments have examined the effect of lithium PFCs on plasma performance, but the understanding of the lithium surface itself is much less complete. This latter information is critical to identifying the mechanisms by which lithium PFCs affect plasma performance. This research focused on such plasma-surface interactions in the Lithium Tokamak Experiment (LTX), a spherical torus designed to accommodate solid or liquid lithium as the primary PFC. Surface analysis was accomplished via the novel Materials Analysis and Particle Probe (MAPP) diagnostic system. In a series of experiments on LTX, the MAPP x-ray photoelectron spectroscopy (XPS) and thermal desorption spectroscopy (TDS) capabilities were used for in vacuo interrogation of PFC samples. This represented the first application of XPS and TDS for in situ surface analysis of tokamak PFCs. Surface analysis indicated that the thin (dLi ˜ 100nm) evaporative lithium PFC coatings in LTX were converted to Li2O due to oxidizing agents in both the residual vacuum and the PFC substrate. Conversion was rapid and nearly independent of PFC temperature, forming a majority Li2O surface within minutes and an entirely Li2O surface within hours. However, Li2O PFCs were still capable of retaining hydrogen and sequestering impurities until the Li2 O was further oxidized to LiOH, a process that took weeks. For hydrogen retention, Li2O PFCs retained H+ from LTX plasma discharges, but no LiH formation was observed. Instead, results implied that H+ was only weakly-bound, such that it almost completely outgassed as H 2 within minutes. For impurity sequestration, LTX plasma performance

  16. Moderate plasma treatment enhances the quality of optically detected magnetic resonance signals of nitrogen-vacancy centres in nanodiamonds

    Science.gov (United States)

    Sotoma, Shingo; Igarashi, Ryuji; Shirakawa, Masahiro

    2016-05-01

    We demonstrate that a moderate plasma treatment increases the quality of optically detected magnetic resonance (ODMR) signals from negatively charged nitrogen-vacancy centres in nanodiamonds (NDs). We measured the statistics of the ODMR spectra of 50-nm-size NDs before and after plasma treatment. We then evaluated each ODMR spectrum in terms of fluorescence and ODMR intensities, line width and signal-to-noise (SN) ratio. Our results showed that plasma treatment for more than 10 min contributes to higher-quality ODMR signals, i.e. signals that are brighter, stronger, sharper and have a higher SN ratio. We showed that such signal improvement is due to alteration of the surface chemical states of the NDs by the plasma treatment. Our study contributes to the advancement of biosensing applications using ODMR of NDs.

  17. Plasma surface functionalization and dyeing kinetics of Pan-Pmma copolymers

    Science.gov (United States)

    Labay, C.; Canal, C.; Rodríguez, C.; Caballero, G.; Canal, J. M.

    2013-10-01

    Fiber surface modification with air corona plasma has been studied through dyeing kinetics under isothermal conditions at 30 °C on an acrylic-fiber fabric with a cationic dye (CI Basic Blue 3) analyzing the absorption, desorption and fixing on the surface of molecules having defined cationic character. The initial dyeing rate in the first 60 s indicates an increase of 58.3% in the dyeing rate due to the effect of corona plasma on the acrylic fiber surface. At the end of the dyeing process, the plasma-treated fabrics absorb 24.7% more dye, and the K/S value of the acrylic fabric increases by 8.8%. With selected dyestuff molecules, new techniques can be designed to amplify the knowledge about plasma-treated surface modifications of macromolecules.

  18. Metastable states of plasma particles close to a charged surface

    Energy Technology Data Exchange (ETDEWEB)

    Shavlov, A. V., E-mail: shavlov@ikz.ru [The Institute of the Earth Cryosphere, RAS Siberian branch, 625000, P.O. 1230, Tyumen (Russian Federation); Tyumen State Oil and Gas University, 38, Volodarskogo St., 625000, Tyumen (Russian Federation); Dzhumandzhi, V. A. [The Institute of the Earth Cryosphere, RAS Siberian branch, 625000, P.O. 1230, Tyumen (Russian Federation)

    2015-09-15

    The free energy of the plasma particles and the charged surface that form an electroneutral system is calculated on the basis of the Poisson-Boltzmann equation. It is shown that, owing to correlation of light plasma particles near the charged surface and close to heavy particles of high charge, there can be metastable states in plasma. The corresponding phase charts of metastable states of the separate components of plasma, and plasma as a whole, are constructed. These charts depend on temperature, the charge magnitude, the size of the particles, and the share of the charge of the light carriers out of the total charge of the plasma particles.

  19. Plasma surface interactions in Q-enhanced mirror systems

    International Nuclear Information System (INIS)

    Post, R.F.

    1978-01-01

    Two approaches to enhancement of the Q (energy gain) factor of mirror systems are under study at Livermore. These include the Tandem Mirror and the Field Reversed Mirror. Both of these new ideas preserve features of conventional mirror systems as far as plasma-wall interactions are concerned. Specifically in both approaches field lines exit from the ends of the system and impinge on walls located at a distance from the confinement chamber. It is possible to predict some aspects of the plasma/surface interactions of TM and FRM systems from experience obtained in the Livermore 2XIIB experiment. In particular, as observed in 2XIIB, effective isolation of the plasma from thermal contact with the ends owing to the development of sheath-like regions is to be expected. Studies presently underway directed toward still further enhancing the decoupling of the plasma from the effects of plasma surface interactions at the walls will be discussed, with particular reference to the problem of minimizing the effects of refluxing secondary electrons produced by plasma impact on the end walls

  20. Improving the work function of the niobium surface of SRF cavities by plasma processing

    International Nuclear Information System (INIS)

    Tyagi, P.V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-01-01

    Highlights: • An in situ plasma processing for SNS SRF cavities has been developed to remove hydrocarbons from cavity surface. • Reactive oxygen plasma is very effective to remove hydrocarbons from niobium top surface. • Reactive oxygen plasma processing increases the work function of niobium surface in the range of 0.5–1.0 eV. • It was observed that hydrocarbons can migrate at plasma cleaned top surface from near surface regions when waiting in vacuum at room temperature. • Multiple cycles of plasma processing with waiting periods in between was found beneficial to mitigate such hydrocarbons migration at plasma cleaned surface. - Abstract: An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5–1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  1. Ambient plasma treatment of silicon wafers for surface passivation recovery

    Science.gov (United States)

    Ge, Jia; Prinz, Markus; Markert, Thomas; Aberle, Armin G.; Mueller, Thomas

    2017-08-01

    In this work, the effect of an ambient plasma treatment powered by compressed dry air on the passivation quality of silicon wafers coated with intrinsic amorphous silicon sub-oxide is investigated. While long-time storage deteriorates the effective lifetime of all samples, a short ambient plasma treatment improves their passivation qualities. By studying the influence of the plasma treatment parameters on the passivation layers, an optimized process condition was identified which even boosted the passivation quality beyond its original value obtained immediately after deposition. On the other hand, the absence of stringent requirement on gas precursors, vacuum condition and longtime processing makes the ambient plasma treatment an excellent candidate to replace conventional thermal annealing in industrial heterojunction solar cell production.

  2. Surface resistivity measurement of plasma treated polymers

    International Nuclear Information System (INIS)

    Simon, D.; Pigram, P.J.; Liesegang, J.

    2000-01-01

    Full text: Resistivity of insulators is an important property of materials used within the integrated circuit and packaging industries. The measurement of electrical resistivity of insulator materials in the surface region in this work is interpreted through observations of surface charge decay. A self-field driven and diffusion charge transport theory is used to model the process and resistivity values obtained computationally. Data for the charge decay of surface charged samples are collected by suspending them inside a coaxial cylinder connected to an electrometer. Samples used have been low density polyethylene LDPE sheet, both pristine and surface treated. Some samples have been treated by air plasma at low vacuum pressures for different periods of time; others have been washed in ethyl acetate and then plasma treated before the resistivity measurement. The sets of resistivity measurements form the various treatments are compared below. X-ray photoelectron spectroscopy (XPS) has also been used to investigate and account for the observed variations in surface resistivity

  3. Parametric plasma surface instabilities with p-polarized radiation

    International Nuclear Information System (INIS)

    Rappaport, H.L.

    1994-01-01

    The authors argue that parametric plasma surface mode excitation is a viable broadband instability mechanism in the microwave regime since the wavelength of incident radiation can be large compared to plasma ion density gradient scale lengths. The authors restrict their attention to plasmas which are uniform in the planes perpendicular to the density gradients. The boundary region is characterized by three parameters: (1) the ion density gradient length; (2) the electron Debye length; and (3) the excursion of boundary electrons as they move in response to monochromatic p-polarized radiation. A thin vacuum plasma transition layer, in which the ion density gradient scale length is large compared with the Debye length and the electron excursion, is included in the analysis of plasma stability. The recently proposed Lagrangian Frame Two-Plasmon Decay mode (LFTPD) is investigated in the regime in which the instability is not resonantly coupled to surface waves propagating along the boundary region. In this case they have found both spatially dependent growth rate profiles and spatially dependent transit layer magnetic fields due to nonlinear surface currents. LFTPD growth rate profiles are displayed as a function of pump amplitude. The results of a time domain simulation of this mode is also shown

  4. Nuclear Fusion Research Understanding Plasma-Surface Interactions

    CERN Document Server

    Clark, Robert E.H

    2005-01-01

    It became clear in the early days of fusion research that the effects of the containment vessel (erosion of "impurities") degrade the overall fusion plasma performance. Progress in controlled nuclear fusion research over the last decade has led to magnetically confined plasmas that, in turn, are sufficiently powerful to damage the vessel structures over its lifetime. This book reviews current understanding and concepts to deal with this remaining critical design issue for fusion reactors. It reviews both progress and open questions, largely in terms of available and sought-after plasma-surface interaction data and atomic/molecular data related to these "plasma edge" issues.

  5. Atmospheric-Pressure Plasma Jet Surface Treatment for Use in Improving Adhesion

    Energy Technology Data Exchange (ETDEWEB)

    Kuettner, Lindsey Ann [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-09-06

    Atmospheric-pressure plasma jets (APPJs) are a method of plasma treatment that plays an important role in material processing and modifying surface properties of materials, especially polymers. Gas plasmas react with polymer surfaces in numerous ways such as oxidation, radical formation, degradation, and promotion of cross-linking. Because of this, gas and plasma conditions can be explored for chosen processes to maximize desired properties. The purpose of this study is to investigate plasma parameters in order to modify surface properties for improved adhesion between aluminum and epoxy substrates using two types of adhesives. The background, results to date, and future work will be discussed.

  6. Excellent Silicon Surface Passivation Achieved by Industrial Inductively Coupled Plasma Deposited Hydrogenated Intrinsic Amorphous Silicon Suboxide

    Directory of Open Access Journals (Sweden)

    Jia Ge

    2014-01-01

    Full Text Available We present an alternative method of depositing a high-quality passivation film for heterojunction silicon wafer solar cells, in this paper. The deposition of hydrogenated intrinsic amorphous silicon suboxide is accomplished by decomposing hydrogen, silane, and carbon dioxide in an industrial remote inductively coupled plasma platform. Through the investigation on CO2 partial pressure and process temperature, excellent surface passivation quality and optical properties are achieved. It is found that the hydrogen content in the film is much higher than what is commonly reported in intrinsic amorphous silicon due to oxygen incorporation. The observed slow depletion of hydrogen with increasing temperature greatly enhances its process window as well. The effective lifetime of symmetrically passivated samples under the optimal condition exceeds 4.7 ms on planar n-type Czochralski silicon wafers with a resistivity of 1 Ωcm, which is equivalent to an effective surface recombination velocity of less than 1.7 cms−1 and an implied open-circuit voltage (Voc of 741 mV. A comparison with several high quality passivation schemes for solar cells reveals that the developed inductively coupled plasma deposited films show excellent passivation quality. The excellent optical property and resistance to degradation make it an excellent substitute for industrial heterojunction silicon solar cell production.

  7. Harmonic surface wave propagation in plasma

    International Nuclear Information System (INIS)

    Shivarova, A.; Stoychev, T.

    1980-01-01

    Second order harmonic surface waves generated by one fundamental high-frequency surface wave are investigated experimentally in gas discharge plasma. Two types of harmonic waves of equal frequency, associated with the linear dispersion relation and the synchronism conditions relatively propagate. The experimental conditions and the different space damping rates of the waves ensure the existence of different spatial regions (consecutively arranged along the plasma column) of a dominant propagation of each one of these two waves. Experimental data are obtained both for the wavenumbers and the space damping rates by relatively precise methods for wave investigations such as the methods of time-space diagrams and of phase shift measurements. The results are explained by the theoretical model for nonlinear mixing of dispersive waves. (author)

  8. Surface Modification of Metals using Plasma Torch

    International Nuclear Information System (INIS)

    Hassan, A.

    2009-01-01

    Low temperature plasma nitriding of 304L stainless steel is performed using a home made low power direct-current plasma torch. Plasma nitriding is carried out in temperature range of 300-550 degree C for 1 to 4 hours, in various N 2 H 2 gas mixture ratios at about 5 Torr pressure and torch power 300 Watts. The effect of treatment time, temperature and working gas composition on the microstructure and mechanical properties of plasma nitrided surface layers is investigated. The microstructure, phase composition and micro hardness profile of the nitrided surface layers are characterized by optical microscopy, scanning electron microscope (SEM), X-ray diffraction (XRD) and Vickers micro hardness tester. The results show that plasma treatment for 14 h over a temperature range of 300 - 550 degree C yields nitride case depth of 20 - 50 μm and the hardness of the nitrided layer is in the range of 700-1250 HV. Plasma nitriding of stainless steel samples at about 475 degree C in 70 % of nitrogen admixed with hydrogen at 5 torr shows the maximum increase of hardness 1220 HV which is about four times that of untreated layers. The XRD pattern confirmed the formation of an expanded austenite .N phase, due to the nitrogen incorporation into original lattice and forms supersaturated face center cubic phase. In addition preliminary results for aluminum nitriding is also shown

  9. Plasma facing surface composition during NSTX Li experiments

    Energy Technology Data Exchange (ETDEWEB)

    Skinner, C.H., E-mail: cskinner@pppl.gov [Princeton Plasma Physics Laboratory, POB 451, Princeton, NJ 08543 (United States); Sullenberger, R. [Department of Mechanical and Aerospace Engineering, Princeton University, NJ 08540 (United States); Koel, B.E. [Department of Chemical and Biological Engineering, Princeton University, NJ 08540 (United States); Jaworski, M.A.; Kugel, H.W. [Princeton Plasma Physics Laboratory, POB 451, Princeton, NJ 08543 (United States)

    2013-07-15

    Lithium conditioned plasma facing surfaces have lowered recycling and enhanced plasma performance on many fusion devices. However, the nature of the plasma–lithium surface interaction has been obscured by the difficulty of in-tokamak surface analysis. We report laboratory studies of the chemical composition of lithium surfaces exposed to typical residual gases found in tokamaks. Solid lithium and a molybdenum alloy (TZM) coated with lithium have been examined using X-ray photoelectron spectroscopy, temperature programmed desorption, and Auger electron spectroscopy both in ultrahigh vacuum conditions and after exposure to trace gases. Lithium surfaces near room temperature were oxidized after exposure to 1–2 Langmuirs of oxygen or water vapor. The oxidation rate by carbon monoxide was four times less. Lithiated PFC surfaces in tokamaks will be oxidized in about 100 s depending on the tokamak vacuum conditions.

  10. On solitary surface waves in cold plasmas

    International Nuclear Information System (INIS)

    Vladimirov, S.V.; Yu, M.Y.; Stenflo, L.

    1993-01-01

    A new type of nonlinear electromagnetic solitary surface waves propagating along the boundary of a cold plasma is discussed. These waves are described by a novel nonlinear evolution equation, obtained when the nonlinear surface currents at the boundary are taken into consideration. (Author)

  11. Correlation between the plasma characteristics and the surface chemistry of plasma-treated polymers through partial least-squares analysis.

    Science.gov (United States)

    Mavadat, Maryam; Ghasemzadeh-Barvarz, Massoud; Turgeon, Stéphane; Duchesne, Carl; Laroche, Gaétan

    2013-12-23

    We investigated the effect of various plasma parameters (relative density of atomic N and H, plasma temperature, and vibrational temperature) and process conditions (pressure and H2/(N2 + H2) ratio) on the chemical composition of modified poly(tetrafluoroethylene) (PTFE). The plasma parameters were measured by means of near-infrared (NIR) and UV-visible emission spectroscopy with and without actinometry. The process conditions of the N2-H2 microwave discharges were set at various pressures ranging from 100 to 2000 mTorr and H2/(N2+H2) gas mixture ratios between 0 and 0.4. The surface chemical composition of the modified polymers was determined by X-ray photoelectron spectroscopy (XPS). A mathematical model was constructed using the partial least-squares regression algorithm to correlate the plasma information (process condition and plasma parameters as determined by emission spectroscopy) with the modified surface characteristics. To construct the model, a set of data input variables containing process conditions and plasma parameters were generated, as well as a response matrix containing the surface composition of the polymer. This model was used to predict the composition of PTFE surfaces subjected to N2-H2 plasma treatment. Contrary to what is generally accepted in the literature, the present data demonstrate that hydrogen is not directly involved in the defluorination of the surface but rather produces atomic nitrogen and/or NH radicals that are shown to be at the origin of fluorine atom removal from the polymer surface. The results show that process conditions alone do not suffice in predicting the surface chemical composition and that the plasma characteristics, which cannot be easily correlated with these conditions, should be considered. Process optimization and control would benefit from plasma diagnostics, particularly infrared emission spectroscopy.

  12. Plasmas for the low-temperature growth of high-quality GaN films by molecular beam epitaxy and remote plasma MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Capezzuto, P.; Bruno, G. [Plasmachemistry Research Center, CNR, Bari (Italy); Namkoong, G.; Doolittle, W.A.; Brown, A.S. [Georgia Inst. of Tech., Atlanta (United States). School of Electrical and Computer Engineering, Microelectronic Research Center

    2002-03-16

    GaN heteroepitaxial growth on sapphire (0001) substrates was carried out by both radio-frequency (rf) remote plasma metalorganic chemical vapor deposition (RP-MOCVD) and molecular beam epitaxy (MBE). A multistep growth process including substrate plasma cleaning and nitridation, buffer growth, its subsequent annealing and epilayer growth was used. In order to achieve a better understanding of the GaN growth, in-situ real time investigation of the surface chemistry is performed for all the steps using the conventional reflection high-energy electron spectroscopy (RHEED) during the MBE process, while laser reflectance interferometry (LRI) and spectroscopic ellipsometry (SE), which do not require UHV conditions, are used for the monitoring of the RP-MOCVD process. The chemistry of the rf N{sub 2} plasma sapphire nitridation and its effect on the epilayer growth and quality are discussed in both MBE and RP-MOCVD. (orig.)

  13. Numerical investigation of three-dimensional single-species plasma equilibria on magnetic surfaces

    International Nuclear Information System (INIS)

    Lefrancois, Remi G.; Pedersen, Thomas Sunn; Boozer, Allen H.; Kremer, Jason P.

    2005-01-01

    Presented for the first time are numerical solutions to the three-dimensional nonlinear equilibrium equation for single-species plasmas confined on magnetic surfaces and surrounded by an equipotential boundary. The major-radial shift of such plasmas is found to be outward, qualitatively similar to the Shafranov shift of quasineutral plasmas confined on magnetic surfaces. However, this is the opposite of what occurs in the pure toroidal field equilibria of non-neutral plasmas (i.e., in the absence of magnetic surfaces). The effect of varying the number of Debye lengths in the plasma for the three-dimensional (3D) model is in agreement with previous 2D calculations: the potential varies significantly on magnetic surfaces for plasmas with few Debye lengths (a d ), and tends to be constant on surfaces when many Debye lengths are present (a > or approx. 10λ d ). For the case of a conducting boundary that does not conform to the outer magnetic surface, the plasma is shifted towards the conductor and the potential varies significantly on magnetic surfaces near the plasma edge. Debye shielding effects are clearly demonstrated when a nonuniform bias is applied to the boundary. Computed equilibrium profiles are presented for the Columbia Non-Neutral Torus [T. S. Pedersen, A. H. Boozer, J. P. Kermer, R. Lefrancois, F. Dahlgren, N. Pomphrey, W. Reiersen, and W. Dorland, Fusion Sci. Technol. 46, 200 (2004)], a stellarator designed to confine non-neutral plasmas

  14. Kinetic theory of surface waves in plasma jets

    International Nuclear Information System (INIS)

    Shokri, B.

    2002-01-01

    The kinetic theory analysis of surface waves propagating along a semi-bounded plasma jet is presented. The frequency spectra and their damping rate are obtained in both the high and low frequency regions. Finally, the penetration of the static field in the plasma jet under the condition that the plasma jet velocity is smaller than the sound velocity is studied

  15. Nanomechanical and nanotribological properties of plasma nanotextured superhydrophilic and superhydrophobic polymeric surfaces

    International Nuclear Information System (INIS)

    Skarmoutsou, A; Charitidis, C A; Gnanappa, A K; Tserepi, A; Gogolides, E

    2012-01-01

    Oxygen plasma-induced surface modification of polymethylmethacrylate (PMMA), under plasma conditions favouring (maximizing) roughness formation, has been shown to create textured surfaces of roughness size and morphology dependent on the plasma-treatment time and subsequent morphology stabilization procedure. Superhydrophobic or superhydrophilic surfaces can thus be obtained, with potential applications in antireflective self-cleaning surfaces, microfluidics, wetting–dewetting control, anti-icing etc, necessitating determination of their mechanical properties. In this study, nanoindentation is used to determine the reduced modulus and hardness of the surface, while nanoscratch tests are performed to measure the coefficient of friction. The data are combined to assess the wear behaviour of such surfaces as a first guide for their practical applications. Short-time plasma treatment slightly changes mechanical, tribological and wear properties compared to untreated PMMA. However, a significant decrease in the reduced modulus and hardness and an increase in the coefficient of friction are observed after long plasma-treatment times. The C 4 F 8 plasma deposited thin hydrophobic layer on the polymeric surfaces (untreated and treated) reveals good adhesion, while its mechanical properties are greatly influenced by the substrate; it is also found that it effectively protects the polymeric surfaces, reducing plastic deformation. (paper)

  16. Propagation of high frequency electrostatic surface waves along the planar interface between plasma and dusty plasma

    Science.gov (United States)

    Mishra, Rinku; Dey, M.

    2018-04-01

    An analytical model is developed that explains the propagation of a high frequency electrostatic surface wave along the interface of a plasma system where semi-infinite electron-ion plasma is interfaced with semi-infinite dusty plasma. The model emphasizes that the source of such high frequency waves is inherent in the presence of ion acoustic and dust ion acoustic/dust acoustic volume waves in electron-ion plasma and dusty plasma region. Wave dispersion relation is obtained for two distinct cases and the role of plasma parameters on wave dispersion is analyzed in short and long wavelength limits. The normalized surface wave frequency is seen to grow linearly for lower wave number but becomes constant for higher wave numbers in both the cases. It is observed that the normalized frequency depends on ion plasma frequencies when dust oscillation frequency is neglected.

  17. Plasma etching treatment for surface modification of boron-doped diamond electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Kondo, Takeshi [Department of Industrial Chemistry, Faculty of Engineering, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan); Ito, Hiroyuki [Department of Industrial Chemistry, Faculty of Engineering, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan); Kusakabe, Kazuhide [Department of Applied Physics, Faculty of Science, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan); Ohkawa, Kazuhiro [Department of Applied Physics, Faculty of Science, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan); Einaga, Yasuaki [Department of Chemistry, Faculty of Science and Technology, Keio University, 3-14-1 Hiyoshi, Yokohama 223-8522 (Japan); Fujishima, Akira [Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu-ku, Kawasaki, Kanagawa 213-0012 (Japan); Kawai, Takeshi [Department of Industrial Chemistry, Faculty of Engineering, Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku, Tokyo 162-8601 (Japan)]. E-mail: kawai@ci.kagu.tus.ac.jp

    2007-03-01

    Boron-doped diamond (BDD) thin film surfaces were modified by brief plasma treatment using various source gases such as Cl{sub 2}, CF{sub 4}, Ar and CH{sub 4}, and the electrochemical properties of the surfaces were subsequently investigated. From X-ray photoelectron spectroscopy analysis, Cl and F atoms were detected on the BDD surfaces after 3 min of Cl{sub 2} and CF{sub 4} plasma treatments, respectively. From the results of cyclic voltammetry and electrochemical AC impedance measurements, the electron-transfer rate for Fe(CN){sub 6} {sup 3-/4-} and Fe{sup 2+/3+} at the BDD electrodes was found to decrease after Cl{sub 2} and CF{sub 4} plasma treatments. However, the electron-transfer rate for Ru(NH{sub 3}){sub 6} {sup 2+/3+} showed almost no change after these treatments. This may have been related to the specific interactions of surface halogen (C-Cl and C-F) moieties with the redox species because no electrical passivation was observed after the treatments. In addition, Raman spectroscopy showed that CH{sub 4} plasma treatment of diamond surfaces formed an insulating diamond-like carbon thin layer on the surfaces. Thus, by an appropriate choice of plasma source, short-duration plasma treatments can be an effective way to functionalize diamond surfaces in various ways while maintaining a wide potential window and a low background current.

  18. Stability studies of plasma modification effects of polylactide and polycaprolactone surface layers

    Energy Technology Data Exchange (ETDEWEB)

    Moraczewski, Krzysztof, E-mail: kmm@ukw.edu.pl [Kazimierz Wielki University, Chodkiewicza 30, 85-064 Bydgoszcz (Poland); Stepczyńska, Magdalena [Kazimierz Wielki University, Chodkiewicza 30, 85-064 Bydgoszcz (Poland); Malinowski, Rafał [Institute for Engineering of Polymer Materials and Dyes, Marii Skłodowskiej-Curie 55, 87‐100 Toruń (Poland); Rytlewski, Piotr; Jagodziński, Bartłomiej; Żenkiewicz, Marian [Kazimierz Wielki University, Chodkiewicza 30, 85-064 Bydgoszcz (Poland)

    2016-07-30

    Highlights: • Plasma modification affects surface roughness, wettability and surface energy. • Polylactide and polycaprolactone aging causes decay of the modification effects. • Changes in the surface characteristic and wettability deterioration were observed. • The decay occurs due to migration of low molecular weight molecules to the surface. • Plasma modification effect lasts longer in the case of polycaprolactone. - Abstract: The article presents results of research on the stability of oxygen plasma modification effects of polylactide and polycaprolactone surface layers. The modified samples were aged for three, six or nine weeks. The studies were carried out using scanning electron microscopy, goniometry and Fourier transform infrared spectroscopy. Studies have shown that the plasma modification has significant impact on the geometric structure and chemical composition of the surface, wettability and surface energy of tested polymers. The modification effects are not permanent. It has been observed that over time the effects of plasma modification fade. Studies have shown that modifying effect lasts longer in the case of polycaprolactone.

  19. Surface properties of activated carbon treated by cold plasma heating

    Energy Technology Data Exchange (ETDEWEB)

    Norikazu, Kurano [Shigematsu works Co. Ltd., 267 Yashita, Iwatsuki 3390046 (Japan); Yamada, Hiroshi [Shigematsu works Co. Ltd., 267 Yashita, Iwatsuki 3390046 (Japan); Yajima, Tatsuhiko [Faculty of Engineering, Saitama Institute of Technology, 1690 Fusoiji, Okabe 3690293 (Japan); Sugiyama, Kazuo [Faculty of Engineering, Saitama University, 255 Shimo-okubo, Sakura-Ku, Saitama 3388570 (Japan)]. E-mail: sugi@apc.saitama-u.ac.jp

    2007-03-12

    To modify the surface properties of activated carbon powders, we have applied the cold plasma treatment method. The cold plasma was used to be generated in the evacuated reactor vessel by 2.45 GHz microwave irradiation. In this paper, changes of surface properties such as distribution of acidic functional groups and roughness morphology were examined. By the cold plasma treatment, activated carbons with large specific surface area of ca. 2000 m{sup 2}/g or more could be prepared in a minute. The amount of every gaseous organic compound adsorbed on the unit gram of treated activated carbons was more increased that on the unit gram of untreated carbons. Especially, the adsorbed amount of carbon disulfide was remarkably increased even if it was compared by the amount per unit surface area. These results suggest that the surface property of the sample was modified by the plasma treatment. It became apparent by observing SEM photographs that dust and impure particles in macropores of activated carbons were far more reduced by the plasma treatment than by the conventional heating in an electric furnace under vacuum. In addition, a bubble-like surface morphology of the sample was observed by AEM measurement. The amount of acidic functional groups at the surface was determined by using the Boehm's titration method. Consequently, the increase of lactone groups and the decrease of carboxyl groups were also observed.

  20. Parametric plasma surface instabilities with s-polarized radiation

    International Nuclear Information System (INIS)

    Rappaport, H.L.

    1994-01-01

    The authors argue that parametric plasma surface mode excitation is a viable broadband instability mechanism in the microwave regime since the wavelength of incident radiation ca be large compared to plasma ion density gradient scale lengths. They restrict their attention to plasmas which are uniform in the planes perpendicular to the density gradients. The boundary is characterized by three parameters: (1) the ion density gradient scale length, (2) the electron Debye length, and (3) the excursion of boundary electrons as they move in response to monochromatic radiation. For s-polarized radiation, equilibrium fluid motion is parallel to the boundary when the ratio of the pump quiver velocity to the speed of light is small. In this case, an abruptly bounded plasma may be modeled with no transition width. If in this case the cold fluid approximation is used as well, the specular and diffuse boundary approximations become the same. A new formation is presented in which pump induced perturbations are expressed as an explicit superposition of linear and non-linear plasma half-space modes. A four-wave interaction is found to produce instability as well as surface wave frequency-shift. This mode is compared against other modes known to exist in this geometry. The theory of surface wave linear mode conversion is reviewed with special attention paid to power flow and energy conservation in this system

  1. Decontamination of radioactive metal surfaces by plasma arc gouging

    International Nuclear Information System (INIS)

    Osamu, K.; Makoto, K.; Takao, K.

    1983-01-01

    Experiments have been carried out to develop a new decontamination method that applies plasma arc gouging for removal of a thin surface layer from radioactively contaminated metallic wastes. Plasma arc gouging has been carried out on stainless steel and carbon steel pipes. The torch nozzle and gouging angle have been optimized to increase the decontamination rate. A water film is formed on the pipe surface to reduce both dust concentration in the off-gas and prevent slag particles, which are splashed up by the plasma gas, from adhering to the gouged surface. Using chromium-electroplated carbon steel pipes as samples, a decontamination factor of >10 3 is obtained after gouging to a depth of about0.5 mm in combination with ultrasonic cleaning

  2. Cracks and nanodroplets produced on tungsten surface samples by dense plasma jets

    Science.gov (United States)

    Ticoş, C. M.; Galaţanu, M.; Galaţanu, A.; Luculescu, C.; Scurtu, A.; Udrea, N.; Ticoş, D.; Dumitru, M.

    2018-03-01

    Small samples of 12.5 mm in diameter made from pure tungsten were exposed to a dense plasma jet produced by a coaxial plasma gun operated at 2 kJ. The surface of the samples was analyzed using a scanning electron microscope (SEM) before and after applying consecutive plasma shots. Cracks and craters were produced in the surface due to surface tensions during plasma heating. Nanodroplets and micron size droplets could be observed on the samples surface. An energy-dispersive spectroscopy (EDS) analysis revealed that the composition of these droplets coincided with that of the gun electrode material. Four types of samples were prepared by spark plasma sintering from powders with the average particle size ranging from 70 nanometers up to 80 μm. The plasma power load to the sample surface was estimated to be ≈4.7 MJ m-2 s-1/2 per shot. The electron temperature and density in the plasma jet had peak values 17 eV and 1.6 × 1022 m-3, respectively.

  3. Surface modification of nanoporous alumina membranes by plasma polymerization

    Energy Technology Data Exchange (ETDEWEB)

    Losic, Dusan; Cole, Martin A; Dollmann, Bjoern; Vasilev, Krasimir; Griesser, Hans J [Ian Wark Research Institute, University of South Australia, Mawson Lakes, Adelaide, SA 5095 (Australia)], E-mail: dusan.losic@unisa.edu.au

    2008-06-18

    The deposition of plasma polymer coatings onto porous alumina (PA) membranes was investigated with the aim of adjusting the surface chemistry and the pore size of the membranes. PA membranes from commercial sources with a range of pore diameters (20, 100 and 200 nm) were used and modified by plasma polymerization using n-heptylamine (HA) monomer, which resulted in a chemically reactive polymer surface with amino groups. Heptylamine plasma polymer (HAPP) layers with a thickness less than the pore diameter do not span the pores but reduce their diameter. Accordingly, by adjusting the deposition time and thus the thickness of the plasma polymer coating, it is feasible to produce any desired pore diameter. The structural and chemical properties of modified membranes were studied by scanning electron microscopy (SEM), atomic force microscopy (AFM) and x-ray electron spectroscopy (XPS). The resultant PA membranes with specific surface chemistry and controlled pore size are applicable for molecular separation, cell culture, bioreactors, biosensing, drug delivery, and engineering complex composite membranes.

  4. Surface modification of nanoporous alumina membranes by plasma polymerization

    International Nuclear Information System (INIS)

    Losic, Dusan; Cole, Martin A; Dollmann, Bjoern; Vasilev, Krasimir; Griesser, Hans J

    2008-01-01

    The deposition of plasma polymer coatings onto porous alumina (PA) membranes was investigated with the aim of adjusting the surface chemistry and the pore size of the membranes. PA membranes from commercial sources with a range of pore diameters (20, 100 and 200 nm) were used and modified by plasma polymerization using n-heptylamine (HA) monomer, which resulted in a chemically reactive polymer surface with amino groups. Heptylamine plasma polymer (HAPP) layers with a thickness less than the pore diameter do not span the pores but reduce their diameter. Accordingly, by adjusting the deposition time and thus the thickness of the plasma polymer coating, it is feasible to produce any desired pore diameter. The structural and chemical properties of modified membranes were studied by scanning electron microscopy (SEM), atomic force microscopy (AFM) and x-ray electron spectroscopy (XPS). The resultant PA membranes with specific surface chemistry and controlled pore size are applicable for molecular separation, cell culture, bioreactors, biosensing, drug delivery, and engineering complex composite membranes

  5. Surface impedance of travelling--Wave antenna in magnetized plasma

    International Nuclear Information System (INIS)

    Denisenko, I.B.; Ostrikov, K.N.

    1993-01-01

    Wave properties of metal antennas immersed in a magnetoactive plasma are intensively studied nowadays with the objects of radio communications in ionosphere, plasma heating, gas discharge technique. Many papers are devoted to studies of sheath waves (SW) in magnetoplasma, which are surface by nature and propagate along the metal-low-density sheath-plasma waveguide structure. The results of these papers suggest that the existence of these waves makes significant contribution in antenna impedance. Note that the impedance measurement is one of possible ways of experimental surface waves characterization. In the present report the surface impedance of travelling SW antenna immersed in magnetoactive plasma is calculated and its dependence on the waveguide structure parameters such as plasma density, external magnetic field H 0 and electrons collisional frequency values, sheath region width, conductivity of metal surface is studied. The calculations have been carried out in a quasiplane approximation, when antenna radius greatly exceeds the SW skin depth. Note that the finite conductivity of metal is necessary to be taken into account to provide a finite surface impedance value. The surface impedance is calculated in two cases, namely when SW propagate along (Ζ parallel ) and across (Ζ perpendicular ) the external magnetic field. The relation between the values Ζ parallel and Ζ perpendicular is obtained. This relation shows that the values Ζ parallel and Ζ parallel may satisfy both inequalities Ζ parallel much-gt Ζ perpendicular and Ζ perpendicular approx-gt Ζ perpendicular dependent on the parameters of the structure. The comparison of dispersion properties of the SW propagating along Η 0 with the experimental results is carried out. The results are shown to satisfactorily correspond to the experimental results

  6. Surface flute waves in plasmas theory and applications

    CERN Document Server

    Girka, Volodymyr; Thumm, Manfred

    2014-01-01

    The book presents results of a comprehensive study of various features of eigen electromagnetic waves propagating across the axis of plasma filled metal waveguides with cylindrical geometry. The authors collected in one book material on various features of surface flute waves, i. e. impact of waveguide design on wave dispersion, wave damping influenced by various reasons, impact of plasma density and external magnetic field inhomogeneity on the wave, and impact of waveguide corrugation and electric current on the wave. A variety of present surface waves applications and possible future applications is also included. Using the method of successive approximations it is shown how one can solve problems, which concern real experimental devices, starting from simple models. The book applies to both professionals dealing with problems of confined plasmas and to graduate and post-graduate students specializing in the field of plasma physics and related applications.

  7. Stem cell responses to plasma surface modified electrospun polyurethane scaffolds.

    Science.gov (United States)

    Zandén, Carl; Hellström Erkenstam, Nina; Padel, Thomas; Wittgenstein, Julia; Liu, Johan; Kuhn, H Georg

    2014-07-01

    The topographical effects from functional materials on stem cell behavior are currently of interest in tissue engineering and regenerative medicine. Here we investigate the influence of argon, oxygen, and hydrogen plasma surface modification of electrospun polyurethane fibers on human embryonic stem cell (hESC) and rat postnatal neural stem cell (NSC) responses. The plasma gases were found to induce three combinations of fiber surface functionalities and roughness textures. On randomly oriented fibers, plasma treatments lead to substantially increased hESC attachment and proliferation as compared to native fibers. Argon plasma was found to induce the most optimal combination of surface functionality and roughness for cell expansion. Contact guided migration of cells and alignment of cell processes were observed on aligned fibers. Neuronal differentiation around 5% was found for all samples and was not significantly affected by the induced variations of surface functional group distribution or individual fiber topography. In this study the influence of argon, oxygen, and hydrogen plasma surface modification of electrospun polyurethane fibers on human embryonic stem cell and rat postnatal neural stem cell (NSC) responses is studied with the goal of clarifying the potential effects of functional materials on stem cell behavior, a topic of substantial interest in tissue engineering and regenerative medicine. Copyright © 2014 Elsevier Inc. All rights reserved.

  8. Surface modification of polyethylene by plasma; Modificacion superficial de polietileno por plasma

    Energy Technology Data Exchange (ETDEWEB)

    Colin O, E

    2003-07-01

    The products made of polyethylene (PE) go from construction materials, electric insulating until packing material. The films for bags and pack occupy 83.6% of the distribution of the market of PE approximately. The enormous quantity of PE that is generated by its indiscriminate use brings as consequence a deterioration to the atmosphere, due to the long life that they present as waste. This work is a study on the modification of low density polyethylene films. In this type of thin materials, the changes in the surface meet with largely on the conformation of the rest of the material. To induce changes that modify the surface of PE, plasmas were used with reactive atmospheres of air, oxygen and nitrogen. The experimentation that was carries out went to introduce the PE to a cylindrical reactor where it was generated the plasma of air, oxygen and nitrogen to different times of exposure. After having carried out the exposure to the plasma, it was found that in the polyethylene it modifies their morphology, crystallinity, hydrophobicity, composition and electric conductivity. The analytical techniques that were used to characterize later to the polyethylene of being in contact with the plasma were: X-ray diffraction, Scanning Electron Microscopy, Infrared spectroscopy, Electric conductivity, Angle of contact and finally Thermal Gravimetric Analysis. The content of this work it is presented in five chapters: In the chapter 1 there are presented some general concepts of plasma and of the one polymer in study PE. In the chapter 2 it is made a general revision on modification of surfaces, as well as the properties that were modified in polymeric materials that were exposed to plasma in previous works. In the chapter 3 the experimental part and the conditions used are described in the modification of the PE. Also in this chapter a brief description it is made of the used characterization techniques. The results and discussion are presented in the chapter 4. These results

  9. Ground Simulations of Near-Surface Plasma Field and Charging at the Lunar Terminator

    Science.gov (United States)

    Polansky, J.; Ding, N.; Wang, J.; Craven, P.; Schneider, T.; Vaughn, J.

    2012-12-01

    Charging in the lunar terminator region is the most complex and is still not well understood. In this region, the surface potential is sensitively influenced by both solar illumination and plasma flow. The combined effects from localized shadow generated by low sun elevation angles and localized wake generated by plasma flow over the rugged terrain can generate strongly differentially charged surfaces. Few models currently exist that can accurately resolve the combined effects of plasma flow and solar illumination over realistic lunar terminator topographies. This paper presents an experimental investigation of lunar surface charging at the terminator region in simulated plasma environments in a vacuum chamber. The solar wind plasma flow is simulated using an electron bombardment gridded Argon ion source. An electrostatic Langmuir probe, nude Faraday probes, a floating emissive probe, and retarding potential analyzer are used to quantify the plasma flow field. Surface potentials of both conducting and dielectric materials immersed in the plasma flow are measured with a Trek surface potential probe. The conducting material surface potential will simultaneously be measured with a high impedance voltmeter to calibrate the Trek probe. Measurement results will be presented for flat surfaces and objects-on-surface for various angles of attack of the plasma flow. The implications on the generation of localized plasma wake and surface charging at the lunar terminator will be discussed. (This research is supported by the NASA Lunar Advanced Science and Exploration Research program.)

  10. Surface modification of argon/oxygen plasma treated vulcanized ethylene propylene diene polymethylene surfaces for improved adhesion with natural rubber

    International Nuclear Information System (INIS)

    Basak, Ganesh C.; Bandyopadhyay, Abhijit; Neogi, Sudarsan; Bhowmick, Anil K.

    2011-01-01

    Vulcanized ethylene propylene diene polymethylene (EPDM) rubber surface was treated in a radio frequency capacitatively coupled low pressure argon/oxygen plasma to improve adhesion with compounded natural rubber (NR) during co-vulcanization. The plasma modified surfaces were analyzed by means of contact angle measurement, surface energy, attenuated total reflection-infrared spectroscopy, X-ray photoelectron spectroscopy, scanning electron microscopy, energy dispersive X-ray sulfur mapping and atomic force microscopy. Several experimental variables such as plasma power, length of exposure time and composition of the argon-oxygen gas mixture were considered. It was delineated that plasma treatment changed both surface composition and roughness, and consequently increased peel strength. The change in surface composition was mainly ascribed to the formation of C-O and -C=O functional groups on the vulcanized surfaces. A maximum of 98% improvement in peel strength was observed after plasma treatment.

  11. Surface modification of argon/oxygen plasma treated vulcanized ethylene propylene diene polymethylene surfaces for improved adhesion with natural rubber

    Energy Technology Data Exchange (ETDEWEB)

    Basak, Ganesh C. [Rubber Technology Centre, Indian Institute of Technology, Kharagpur 721302 (India); Bandyopadhyay, Abhijit [Department of Polymer Science and Technology, University of Calcutta, Calcutta 700 009 (India); Neogi, Sudarsan [Department of Chemical Engineering, Indian Institute of Technology, Kharagpur 721302 (India); Bhowmick, Anil K., E-mail: anilkb@rtc.iitkgp.ernet.in [Rubber Technology Centre, Indian Institute of Technology, Kharagpur 721302 (India)

    2011-01-15

    Vulcanized ethylene propylene diene polymethylene (EPDM) rubber surface was treated in a radio frequency capacitatively coupled low pressure argon/oxygen plasma to improve adhesion with compounded natural rubber (NR) during co-vulcanization. The plasma modified surfaces were analyzed by means of contact angle measurement, surface energy, attenuated total reflection-infrared spectroscopy, X-ray photoelectron spectroscopy, scanning electron microscopy, energy dispersive X-ray sulfur mapping and atomic force microscopy. Several experimental variables such as plasma power, length of exposure time and composition of the argon-oxygen gas mixture were considered. It was delineated that plasma treatment changed both surface composition and roughness, and consequently increased peel strength. The change in surface composition was mainly ascribed to the formation of C-O and -C=O functional groups on the vulcanized surfaces. A maximum of 98% improvement in peel strength was observed after plasma treatment.

  12. Plasma etching to enhance the surface insulating stability of alumina for fusion applications

    Directory of Open Access Journals (Sweden)

    M. Malo

    2016-12-01

    Full Text Available A significant increase in the surface electrical conductivity of alumina, considered one of the most promising insulating materials for numerous applications in fusion devices, has been observed during ion bombardment in vacuum due to oxygen loss by preferential sputtering. Although this is expected to cause serious limitations to insulating components functionality, recent studies showed it is possible to restore the damaged lattice by oxygen reincorporation during thermal treatments in air. These studies also revealed a correlation between conductivity and ion beam induced luminescence, which is being used to monitor surface electrical conductivity degradation and help qualify the post irradiation recovery. Work now carried out for Wesgo alumina considers oxygen implantation and plasma etching as additional methods to improve recovered layer depth and quality. Both conductivity and luminescence results indicate the potential use of plasma etching not only for damage recovery, but also as a pre-treatment to enhance material stability during irradiation.

  13. Surface plasma source with saddle antenna radio frequency plasma generator.

    Science.gov (United States)

    Dudnikov, V; Johnson, R P; Murray, S; Pennisi, T; Piller, C; Santana, M; Stockli, M; Welton, R

    2012-02-01

    A prototype RF H(-) surface plasma source (SPS) with saddle (SA) RF antenna is developed which will provide better power efficiency for high pulsed and average current, higher brightness with longer lifetime and higher reliability. Several versions of new plasma generators with small AlN discharge chambers and different antennas and magnetic field configurations were tested in the plasma source test stand. A prototype SA SPS was installed in the Spallation Neutron Source (SNS) ion source test stand with a larger, normal-sized SNS AlN chamber that achieved unanalyzed peak currents of up to 67 mA with an apparent efficiency up to 1.6 mA∕kW. Control experiments with H(-) beam produced by SNS SPS with internal and external antennas were conducted. A new version of the RF triggering plasma gun has been designed. A saddle antenna SPS with water cooling is fabricated for high duty factor testing.

  14. Improved adhesion of Ag NPs to the polyethylene terephthalate surface via atmospheric plasma treatment and surface functionalization

    Science.gov (United States)

    Shen, Tao; Liu, Yong; Zhu, Yan; Yang, De-Quan; Sacher, Edward

    2017-07-01

    Ag nanoparticles (NPs) have been widely applied, as important antibacterial materials, on textile and polymer surfaces. However, their adhesion to nonreactive polymer surfaces is generally too weak for many applications. Here, we propose a two-step process, atmospheric plasma treatment followed by a surface chemical modification process, which enhances their adhesion to polyethylene terephthalate (PET) surfaces. We found that, compared to either plasma treatments or surface chemical functionalizations, alone, this combination greatly enhanced their adhesion. The plasma treatment resulted in an increase of active sites (sbnd OH, sbnd CHdbnd O and COOH) at the PET surface, permitting increased bonding to 3-aminopropyltriethoxysilane (APTES), whose sbnd NH2 groups were then able to form a bonding complex with the Ag NPs.

  15. Dust generation at interaction of plasma jet with surfaces

    Science.gov (United States)

    Ticos, Catalin; Toader, Dorina; Banu, Nicoleta; Scurtu, Adrian; Oane, Mihai

    2013-10-01

    Coatings of W and C with widths of a few microns will be exposed to plasma jet for studying the erosion of the surface and detachment of micron size dust particles. A coaxial plasma gun has been built inside a vacuum chamber for producing supersonic plasma jets. Its design is based on a 50 kJ coaxial plasma gun which has been successfully used for accelerating hypervelocity dust. Initial shots were carried out for a capacitor bank with C = 12 μF and charged up to 2 kV. Currents of tens of amps were measured with a Rogowsky coil and plasma flow speeds of 4 km/s were inferred from high-speed images of jet propagation. An upgrade consisting in adding capacitors in parallel will be performed in order to increase the energy up to 2 kJ. A coil will be installed at the gun muzzle to compress the plasma flow and increase the energy density of the jet on the sample surface. A CCD camera with a maximum recording speed of 100 k fps and a maximum resolution of 1024 × 1024 pixels was set for image acquisition of the plasma and dust. A laser system used to illuminate the ejected dust from the surface includes a laser diode emitting at 650 nm with a beam power of 25 mW. The authors acknowledge support from EURATOM WP13-IPH-A03-P2-02-BS22.

  16. Influence of atmospheric pressure plasma treatment on surface properties of PBO fiber

    International Nuclear Information System (INIS)

    Zhang Ruiyun; Pan Xianlin; Jiang Muwen; Peng Shujing; Qiu Yiping

    2012-01-01

    Highlights: ► PBO fibers were treated with atmospheric pressure plasmas. ► When 1% of oxygen was added to the plasma, IFSS increased 130%. ► Increased moisture regain could enhance plasma treatment effect on improving IFSS with long treatment time. - Abstract: In order to improve the interfacial adhesion property between PBO fiber and epoxy, the surface modification effects of PBO fiber treated by atmospheric pressure plasma jet (APPJ) in different time, atmosphere and moisture regain (MR) were investigated. The fiber surface morphology, functional groups, surface wettability for control and plasma treated samples were analyzed by scanning electron microscope (SEM), X-ray photoelectron spectroscopy (XPS) and water contact angle measurements, respectively. Meanwhile, the fiber interfacial shear strength (IFSS), representing adhesion property in epoxy, was tested using micro-bond pull-out test, and single fiber tensile strength was also tested to evaluate the mechanical performance loss of fibers caused by plasma treatment. The results indicated that the fiber surface was etched during the plasma treatments, the fiber surface wettability and the IFSS between fiber and epoxy had much improvement due to the increasing of surface energy after plasma treatment, the contact angle decreased with the treatment time increasing, and the IFSS was improved by about 130%. The processing atmosphere could influence IFSS significantly, and moisture regains (MR) of fibers also played a positive role on improving IFSS but not so markedly. XPS analysis showed that the oxygen content on fiber surface increased after treatment, and C=O, O-C=O groups were introduced on fiber surface. On the other hand, the observed loss of fiber tensile strength caused by plasma treatment was not so remarkable to affect the overall performance of composite materials.

  17. Surface modification of polyester synthetic leather with tetramethylsilane by atmospheric pressure plasma

    Energy Technology Data Exchange (ETDEWEB)

    Kan, C.W., E-mail: tccwk@polyu.edu.hk [Institute of Textiles and Clothing, The Hong Kong Polytechnic University, Hung Hom, Kowloon (Hong Kong); Kwong, C.H. [Institute of Textiles and Clothing, The Hong Kong Polytechnic University, Hung Hom, Kowloon (Hong Kong); Ng, S.P. [Hong Kong Community College, The Hong Kong Polytechnic University (Hong Kong)

    2015-08-15

    Highlights: • Atmospheric pressure plasma treatment improved surface performance of polyester synthetic leather with tetramethylsilane. • XPS and FTIR confirmed the deposition of organosilanes on the sample's surface. • Contact angle increases to 138° after plasma treatment. - Abstract: Much works have been done on synthetic materials but scarcely on synthetic leather owing to its surface structures in terms of porosity and roughness. This paper examines the use of atmospheric pressure plasma (APP) treatment for improving the surface performance of polyester synthetic leather by use of a precursor, tetramethylsilane (TMS). Plasma deposition is regarded as an effective, simple and single-step method with low pollution. Scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR) confirm the deposition of organosilanes on the sample's surface. The results showed that under a particular combination of treatment parameters, a hydrophobic surface was achieved on the APP treated sample with sessile drop static contact angle of 138°. The hydrophobic surface is stable without hydrophilic recovery 30 days after plasma treatment.

  18. Surface modification of polyester synthetic leather with tetramethylsilane by atmospheric pressure plasma

    International Nuclear Information System (INIS)

    Kan, C.W.; Kwong, C.H.; Ng, S.P.

    2015-01-01

    Highlights: • Atmospheric pressure plasma treatment improved surface performance of polyester synthetic leather with tetramethylsilane. • XPS and FTIR confirmed the deposition of organosilanes on the sample's surface. • Contact angle increases to 138° after plasma treatment. - Abstract: Much works have been done on synthetic materials but scarcely on synthetic leather owing to its surface structures in terms of porosity and roughness. This paper examines the use of atmospheric pressure plasma (APP) treatment for improving the surface performance of polyester synthetic leather by use of a precursor, tetramethylsilane (TMS). Plasma deposition is regarded as an effective, simple and single-step method with low pollution. Scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR) confirm the deposition of organosilanes on the sample's surface. The results showed that under a particular combination of treatment parameters, a hydrophobic surface was achieved on the APP treated sample with sessile drop static contact angle of 138°. The hydrophobic surface is stable without hydrophilic recovery 30 days after plasma treatment

  19. Blood compatibility of gas plasma-treated diamond-like carbon surface-Effect of physicochemical properties of DLC surface on blood compatibility

    International Nuclear Information System (INIS)

    Mochizuki, Akira; Ogawa, Tatsuhisa; Okamoto, Keishi; Nakatani, Tatsuyuki; Nitta, Yuki

    2011-01-01

    From the knowledge that zwitterion-type polymers show good blood compatibility, the introduction of both cationic and anionic functional groups onto diamond-like carbon (DLC) surface is expected to improve blood compatibility. Thus, DLC films were treated with oxygen and ammonia gas plasmas. The surfaces were characterized in terms of chemical composition by XPS, contact angle, and zeta potential. XPS analysis showed the introductions of a carboxyl group by oxygen plasma treatment and nitrogen atoms by ammonia plasma treatment. The evaluation of blood compatibility for the DLC surfaces was carried out in terms of platelets and the coagulation system. Excellent improvement of platelet compatibility was observed by the treatment with the gas plasmas, regardless of the plasma species. As for the compatibility with the coagulation system, DLC surfaces with a high concentration of carboxyl groups (COOH) markedly activated the system via the intrinsic pathway. However, the surfaces treated with ammonia plasma did not activate the system even though they had high COOH concentration. Measurement of the zeta potential revealed that the ammonia plasma treatment raised the potential from a negative value to a positive one. Though the introduction of amino groups to the surface was not detected directly, the treatment of ammonia plasma changed the electrical state of the DLC surface having COOH group, causing a difference in blood compatibility among the DLCs obtained by various plasma conditions.

  20. Polymerization by plasma: surface treatment and plasma simulation

    International Nuclear Information System (INIS)

    Morales C, J.

    2001-01-01

    One of the general objectives that are developed by the group of polymers semiconductors in the laboratory of polymers of the UAM-Iztapalapa is to study the surface treatment for plasma of different materials. Framed in this general objective, in this work three lines of investigation have been developed, independent one of other that converge in the general objective. The first one tries about the modeling one and evaluation of the microscopic parameters of operation of the polymerization reactor. The second are continuation of the study of conductive polymers synthesized by plasma and the third are an application of the treatment for plasma on natural fibers. In the first one it lines it is carried out the characterization and simulation of the parameters of operation of the polymerization reactor for plasma. They are determined the microscopic parameters of operation of the reactor experimentally like they are the electronic temperature, the potential of the plasma and the density average of electrons using for it an electrostatic Langmuir probe. In the simulation, starting from the Boltzmann transport equation it thinks about the flowing pattern and the electronic temperature, the ions density is obtained and of electrons. The data are compared obtained experimentally with the results of the simulation. In second line a study is presented about the influence of the temperature on the electric conductivity of thin films doped with iodine, of poly aniline (P An/I) and poly pyrrole (P Py/I). The films underwent heating-cooling cycles. The conductivity of P An/I and P Py/I in function of the temperature it is discussed based on the Arrhenius model, showing that it dominates the model of homogeneous conductivity. It is also synthesized a polymer bi-layer of these two elements and a copolymer random poly aniline-poly pyrrole, of the first one it the behavior of its conductivity discusses with the temperature and of the second, the conductivity is discussed in

  1. Surface modification of fluorosilicone acrylate RGP contact lens via low-temperature argon plasma

    International Nuclear Information System (INIS)

    Yin Shiheng; Wang Yingjun; Ren Li; Zhao Lianna; Kuang Tongchun; Chen Hao; Qu Jia

    2008-01-01

    A fluorosilicone acrylate rigid gas permeable (RGP) contact lens was modified via argon plasma to improve surface hydrophilicity and resistance to protein deposition. The influence of plasma treatment on surface chemical structure, hydrophilicity and morphology of RGP lens was investigated by X-ray photoelectron spectrometer (XPS), contact angle measurements and scanning electron microscope (SEM), respectively. The contact angle results showed that the hydrophilicity of the contact lens was improved after plasma treatment. XPS results indicated that the incorporation of oxygen-containing groups on surface and the transformation of silicone into hydrophilic silicate after plasma treatment are the main reasons for the surface hydrophilicity improvement. SEM results showed that argon plasma with higher power could lead to surface etching

  2. Surface hardening induced by high flux plasma in tungsten revealed by nano-indentation

    Energy Technology Data Exchange (ETDEWEB)

    Terentyev, D., E-mail: dterenty@sckcen.be [SCK-CEN, Nuclear Materials Science Institute, Boeretang 200, 2400 Mol (Belgium); Bakaeva, A. [SCK-CEN, Nuclear Materials Science Institute, Boeretang 200, 2400 Mol (Belgium); Department of Applied Physics, Ghent University, St. Pietersnieuwstraat 41, 9000 Ghent (Belgium); Pardoen, T.; Favache, A. [Institute of Mechanics, Materials and Civil Engineering, Université catholique de Louvain, Place Sainte Barbe 2 L5.02.02, 1348 Louvain-la-Neuve (Belgium); Zhurkin, E.E. [Department of Experimental Nuclear Physics K-89, Faculty of Physics and Mechanics, St. Petersburg State Polytechnical University, 29 Polytekhnicheskaya str., 195251 St. Petersburg (Russian Federation)

    2016-08-01

    Surface hardness of tungsten after high flux deuterium plasma exposure has been characterized by nanoindentation. The effect of plasma exposure was rationalized on the basis of available theoretical models. Resistance to plastic penetration is enhanced within the 100 nm sub-surface region, attributed to the pinning of geometrically necessary dislocations on nanometric deuterium cavities – signature of plasma-induced defects and deuterium retention. Sub-surface extension of thereby registered plasma-induced damage is in excellent agreement with the results of alternative measurements. The study demonstrates suitability of nano-indentation to probe the impact of deposition of plasma-induced defects in tungsten on near surface plasticity under ITER-relevant plasma exposure conditions.

  3. Non thermal plasma surface cleaner and method of use

    KAUST Repository

    Neophytou, Marios

    2017-09-14

    Described herein are plasma generation devices and methods of use of the devices. The devices can be used for the cleaning of various surfaces and/or for inhibiting or preventing the accumulation of particulates, such as dust, or moisture on various surfaces. The devices can be used to remove dust and other particulate contaminants from solar panels and windows, or to avoid or minimize condensation on various surfaces. In an embodiment a plasma generation device is provided. The plasma generation device can comprise: a pair of electrodes (1,2) positioned in association with a surface of a dielectric substrate (3). The pair of electrodes (1,2) can comprise a first electrode (1) and a second electrode (2). The first electrode and second electrode can be of different sizes, one of the electrodes being smaller than the other of the electrodes. The first electrode and second electrode can be separated by a distance and electrically connected to a voltage source (4,5).

  4. Non thermal plasma surface cleaner and method of use

    KAUST Repository

    Neophytou, Marios; Lacoste, Deanna A.; Kirkus, Mindaugas

    2017-01-01

    Described herein are plasma generation devices and methods of use of the devices. The devices can be used for the cleaning of various surfaces and/or for inhibiting or preventing the accumulation of particulates, such as dust, or moisture on various surfaces. The devices can be used to remove dust and other particulate contaminants from solar panels and windows, or to avoid or minimize condensation on various surfaces. In an embodiment a plasma generation device is provided. The plasma generation device can comprise: a pair of electrodes (1,2) positioned in association with a surface of a dielectric substrate (3). The pair of electrodes (1,2) can comprise a first electrode (1) and a second electrode (2). The first electrode and second electrode can be of different sizes, one of the electrodes being smaller than the other of the electrodes. The first electrode and second electrode can be separated by a distance and electrically connected to a voltage source (4,5).

  5. EDITORIAL: Plasma Surface Interactions for Fusion

    Science.gov (United States)

    2006-05-01

    Because plasma-boundary physics encompasses some of the most important unresolved issues for both the International Thermonuclear Experimental Reactor (ITER) project and future fusion power reactors, there is a strong interest in the fusion community for better understanding and characterization of plasma wall interactions. Chemical and physical sputtering cause the erosion of the limiters/divertor plates and vacuum vessel walls (made of C, Be and W, for example) and degrade fusion performance by diluting the fusion fuel and excessively cooling the core, while carbon redeposition could produce long-term in-vessel tritium retention, degrading the superior thermo-mechanical properties of the carbon materials. Mixed plasma-facing materials are proposed, requiring optimization for different power and particle flux characteristics. Knowledge of material properties as well as characteristics of the plasma material interaction are prerequisites for such optimizations. Computational power will soon reach hundreds of teraflops, so that theoretical and plasma science expertise can be matched with new experimental capabilities in order to mount a strong response to these challenges. To begin to address such questions, a Workshop on New Directions for Advanced Computer Simulations and Experiments in Fusion-Related Plasma Surface Interactions for Fusion (PSIF) was held at the Oak Ridge National Laboratory from 21 to 23 March, 2005. The purpose of the workshop was to bring together researchers in fusion related plasma wall interactions in order to address these topics and to identify the most needed and promising directions for study, to exchange opinions on the present depth of knowledge of surface properties for the main fusion-related materials, e.g., C, Be and W, especially for sputtering, reflection, and deuterium (tritium) retention properties. The goal was to suggest the most important next steps needed for such basic computational and experimental work to be facilitated

  6. Plasma carburizing with surface micro-melting

    Science.gov (United States)

    Balanovsky, A. E.; Grechneva, M. V.; Van Huy, Vu; Ponomarev, B. B.

    2018-03-01

    This paper presents carburizing the surface of 20 low carbon steel using electric arc and graphite prior. A carbon black solution was prepared with graphite powder and sodium silicate in water. A detailed analysis of the phase structure and the distribution profile of the sample hardness after plasma treatment were given. The hardened layer consists of three different zones: 1 – the cemented layer (thin white zone) on the surface, 2 – heat-affected zone (darkly etching structure), 3 – the base metal. The experimental result shows that the various microstructures and micro-hardness profiles were produced depending on the type of graphite coating (percentage of liquid glass) and processing parameters. The experiment proved that the optimum content of liquid glass in graphite coating is 50–87.5%. If the amount of liquid glass is less than 50%, adhesion to metal is insufficient. If liquid glass content is more than 87.5%, carburization of a metal surface does not occur. A mixture of the eutectic lamellar structure, martensite and austenite was obtained by using graphite prior with 67% sodium silicate and the levels of the hardness layer increased to around 1000 HV. The thickness of the cemented layer formed on the surface was around 200 μm. It is hoped that this plasma surface carburizing treatment could improve the tribological resistance properties.

  7. Surface treatment of a titanium implant using low temperature atmospheric pressure plasmas

    Science.gov (United States)

    Lee, Hyun-Young; Tang, Tianyu; Ok, Jung-Woo; Kim, Dong-Hyun; Lee, Ho-Jun; Lee, Hae June

    2015-09-01

    During the last two decades, atmospheric pressure plasmas(APP) are widely used in diverse fields of biomedical applications, reduction of pollutants, and surface treatment of materials. Applications of APP to titanium surface of dental implants is steadily increasing as it renders surfaces wettability and modifies the oxide layer of titanium that hinders the interaction with cells and proteins. In this study, we have treated the titanium surfaces of screw-shaped implant samples using a plasma jet which is composed of a ceramic coaxial tube of dielectrics, a stainless steel inner electrode, and a coper tube outer electrode. The plasma ignition occurred with Ar gas flow between two coaxial metal electrodes and a sinusoidal bias voltage of 3 kV with a frequency of 20 kHz. Titanium materials used in this study are screw-shaped implants of which diameter and length are 5 mm and 13 mm, respectively. Samples were mounted at a distance of 5 mm below the plasma source, and the plasma treatment time was set to 3 min. The wettability of titanium surface was measured by the moving speed of water on its surface, which is enhanced by plasma treatment. The surface roughness was also measured by atomic force microscopy. The optimal condition for wettability change is discussed.

  8. Fibroblastic response and surface characterization of O2-plasma-treated thermoplastic polyetherurethane

    International Nuclear Information System (INIS)

    Schlicht, Henning; Wintermantel, Erich; Haugen, Haavard J; Sabetrasekh, Roya

    2010-01-01

    Injection-molded samples of thermoplastic polyetherurethane (TPU) were treated with low-temperature oxygen plasma for different processing times in order to enhance cellular attachment for a gastric implant. Its effects were investigated by contact angle measurement, surface topography, cytotoxicity and cell colonization tests. No significant changes were found in the surface roughness of plasma treatment with plasma treatment time of less than 5 min. Longer treatment showed significantly higher surface roughness. It seems that there was a link between the changes in contact angle and enhanced cell growth on the treated surface, although only for the range up to plasma treatment times of 3 min. Prolonged treatment times did not cause any major changes in the water contact angle, but strongly improved the number of growing cells on the surface. Plasma treatment for 3-7 min led to a twofold increase in the number of cells compared to untreated samples and did not significantly alter the WST-1 nor worsened the lactate dehydrogenase activity compared to the control. Thus, it appears that O 2 plasma treatment is a suitable surface modification method for a gastric implant made of TPU in order to improve surface cell attachment where 3-7 min is the recommended treatment time.

  9. Plasma-oxidation of Ge(100)-surfaces characterized by MIES, UPS and XPS

    Energy Technology Data Exchange (ETDEWEB)

    Wegewitz, Lienhard; Dahle, Sebastian; Maus-Friedrichs, Wolfgang [Institut fuer Energieforschung und Physikalische Technologien, Technische Universitaet Clausthal, Leibnizstr. 4, 38678 Clausthal-Zellerfeld (Germany); Hoefft, Oliver; Endres, Frank [Institut fuer Mechanische Verfahrenstechnik, Technische Universitaet Clausthal, Arnold-Sommerfeld-Str. 6, 38678 Clausthal-Zellerfeld (Germany); Vioel, Wolfgang [HAWK Goettingen, Fakultaet Naturwissenschaften und Technik, Von-Ossietzky-Str. 99, 37085 Goettingen (Germany)

    2011-07-01

    Cleaning and passivation of Germanium surfaces is of tremendous technological interest. Germanium has various applications, for example in complementary metal-oxide-semiconductor elements. It turned out to be difficult to prepare contamination free Germanium surfaces by methods of wet chemistry. Several attempts have been made preparing such surfaces by different plasma treatments. We report cleaning and passivation of Ge(100)-surfaces by dielectric barrier discharge plasma at ambient temperature in oxygen and in air studied by Metastable Induced Electron Spectroscopy (MIES) and Photoelectron Spectroscopy (UPS(He I) and XPS). The plasma treatment is carried out in a special high-vacuum chamber which operates up to ambient pressure and is directly connected to the ultra-high vacuum chamber including the analysis equipment. In summary the air plasma treatment as well as the oxygen plasma treatment result in contamination free GeO{sub 2} covered surfaces.

  10. Metal surface nitriding by laser induced plasma

    Science.gov (United States)

    Thomann, A. L.; Boulmer-Leborgne, C.; Andreazza-Vignolle, C.; Andreazza, P.; Hermann, J.; Blondiaux, G.

    1996-10-01

    We study a nitriding technique of metals by means of laser induced plasma. The synthesized layers are composed of a nitrogen concentration gradient over several μm depth, and are expected to be useful for tribological applications with no adhesion problem. The nitriding method is tested on the synthesis of titanium nitride which is a well-known compound, obtained at present by many deposition and diffusion techniques. In the method of interest, a laser beam is focused on a titanium target in a nitrogen atmosphere, leading to the creation of a plasma over the metal surface. In order to understand the layer formation, it is necessary to characterize the plasma as well as the surface that it has been in contact with. Progressive nitrogen incorporation in the titanium lattice and TiN synthesis are studied by characterizing samples prepared with increasing laser shot number (100-4000). The role of the laser wavelength is also inspected by comparing layers obtained with two kinds of pulsed lasers: a transversal-excited-atmospheric-pressure-CO2 laser (λ=10.6 μm) and a XeCl excimer laser (λ=308 nm). Simulations of the target temperature rise under laser irradiation are performed, which evidence differences in the initial laser/material interaction (material heated thickness, heating time duration, etc.) depending on the laser features (wavelength and pulse time duration). Results from plasma characterization also point out that the plasma composition and propagation mode depend on the laser wavelength. Correlation of these results with those obtained from layer analyses shows at first the important role played by the plasma in the nitrogen incorporation. Its presence is necessary and allows N2 dissociation and a better energy coupling with the target. Second, it appears that the nitrogen diffusion governs the nitriding process. The study of the metal nitriding efficiency, depending on the laser used, allows us to explain the differences observed in the layer features

  11. Method for plasma surface treating and preparation of membrane layers

    NARCIS (Netherlands)

    1992-01-01

    The invention relates to an apparatus suitable for plasma surface treating (e.g. forming a membrane layer on a substrate) which comprises a plasma generation section (2) which is in communication via at least one plasma inlet means (4) (e.g. a nozzle) with an enclosed plasma treating section (3)

  12. Improved adhesion of Ag NPs to the polyethylene terephthalate surface via atmospheric plasma treatment and surface functionalization

    Energy Technology Data Exchange (ETDEWEB)

    Shen, Tao [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, 253 Xuefu Rd, Kunming, Yunnan, 650093 (China); Liu, Yong [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, 253 Xuefu Rd, Kunming, Yunnan, 650093 (China); Solmont Technology Wuxi Co., Ltd. 228 Linghu Blvd. Tianan Tech Park, A1-602, Xinwu District, Wuxi, Jiangsu 214135 (China); Zhu, Yan, E-mail: zhuyan@kmust.edu.cn [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, 253 Xuefu Rd, Kunming, Yunnan, 650093 (China); Yang, De-Quan, E-mail: dequan.yang@gmail.com [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, 253 Xuefu Rd, Kunming, Yunnan, 650093 (China); Solmont Technology Wuxi Co., Ltd. 228 Linghu Blvd. Tianan Tech Park, A1-602, Xinwu District, Wuxi, Jiangsu 214135 (China); Sacher, Edward [Regroupement Québécois de Matériaux de Pointe, Department of Engineering Physics, École Polytechnique de Montréal, Case Postale 6079, succursale Centre-Ville, Montréal, Québec H3C 3A7 (Canada)

    2017-07-31

    Highlights: • A two-step process has been developed to enhance the adhesion of immobilized Ag NPs to the PET surface. • The method is simple, easy to use and low-cost for mass production. • The increased density of active sites (−OH, −CH=O and COOH) at the PET surface, after plasma treatment, permits increased reaction with 3-aminopropyltriethoxysilane (APTES). • The presence of APTES with high surface density permits −NH{sub 2}-Ag complex formation, increasing the adhesion of the Ag NPs. - Abstract: Ag nanoparticles (NPs) have been widely applied, as important antibacterial materials, on textile and polymer surfaces. However, their adhesion to nonreactive polymer surfaces is generally too weak for many applications. Here, we propose a two-step process, atmospheric plasma treatment followed by a surface chemical modification process, which enhances their adhesion to polyethylene terephthalate (PET) surfaces. We found that, compared to either plasma treatments or surface chemical functionalizations, alone, this combination greatly enhanced their adhesion. The plasma treatment resulted in an increase of active sites (−OH, −CH=O and COOH) at the PET surface, permitting increased bonding to 3-aminopropyltriethoxysilane (APTES), whose −NH{sub 2} groups were then able to form a bonding complex with the Ag NPs.

  13. Surface currents on the plasma-vacuum interface in MHD equilibria

    Science.gov (United States)

    Hanson, James

    2017-10-01

    The VMEC non-axisymmetric MHD equilibrium code can compute free-boundary equilibria. Since VMEC assumes that magnetic fields within the plasma form closed and nested flux surfaces, the plasma-vacuum interface is a flux surface, and the total magnetic field there has no normal component. VMEC imposes this condition of zero normal field using the potential formulation of Merkel, and solves a Neumann problem for the magnetic potential in the exterior region. This boundary condition necessarily admits the possibility of a surface current on the interface. While this surface current may be small in MHD equilibrium, it is readily computed in terms of the magnetic potentials in both the interior and exterior regions, evaluated on the surface. If only the external magnetic potential is known (as in VMEC), then the surface current can be computed from the discontinuity of the tangential field across the interface. Examples of the surface current for VMEC equilibria will be shown for a zero-pressure stellarator equilibrium. Field-line following of the vacuum magnetic field shows magnetic islands within the plasma region.

  14. Plasma-surface interaction in negative hydrogen ion sources

    Science.gov (United States)

    Wada, Motoi

    2018-05-01

    A negative hydrogen ion source delivers more beam current when Cs is introduced to the discharge, but a continuous operation of the source reduces the beam current until more Cs is added to the source. This behavior can be explained by adsorption and ion induced desorption of Cs atoms on the plasma grid surface of the ion source. The interaction between the ion source plasma and the plasma grid surface of a negative hydrogen ion source is discussed in correlation to the Cs consumption of the ion source. The results show that operation with deuterium instead of hydrogen should require more Cs consumption and the presence of medium mass impurities as well as ions of the source wall materials in the arc discharge enlarges the Cs removal rate during an ion source discharge.

  15. Surface roughness effects on plasma near a divertor plate and local impact angle

    Directory of Open Access Journals (Sweden)

    Wanpeng Hu

    2017-08-01

    Full Text Available The impact of rough surface topography on the electric potential and electric field is generally neglected due to the small scale of surface roughness compared to the width of the plasma sheath. However, the distributions of the electric potential and field on rough surfaces are expected to influence the characteristics of edge plasma and the local impact angle. The distributions of plasma sheath and local impact angle on rough surfaces are investigated by a two dimension-in-space and three dimension-in-velocity (2d3v Particle-In-Cell (PIC code. The influences of the plasma temperature andsurface morphology on the plasma sheath, local impact angle and resulting physical sputtering yield on rough surfaces are investigated.

  16. Plasma surface modification of polypropylene track-etched membrane to improve its performance properties

    Science.gov (United States)

    Kravets, L. I.; Elinson, V. M.; Ibragimov, R. G.; Mitu, B.; Dinescu, G.

    2018-02-01

    The surface and electrochemical properties of polypropylene track-etched membrane treated by plasma of nitrogen, air and oxygen are studied. The effect of the plasma-forming gas composition on the surface morphology is considered. It has been found that the micro-relief of the membrane surface formed under the gas-discharge etching, changes. Moreover, the effect of the non-polymerizing gas plasma leads to formation of oxygen-containing functional groups, mostly carbonyl and carboxyl. It is shown that due to the formation of polar groups on the surface and its higher roughness, the wettability of the plasma-modified membranes improves. In addition, the presence of polar groups on the membrane surface layer modifies its electrochemical properties so that conductivity of plasma-treated membranes increase.

  17. Atomic force microscopy of surface topography of nitrogen plasma treated steel

    CERN Document Server

    Mahboubi, F

    2002-01-01

    Nitriding of steels, using plasma environments has been practiced for many years. A lot of efforts have been put on developing new methods, such as plasma immersion ion implantation (Pl sup 3) and radio frequency (RF) plasma nitriding, for mass transfer of nitrogen into the surface of the work piece. This article presents the results obtained from an in depth investigation of the surface morphology of the treated samples, carried out using an atomic force microscope. Samples from a microalloyed steel, were treated by both methods for 5 hours at different temperatures ranging from 350 to 550 sup d eg sup C in 75% N sub 2 -25% H sub 2 atmosphere. It has been found that the surface of the samples treated by PI sup 3 technique, although having more favorable properties, were rougher than the surfaces treated by RF plasma nitriding.

  18. Use of Atmospheric-Pressure Plasma Jet for Polymer Surface Modification: An Overview

    Energy Technology Data Exchange (ETDEWEB)

    Kuettner, Lindsey A. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-03-16

    Atmospheric-pressure plasma jets (APPJs) are playing an increasingly important role in materials processing procedures. Plasma treatment is a useful tool to modify surface properties of materials, especially polymers. Plasma reacts with polymer surfaces in numerous ways thus the type of process gas and plasma conditions must be explored for chosen substrates and materials to maximize desired properties. This report discusses plasma treatments and looks further into atmospheric-pressure plasma jets and the effects of gases and plasma conditions. Following the short literature review, a general overview of the future work and research at Los Alamos National Laboratory (LANL) is discussed.

  19. Surface Wettability of Oxygen Plasma Treated Porous Silicon

    Directory of Open Access Journals (Sweden)

    Lei Jiang

    2014-01-01

    Full Text Available Oxygen plasma treatment on porous silicon (p-Si surfaces was studied as a practical and effective means to modify wetting properties of as-fabricated p-Si surfaces, that is, contact angles of the p-Si materials. P-Si samples spanning a wide range of surface nanostructures have been fabricated which were subjected to a series of oxygen plasma treatments. Reduction of the p-Si surface contact angles has been systematically observed, and the surface activation rate constant as a function of different pore geometries has been analyzed to achieve an empirical equation. The underlying diffusion mechanisms have been discussed by taking into account of different pore diameters of p-Si samples. It is envisaged that such an approach as well as the corresponding empirical equation may be used to provide relevant process guidance in order to achieve precise control of p-Si contact angles, which is essential for many p-Si applications especially in biosensor areas.

  20. Tuning Surface Chemistry of Polyetheretherketone by Gold Coating and Plasma Treatment

    Science.gov (United States)

    Novotná, Zdeňka; Rimpelová, Silvie; Juřík, Petr; Veselý, Martin; Kolská, Zdeňka; Hubáček, Tomáš; Borovec, Jakub; Švorčík, Václav

    2017-06-01

    Polyetheretherketone (PEEK) has good chemical and biomechanical properties that are excellent for biomedical applications. However, PEEK exhibits hydrophobic and other surface characteristics which cause limited cell adhesion. We have investigated the potential of Ar plasma treatment for the formation of a nanostructured PEEK surface in order to enhance cell adhesion. The specific aim of this study was to reveal the effect of the interface of plasma-treated and gold-coated PEEK matrices on adhesion and spreading of mouse embryonic fibroblasts. The surface characteristics (polarity, surface chemistry, and structure) before and after treatment were evaluated by various experimental techniques (gravimetry, goniometry, X-ray photoelectron spectroscopy (XPS), and electrokinetic analysis). Further, atomic force microscopy (AFM) was employed to examine PEEK surface morphology and roughness. The biological response of cells towards nanostructured PEEK was evaluated in terms of cell adhesion, spreading, and proliferation. Detailed cell morphology was evaluated by scanning electron microscopy (SEM). Compared to plasma treatment, gold coating improved PEEK wettability. The XPS method showed a decrease in the carbon concentration with increasing time of plasma treatment. Cell adhesion determined on the interface between plasma-treated and gold-coated PEEK matrices was directly proportional to the thickness of a gold layer on a sample. Our results suggest that plasma treatment in a combination with gold coating could be used in biomedical applications requiring enhanced cell adhesion.

  1. The effect of plasma etching on the surface topography of niobium superconducting radio frequency cavities

    Science.gov (United States)

    Radjenović, B.; Radmilović-Radjenović, M.

    2014-11-01

    In this letter the evolution of the surface topography of a niobium superconducting radio frequency cavity caused by different plasma etching modes (isotropic and anisotropic) is studied by the three-dimensional level set method. The initial rough surface is generated starting from an experimental power spectral density. The time dependence of the rms roughness is analyzed and the growth exponential factors β are determined for two etching modes (isotropic and anisotropic) assuming that isotropic etching is a much more effective mechanism of smoothing. The obtained simulation results could be useful for optimizing the parameters of the etching processes needed to obtain high quality niobium surfaces for superconducting radio frequency cavities.

  2. High speed cine film studies of plasma behaviour and plasma surface interactions in tokamaks

    International Nuclear Information System (INIS)

    Goodall, D.H.J.

    1982-01-01

    High speed cine photography is a useful diagnostic aid for studying plasma behaviour and plasma surface interactions. Several workers have filmed discharges in tokamaks including ASDEX, DITE, DIVA, ISX, JFT2, TFR and PLT. These films are discussed and examples given of the observed phenomena which include plasma limiter interactions, diverted discharges, disruptions, magnetic islands and moving glowing objects often known as 'UFOs'. Examples of plasma structures in ASDEX and DITE not previously published are also given. The paper also reports experiments in DITE to determine the origin of UFOs. (orig.)

  3. Surface damage characteristics of CFC and tungsten with repetitive ELM-like pulsed plasma irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Kikuchi, Y., E-mail: ykikuchi@eng.u-hyogo.ac.jp [Graduate School of Engineering, University of Hyogo, 2167 Shosha, Himeji, 671-2280 Hyogo (Japan); Nishijima, D. [Center for Energy Research, University of California at San Diego, 9500 Gilman Drive, La Jolla, CA 92093-0417 (United States); Nakatsuka, M.; Ando, K.; Higashi, T.; Ueno, Y.; Ishihara, M.; Shoda, K.; Nagata, M. [Graduate School of Engineering, University of Hyogo, 2167 Shosha, Himeji, 671-2280 Hyogo (Japan); Kawai, T.; Ueda, Y. [Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan); Fukumoto, N. [Graduate School of Engineering, University of Hyogo, 2167 Shosha, Himeji, 671-2280 Hyogo (Japan); Doerner, R.P. [Center for Energy Research, University of California at San Diego, 9500 Gilman Drive, La Jolla, CA 92093-0417 (United States)

    2011-08-01

    Surface damage of carbon fiber composite (CFC) and tungsten (W) due to repetitive ELM-like pulsed plasma irradiation has been investigated by using a magnetized coaxial plasma gun. CX2002U CFC and stress-relieved W samples were exposed to repetitive pulsed deuterium plasmas with duration of {approx}0.5 ms, incident ion energy of {approx}30 eV, and surface absorbed energy density of {approx}0.3-0.7 MJ/m{sup 2}. Bright spots on a CFC surface during pulsed plasma exposures were clearly observed with a high-speed camera, indicating a local surface heating. No melting of a W surface was observed under a single plasma pulse exposure at energy density of {approx}0.7 MJ/m{sup 2}, although cracks were formed. Cracking of the W surface grew with repetitive pulsed plasma exposures. Subsequently, the surface melted due to localized heat absorption.

  4. Energy Accommodation from Surface Catalyzed Reactions in Air Plasmas

    Data.gov (United States)

    National Aeronautics and Space Administration — Understanding energy transport at the gas-surface interface between catalytic/reacting surfaces exposed to highly dissociated plasmas remains a significant research...

  5. Atmospheric-Pressure Plasma Cleaning of Contaminated Surfaces

    International Nuclear Information System (INIS)

    Hicks, Robert F.; Herrmann, Hans W.

    2003-01-01

    The objective of this work is to demonstrate a practical, atmospheric pressure plasma tool for the surface decontamination of radioactive waste. Decontamination of radioactive materials that have accumulated on the surfaces of equipment and structures is a challenging and costly undertaking for the US Department of Energy. Our technology shows great potential for accelerating this clean up effort

  6. Modulating Protein Adsorption on Oxygen Plasma Modified Polysiloxane Surfaces

    International Nuclear Information System (INIS)

    Marletta, G.

    2006-01-01

    In the present paper we report the study on the adsorption behaviour of three model globular proteins, Human Serum Albumin, Lactoferrin and Egg Chicken Lysozyme onto both unmodified surfaces of a silicon-based polymer and the corresponding plasma treated surfaces. In particular, thin films of hydrophobic polysiloxane (about 90 degree of static water contact angle, WCA) were converted by oxygen plasma treatment at reduced pressure into very hydrophilic phases of SiOx (WCA less than 5 degree). The kinetics of protein adsorption processes were investigated by QCM-D technique, while the chemical structure and topography of the protein adlayer have been studied by Angular resolved-XPS and AFM respectively. It turned out that Albumin and Lysozyme exhibited the opposite preferential adsorption respectively onto the hydrophobic and hydrophilic surfaces, while Lactoferrin did not exhibit significant differences. The observed protein behaviour are discussed both in terms of surface-dependent parameters, including surface free energy and chemical structure, and in terms of protein-dependent parameters, including charge as well as the average molecular orientation in the adlayers. Finally, some examples of differential adsorption behaviour of the investigated proteins are reported onto nanopatterned polysiloxane surfaces consisting of hydrophobic nanopores surrounded by hydrophilic (plasma-treated) matrix and the reverse

  7. Adhesion enhancement of Al coatings on carbon/epoxy composite surfaces by atmospheric plasma

    International Nuclear Information System (INIS)

    Coulon, J.F.; Tournerie, N.; Maillard, H.

    2013-01-01

    Adhesion strengths between aluminium thin film coatings and manufactured carbon/epoxy composite surfaces were measured by assessing fracture tensile strengths using pull-off tests. The effect of the substrate roughness (nm to μm) of these composite surfaces on adhesion was studied by examining the surface free energies and adhesion strengths. The adhesion strengths of the coatings varied significantly. To improve the coating adhesion, each composite surface was treated with atmospheric plasma prior to deposition, which resulted in an increase in the surface free energy from approximately 40 mJ/m 2 to 70 mJ/m 2 because the plasma pretreatment led to the formation of hydrophilic C-O and C=O bonds on the composite surfaces, as demonstrated by X-ray photoelectron spectroscopy analyses. The adhesion strengths of the coatings were enhanced for all surface roughnesses studied. In our study, the effect of mechanical adhesion due to roughness was separated from the effect of modifying the chemical bonds with plasma activation. The adhesion ability of the pure resin was relatively weak. Increasing the surface roughness largely improved the adhesion of the resin surface. Plasma treatment of the pure resin also increased the surface adhesion. Our study shows that plasma activation effectively enhances the adhesion of manufactured composites, even when the surface roughness is on the order of microns. The ageing of the surface activation was also investigated, and the results demonstrate that atmospheric plasma has potential for use in the pretreatment of composite materials.

  8. RF-plasma vapor deposition of siloxane on paper. Part 2: Chemical evolution of paper surface

    International Nuclear Information System (INIS)

    Sahin, Halil Turgut

    2013-01-01

    Highlights: ► Investigate the detailed RF-cold plasma surface modified paper by XPS and ATR-FTIR. ► Some chemical analysis of RF-cold plasma surface modified paper after RF plasma treatment. ► Identify the connection between RF plasma treatment and the surface chemistry of paper surface. - Abstract: Survey and high-resolution (HR) XPS studies indicate that OMCTSO plasma treatment created a new silicon containing functional groups and changed the hydroxyl content on the surface of paper. Four intense survey XPS spectrum peaks were observed for the OMCTSO plasma treated paper. They were the Si 2p at 100 eV, Si 2s at 160 eV, C 1s at 285 eV, and O 1s at 525 eV for the plasma modified surface. It was realized that the macromolecular chain-breaking mechanisms and plasma-induced etching processes control the number and the availability of OH-functionalities during OMCTSO plasma exposure on paper. The reaction, initiated by these species, depends mainly on the nature of chemicals in the plasma as well as on the energy level of the plasma and the nature of the surface effects in the modification of the paper. The ATR-FTIR spectrum of paper treated with OMCTSO plasma has characteristic absorption bands attributed to the Si-O and Si-O-Si formations on the surface.

  9. Investigation the effects of metallic substrate surfaces due to ion-plasma treatment

    International Nuclear Information System (INIS)

    Shulaev, V.M.; Taran, V.S.; Timoshenko, A.I.; Gasilin, V.V.

    2011-01-01

    It has been found correlation between modification effects and duration of ion-plasma cleaning the substrate surface with titanium ions. Experiments were carried out using serial vacuum-arc equipment ''Bulat-6'' at the stationary mode in non-filtered titanium plasma, which contained considerable quantity of evaporated material droplets. The polished cylinder substrates (diameter and height 9,14,20 mm) have been treated. The substrates were manufactured of stainless steel 12X18H10T and non-oxygen copper M00b. The substrates surface roughness after ion-plasma treatment has been investigated with electron microscope JEOL JSM-840 and optic interference non-contact profilograph- profilometer ''Micron-alpha''. According obtained results the surface of copper and stainless steel substrates has been treated to intensive modification, i.e. substrate surface after treatment significantly differs from initial one. During final ion-plasma treatment a number of effects occur: purification from surface oxides is accompanied with metallic surface ''contamination'' by the cathode material macrodroplets, surface micromelting accompanied by roughness increase, the surface layer annealing with noticeable decrease of hardness.

  10. Plasma treatment of bulk niobium surface for superconducting rf cavities: Optimization of the experimental conditions on flat samples

    Directory of Open Access Journals (Sweden)

    M. Rašković

    2010-11-01

    Full Text Available Accelerator performance, in particular the average accelerating field and the cavity quality factor, depends on the physical and chemical characteristics of the superconducting radio-frequency (SRF cavity surface. Plasma based surface modification provides an excellent opportunity to eliminate nonsuperconductive pollutants in the penetration depth region and to remove the mechanically damaged surface layer, which improves the surface roughness. Here we show that the plasma treatment of bulk niobium (Nb presents an alternative surface preparation method to the commonly used buffered chemical polishing and electropolishing methods. We have optimized the experimental conditions in the microwave glow discharge system and their influence on the Nb removal rate on flat samples. We have achieved an etching rate of 1.7  μm/min⁡ using only 3% chlorine in the reactive mixture. Combining a fast etching step with a moderate one, we have improved the surface roughness without exposing the sample surface to the environment. We intend to apply the optimized experimental conditions to the preparation of single cell cavities, pursuing the improvement of their rf performance.

  11. Treatment of polymer surfaces in plasma Part I. Kinetic model

    International Nuclear Information System (INIS)

    Tabaliov, N A; Svirachev, D M

    2006-01-01

    The surface tension of the polymer materials depends on functional groups over its surface. As a result from the plasma treatment the kind and concentration of the functional groups can be changed. In the present work, the possible kinetic reactions are defined. They describe the interaction between the plasma and the polymer surface of polyethylene terephthalate (PET). Basing on these reactions, the systems of differential kinetic equations are suggested. The solutions are obtained analytically for the system kinetic equations at defined circumstances

  12. Investigation of Plasma Etching for Superconducting RF Cavities Surface Preparation. Final Report

    International Nuclear Information System (INIS)

    Vuskovic, Leposava

    2009-01-01

    Our results show that plasma-treated samples are comparable or superior to a BCP sample, both in the size of features and sharpness of the boundaries between individual features at the surface. Plasma treatment of bulk Nb cavities is a promising technique for microwave cavities preparation used in particle acceleration application. Etching rates are sufficiently high to enable efficient removal of mechanically damaged surface layer with high reproducibility. No impurities are deposited on the bulk Nb surface during plasma treatment. Surface topology characteristic are promising for complex cavity geometry, since discharge conforms the profile of the reaction chamber. In view of these experimental results, we propose plasma treatment for producing microwave cavities with high Q factor instead of using bulk Nb treated with wet etching process.

  13. Experimental studies of microwave interaction with a plasma-covered planar conducting surface

    International Nuclear Information System (INIS)

    Destler, W.W.; Rodgers, J.; DeGrange, J.E.; Segalov, Z.

    1990-01-01

    The authors present experimental studies of the reflection and absorption of microwave radiation from a plasma-covered planar conducting surface. In the experiments, microwave radiation from both highpower, short pulse (10 GHz, 100 MW, 30 ns) and low power (10 GHz, 10 mW, CW) sources is radiated at a 30 cm diameter conducting plate. A time-varying plasma is created on the surface of the conductor by 19 coaxial plasma guns embedded in the surface of the plate and discharged using a fast-rise capacitor bank. The plasma density distribution on the conducting surface is a function of time and the charging voltage on the capacitor bank. Incident and reflected microwave radiation has been measured for a wide variety of experimental conditions

  14. Plasma cleaning and the removal of carbon from metal surfaces

    International Nuclear Information System (INIS)

    Baker, M.A.

    1980-01-01

    In an investigation of the plasma cleaning of metals and the plasma etching of carbon, a mass spectrometer was used as a sensitive process monitor. CO 2 produced by the plasma oxidation of carbon films or of organic contamination and occluded carbon at the surfaces of metals proved to be the most suitable gas to monitor. A good correlation was obtained between the measured etch rate of carbon and the resulting CO 2 partial pressure monitored continuously with the mass spectrometer. The rate of etching of carbon in an oxygen-argon plasma at 0.1 Torr was high when the carbon was at cathode potential and low when it was electrically isolated in the plasma, thus confirming the findings of previous workers and indicating the importance of ion bombardment in the etching process. Superficial organic contamination on the surfaces of the metals aluminium and copper and of the alloy Inconel 625 was quickly removed by the oxygen-argon plasma when the metal was electrically isolated and also when it was at cathode potential. Occluded carbon (or carbides) at or near the surfaces of the metals was removed slowly and only when the metal was at cathode potential, thus illustrating again the importance of ion bombardment. (Auth.)

  15. Improving the work function of the niobium surface of SRF cavities by plasma processing

    Science.gov (United States)

    Tyagi, P. V.; Doleans, M.; Hannah, B.; Afanador, R.; McMahan, C.; Stewart, S.; Mammosser, J.; Howell, M.; Saunders, J.; Degraff, B.; Kim, S.-H.

    2016-04-01

    An in situ plasma processing technique using chemically reactive oxygen plasma to remove hydrocarbons from superconducting radio frequency cavity surfaces at room temperature has been developed at the spallation neutron source, at Oak Ridge National Laboratory. To understand better the interaction between the plasma and niobium surface, surface studies on small samples were performed. In this article, we report the results from those surface studies. The results show that plasma processing removes hydrocarbons from top surface and improves the surface work function by 0.5-1.0 eV. Improving the work function of RF surface of cavities can help to improve their operational performance.

  16. Surface modification of titanium by plasma nitriding

    Directory of Open Access Journals (Sweden)

    Kapczinski Myriam Pereira

    2003-01-01

    Full Text Available A systematic investigation was undertaken on commercially pure titanium submitted to plasma nitriding. Thirteen different sets of operational parameters (nitriding time, sample temperature and plasma atmosphere were used. Surface analyses were performed using X-ray diffraction, nuclear reaction and scanning electron microscopy. Wear tests were done with stainless steel Gracey scaler, sonic apparatus and pin-on-disc machine. The obtained results indicate that the tribological performance can be improved for samples treated with the following conditions: nitriding time of 3 h; plasma atmosphere consisting of 80%N2+20%H2 or 20%N2+80%H2; sample temperature during nitriding of 600 or 800 degreesC.

  17. Plasma functionalized surface of commodity polymers for dopamine detection

    Energy Technology Data Exchange (ETDEWEB)

    Fabregat, Georgina [Departament d’Enginyeria Química, E.T.S. d’Enginyeria Industrial de Barcelona, Universitat Politècnica de Catalunya, Diagonal 647, 08028, Barcelona (Spain); Center for Research in Nano-Engineering, Universitat Politècnica de Catalunya, Campus Sud, Edifici C’, C/Pasqual i Vila s/n, Barcelona, E-08028 (Spain); Osorio, Joaquin [Departament d’Enginyeria Química, E.T.S. d’Enginyeria Industrial de Barcelona, Universitat Politècnica de Catalunya, Diagonal 647, 08028, Barcelona (Spain); Castedo, Alejandra [Center for Research in Nano-Engineering, Universitat Politècnica de Catalunya, Campus Sud, Edifici C’, C/Pasqual i Vila s/n, Barcelona, E-08028 (Spain); Institut de Tècniques Energètiques, E.T.S. d’Enginyeria Industrial de Barcelona, Universitat Politècnica de Catalunya, Diagonal 647, 08028, Barcelona (Spain); Armelin, Elaine [Departament d’Enginyeria Química, E.T.S. d’Enginyeria Industrial de Barcelona, Universitat Politècnica de Catalunya, Diagonal 647, 08028, Barcelona (Spain); Center for Research in Nano-Engineering, Universitat Politècnica de Catalunya, Campus Sud, Edifici C’, C/Pasqual i Vila s/n, Barcelona, E-08028 (Spain); and others

    2017-03-31

    Highlights: • Electrochemically inert polymers become electroactive after plasma functionalization. • Selective dopamine detection has been achieved functionalizing polymers with plasma. • Plasma-functionalized polymers are sensitive dopamine detectors. • XPS analyses reflect the transformation of inert polymers into electrosensors. - Abstract: We have fabricated potentially generalizable sensors based on polymeric-modified electrodes for the electrochemical detection of dopamine. Sensitive and selective sensors have been successfully obtained by applying a cold-plasma treatment during 1–2 min not only to conducting polymers but also to electrochemically inert polymers, such as polyethylene, polypropylene, polyvinylpyrrolidone, polycaprolactone and polystyrene. The effects of the plasma in the electrode surface activation, which is an essential requirement for the dopamine detection when inert polymers are used, have been investigated using X-ray photoelectron spectroscopy. Results indicate that exposure of polymer-modified electrodes to cold-plasma produces the formation of a large variety of reactive species adsorbed on the electrode surface, which catalyse the dopamine oxidation. With this technology, which is based on the application of a very simple physical functionalization, we have defined a paradox-based paradigm for the fabrication of electrochemical sensors by using inert and cheap plastics.

  18. Non-Equilibrium Plasma Processing for the Preparation of Antibacterial Surfaces

    Directory of Open Access Journals (Sweden)

    Eloisa Sardella

    2016-06-01

    Full Text Available Non-equilibrium plasmas offer several strategies for developing antibacterial surfaces that are able to repel and/or to kill bacteria. Due to the variety of devices, implants, and materials in general, as well as of bacteria and applications, plasma assisted antibacterial strategies need to be tailored to each specific surface. Nano-composite coatings containing inorganic (metals and metal oxides or organic (drugs and biomolecules compounds can be deposited in one step, and used as drug delivery systems. On the other hand, functional coatings can be plasma-deposited and used to bind antibacterial molecules, for synthesizing surfaces with long lasting antibacterial activity. In addition, non-fouling coatings can be produced to inhibit the adhesion of bacteria and reduce the formation of biofilm. This paper reviews plasma-based strategies aimed to reduce bacterial attachment and proliferation on biomedical materials and devices, but also onto materials used in other fields. Most of the activities described have been developed in the lab of the authors.

  19. Study on surface modification of polymer films by using atmospheric plasma jet source

    International Nuclear Information System (INIS)

    Takemura, Yuichiro; Hara, Tamio; Yamaguchi, Naohiro

    2008-01-01

    Reactive gas plasma treatments of poly(ethylene terephthalate) (PET) and polyimide (Kapton) have been performed using an atmospheric plasmas jet source. Characteristics of surface modification have been examined by changing the distance between the plasma jet source and the treated sample, and by changing the working gas spaces. Simultaneously, each plasma jet source has been investigated by space-resolving spectroscopy in the UV/visible region. Polymer surfaces have been analyzed by X-ray photoelectron spectroscopy (XPS). A marked improvement in the hydrophilicity of the polymer surfaces has been made by using N 2 or O 2 plasma jet source with a very short exposure time of about 0.01 s, whereas the less improvement has been obtained using on air plasma jet source because of NO x compound production. Changes in the chemical states of C of the polymer surfaces have been observed in XPS spectra after N 2 plasma jet spraying. (author)

  20. Influence of irradiation conditions on plasma evolution in laser-surface interaction

    Science.gov (United States)

    Hermann, J.; Boulmer-Leborgne, C.; Dubreuil, B.; Mihailescu, I. N.

    1993-09-01

    The plasma plume induced by pulsed CO2 laser irradiation of a Ti target at power densities up to 4×108 W cm-2 was studied by emission spectroscopy. Time- and space-resolved measurements were performed by varying laser intensity, laser temporal pulse shape, ambient gas pressure, and the nature of the ambient gas. Experimental results are discussed by comparison with usual models. We show that shock wave and plasma propagation depend critically on the ratio Ivap/Ii, Ivap being the intensity threshold for surface vaporization and Ii the plasma ignition threshold of the ambient gas. Spectroscopic diagnostics of the helium breakdown plasma show maximum values of electron temperature and electron density in the order of kTe˜10 eV and ne=1018 cm-3, respectively. The plasma cannot be described by local thermodynamic equilibrium modeling. Nevertheless, excited metal atoms appear to be in equilibrium with electrons, hence, they can be used like a probe to measure the electron temperature. In order to get information on the role of the plasma in the laser-surface interaction, Ti surfaces were investigated by microscopy after irradiation. Thus an enhanced momentum transfer from the plasma to the target due to the recoil pressure of the breakdown plasma could be evidenced.

  1. Surface modification and stability of detonation nanodiamonds in microwave gas discharge plasma

    International Nuclear Information System (INIS)

    Stanishevsky, Andrei V.; Walock, Michael J.; Catledge, Shane A.

    2015-01-01

    Graphical abstract: - Highlights: • Single and binary gas plasma modification of nanodiamond powders studied. • Temperature-dependent effect of N 2 and N 2 /H 2 plasma reported for the first time. • Role of H 2 in H 2 /N 2 and H 2 /O 2 plasma modification of nanodiamond discussed. - Abstract: Detonation nanodiamonds (DND), with low hydrogen content, were exposed to microwave plasma generated in pure H 2 , N 2 , and O 2 gases and their mixtures, and investigated using X-ray diffraction (XRD), Fourier Transform Infrared (FTIR), Raman, and X-ray photoelectron spectroscopies. Considerable alteration of the DND surface was observed under the plasma conditions for all used gases, but the diamond structure of the DND particle core was preserved in most cases. The stabilizing effect of H 2 in H 2 /N 2 and H 2 /O 2 binary gas plasmas on the DND structure and the temperature-dependent formation of various CNH x surface groups in N 2 and H 2 /N 2 plasmas were observed and discussed for the first time. DND surface oxidation and etching were the main effects of O 2 plasma, whereas the N 2 plasma led to DND surfaces rich in amide groups below 1073 K and nitrile groups at higher temperatures. Noticeable graphitization of the DND core structure was detected only in N 2 plasma when the substrate temperature was above 1103 K.

  2. The Fracture of Plasma-Treated Polyurethane Surface under Fatigue Loading

    Directory of Open Access Journals (Sweden)

    Ilya A. Morozov

    2018-02-01

    Full Text Available Plasma treatment of soft polymers is a promising technique to improve biomedical properties of the materials. The response to the deformation of such materials is not yet clear. Soft elastic polyurethane treated with plasma immersion ion implantation is subjected to fatigue uniaxial loading. The influence of the strain amplitude and the plasma treatment regime on damage character is discussed. Surface defects are studied in unloaded and stretched states of the material. As a result of fatigue loading, transverse cracks (with closed overlapping edges as well as with open edges deeply propagating into the polymer and longitudinal folds which are break and bend inward, appear on the surface. Hard edges of cracks cut the soft polymer which is squeezed from the bulk to the surface. The observed damages are related to the high stiffness of the modified surface and its transition to the polymer substrate.

  3. Lattice Gas Model Based Optimization of Plasma-Surface Processes for GaN-Based Compound Growth

    Science.gov (United States)

    Nonokawa, Kiyohide; Suzuki, Takuma; Kitamori, Kazutaka; Sawada, Takayuki

    2001-10-01

    Progress of the epitaxial growth technique for GaN-based compounds makes these materials attractive for applications in high temperature/high-power electronic devices as well as in short-wavelength optoelectronic devices. For MBE growth of GaN epilayer, atomic nitrogen is usually supplied from ECR-plasma while atomic Ga is supplied from conventional K-cell. To grow high-quality epilayer, fundamental knowledge of the detailed atomic process, such as adsorption, surface migration, incorporation, desorption and so forth, is required. We have studied the influence of growth conditions on the flatness of the growth front surface and the growth rate using Monte Carlo simulation based on the lattice gas model. Under the fixed Ga flux condition, the lower the nitrogen flux and/or the higher the growth temperature, the better the flatness of the front surface at the sacrifice of the growth rate of the epilayer. When the nitrogen flux is increased, the growth rate reaches saturation value determined from the Ga flux. At a fixed growth temperature, increasing of nitrogen to Ga flux ratio results in rough surface owing to 3-dimensional island formation. Other characteristics of MBE-GaN growth using ECR-plasma can be well reproduced.

  4. Time-Domain Modeling of RF Antennas and Plasma-Surface Interactions

    Directory of Open Access Journals (Sweden)

    Jenkins Thomas G.

    2017-01-01

    Full Text Available Recent advances in finite-difference time-domain (FDTD modeling techniques allow plasma-surface interactions such as sheath formation and sputtering to be modeled concurrently with the physics of antenna near- and far-field behavior and ICRF power flow. Although typical sheath length scales (micrometers are much smaller than the wavelengths of fast (tens of cm and slow (millimeter waves excited by the antenna, sheath behavior near plasma-facing antenna components can be represented by a sub-grid kinetic sheath boundary condition, from which RF-rectified sheath potential variation over the surface is computed as a function of current flow and local plasma parameters near the wall. These local time-varying sheath potentials can then be used, in tandem with particle-in-cell (PIC models of the edge plasma, to study sputtering effects. Particle strike energies at the wall can be computed more accurately, consistent with their passage through the known potential of the sheath, such that correspondingly increased accuracy of sputtering yields and heat/particle fluxes to antenna surfaces is obtained. The new simulation capabilities enable time-domain modeling of plasma-surface interactions and ICRF physics in realistic experimental configurations at unprecedented spatial resolution. We will present results/animations from high-performance (10k-100k core FDTD/PIC simulations of Alcator C-Mod antenna operation.

  5. Development of an Organosilicon-Based Superhydrophobic/Icephobic Surface Using an Atmospheric Pressure Plasma Jet =

    Science.gov (United States)

    Asadollahi, Siavash

    During the past few decades, plasma-based surface treatment methods have gained a lot of interest in various applications such as thin film deposition, surface etching, surface activation and/or cleaning, etc. Generally, in plasma-based surface treatment methods, high-energy plasma-generated species are utilized to modify the surface structure or the chemical composition of a substrate. Unique physical and chemical characteristics of the plasma along with the high controllability of the process makes plasma treatment approaches very attractive in several industries. Plasma-based treatment methods are currently being used or investigated for a number of practical applications, such as adhesion promotion in auto industry, wound management and cancer treatment in biomedical industry, and coating development in aerospace industry. In this study, a two-step procedure is proposed for the development of superhydrophobic/icephobic coatings based on atmospheric-pressure plasma treatment of aluminum substrates using air and nitrogen plasma. The effects of plasma parameters on various surface properties are studied in order to identify the optimum conditions for maximum coating efficiency against icing and wetting. In the first step, the interactions between air or nitrogen plasma and the aluminum surface are studied. It is shown that by reducing jet-to-substrate distance, air plasma treatment, unlike nitrogen plasma treatment, is capable of creating micro-porous micro-roughened structures on the surface, some of which bear a significant resemblance to the features observed in laser ablation of metals with short and ultra-short laser pulses. The formation of such structures in plasma treatment is attributed to a transportation of energy from the jet to the surface over a very short period of time, in the range of picoseconds to microseconds. This energy transfer is shown to occur through a streamer discharge from the rotating arc source in the jet body to a close proximity of

  6. Diagnostics of plasma-biological surface interactions in low pressure and atmospheric pressure plasmas

    International Nuclear Information System (INIS)

    Ishikawa, Kenji; Hori, Masaru

    2014-01-01

    Mechanisms of plasma-surface interaction are required to understand in order to control the reactions precisely. Recent progress in atmospheric pressure plasma provides to apply as a tool of sterilization of contaminated foodstuffs. To use the plasma with safety and optimization, the real time in situ detection of free radicals - in particular dangling bonds by using the electron-spin-resonance (ESR) technique has been developed because the free radical plays important roles for dominantly biological reactions. First, the kinetic analysis of free radicals on biological specimens such as fungal spores of Penicillium digitatum interacted with atomic oxygen generated plasma electric discharge. We have obtained information that the in situ real time ESR signal from the spores was observed and assignable to semiquinone radical with a g-value of around 2.004 and a line width of approximately 5G. The decay of the signal was correlated with a link to the inactivation of the fungal spore. Second, we have studied to detect chemical modification of edible meat after the irradiation. Using matrix-assisted laser desorption/ionization time-of-flight mass spectroscopy (MALDI-TOF-MS) and ESR, signals give qualification results for chemical changes on edible liver meat. The in situ real-time measurements have proven to be a useful method to elucidate plasma-induced surface reactions on biological specimens. (author)

  7. Cathode material and pulsed plasma treatment influence on the microstructure and microhardness of high-chromium cast iron surface

    Directory of Open Access Journals (Sweden)

    Юлія Геннадіївна Чабак

    2016-11-01

    Full Text Available The article presents an analysis of the cathode material and the pulse plasma treatment mode influence on the surface microstructure and microhardness of high chrome (15% Cr cast iron. The methods of metallographic analysis and microhardness measurements were used. It has been shown that pulsed plasma treatment at 4 kV voltage with the use of the electro-axial thermal accelerator results in surface modification with high microhardness 950-1050 HV50, and in the formation of the coating due to the transfer of the electrodes material. The specific features of using different cathode materials have been systematized. It has been found that graphite electrodes are not recommended to be used due to their low strength and fracture under plasma pulses. In case of using tungsten cathode a coating of small thickness (20-30 microns and having cracks has been formed on the specimen surface. The most expedient is to apply the electrodes with low melting point (such as killed St.3, which provides a high-quality state of treated surface and formation the protective crack-free coating of 80-100 microns thick. It has been found that as a result of the plasma pulsed treatment the enrichment of coating with carbon is likely to occur that results in microhardness increase. The prospects of this technology as well as its shortcomings have been described

  8. Investigation of surface boundary conditions for continuum modeling of RF plasmas

    Science.gov (United States)

    Wilson, A.; Shotorban, B.

    2018-05-01

    This work was motivated by a lacking general consensus in the exact form of the boundary conditions (BCs) required on the solid surfaces for the continuum modeling of Radiofrequency (RF) plasmas. Various kinds of number and energy density BCs on solid surfaces were surveyed, and how they interacted with the electric potential BC to affect the plasma was examined in two fundamental RF plasma reactor configurations. A second-order local mean energy approximation with equations governing the electron and ion number densities and the electron energy density was used to model the plasmas. Zero densities and various combinations of drift, diffusion, and thermal fluxes were considered to set up BCs. It was shown that the choice of BC can have a significant impact on the sheath and bulk plasma. The thermal and diffusion fluxes to the surface were found to be important. A pure drift BC for dielectric walls failed to produce a sheath.

  9. Plasma interaction with emmissive surface with Debye-scale grooves

    Science.gov (United States)

    Schweigert, Irina; Burton, Thomas S.; Thompson, Gregory B.; Langendorf, Samuel; Walker, Mitchell L. R.; Keidar, Michael

    2018-04-01

    The sheath development over emissive grooved surface in dc discharge plasma controlled by an electron beam is studied in the experiment and in 2D kinetic simulations. Grooved hexagonal boron nitride surfaces with different aspect ratios, designed to mimic the erosion channels, were exposed to an argon plasma. The characteristic size of the grooves (1 mm and 5 mm) is about of the Debye length. The secondary electrons emission from the grooved surfaces is provided by the bombardment with energetic electrons originated from the heated powered cathode. The transition between a developed and a collapsed sheaths near emissive surface takes place with an increase of the beam electron energy. For grooved emissive surfaces, the sheath transition happens at essentially higher voltage compared to the planar one. This phenomenon is analyzed in the terms of the electron energy distribution function.

  10. Plasma surface functionalization and dyeing kinetics of Pan-Pmma copolymers

    OpenAIRE

    Labay, C.; Canal, C.; Rodríguez, C.; Caballero, G.; Canal, J.M.

    2013-01-01

    Fiber surface modification with air corona plasma has been studied through dyeing kinetics under isothermal conditions at 30 °C on an acrylic-fiber fabric with a cationic dye (CI Basic Blue 3) analyzing the absorption, desorption and fixing on the surface of molecules having defined cationic character. The initial dyeing rate in the first 60 s indicates an increase of 58.3% in the dyeing rate due to the effect of corona plasma on the acrylic fiber surface. At the end of the dyeing process...

  11. Plasma surface modification of rigid contact lenses decreases bacterial adhesion.

    Science.gov (United States)

    Wang, Yingming; Qian, Xuefeng; Zhang, Xiaofeng; Xia, Wei; Zhong, Lei; Sun, Zhengtai; Xia, Jing

    2013-11-01

    Contact lens safety is an important topic in clinical studies. Corneal infections usually occur because of the use of bacteria-carrying contact lenses. The current study investigated the impact of plasma surface modification on bacterial adherence to rigid contact lenses made of fluorosilicone acrylate materials. Boston XO and XO2 contact lenses were modified using plasma technology (XO-P and XO2-P groups). Untreated lenses were used as controls. Plasma-treated and control lenses were incubated in solutions containing Staphylococcus aureus or Pseudomonas aeruginosa. MTT colorimetry, colony-forming unit counting method, and scanning electron microscopy were used to measure bacterial adhesion. MTT colorimetry measurements showed that the optical density (OD) values of XO-P and XO2-P were significantly lower than those of XO and XO2, respectively, after incubation with S. aureus (P lenses and to the XO2-P versus XO2 lenses incubated with S. aureus (P lenses incubated with P. aeruginosa (P lenses. Plasma surface modification can significantly decrease bacterial adhesion to fluorosilicone acrylate contact lenses. This study provides important evidence of a unique benefit of plasma technology in contact lens surface modification.

  12. Surface characterization of plasma treated polymers for applications as biocompatible carriers

    Directory of Open Access Journals (Sweden)

    L. Bacakova

    2013-06-01

    Full Text Available The objective of this work was to determine surface properties of polymer surfaces after plasma treatment with the aim of further cytocompatibility tests. Examined polymers were poly(ethyleneterephthalate (PET, high-density polyethylene (HDPE, poly(tetrafluoro-ethylene (PTFE and poly(L-lactic acid (PLLA. Goniometry has shown that the plasma treatment was immediately followed by a sharp decrease of contact angle of the surface. In the course of ageing the contact angle increased due to the reorientation of polar groups into the surface layer of polymer. Ablation of polymer surfaces was observed during the degradation. Decrease of weight of polymer samples was measured by gravimetry. Surface morphology and roughness was studied by atomic force microscopy (AFM. The PLLA samples exhibited saturation of wettability (aged surface after approximately 100 hours, while the PET and PTFE achieved constant values of contact angle after 336 hours. Irradiation by plasma leads to polymer ablation, the highest mass loss being observed for PLLA. The changes in the surface roughness and morphology were observed, a lamellar structure being induced on PTFE. Selected polymer samples were seeded with VSMC (vascular smooth muscle cells and the adhesion and proliferation of cells was studied. It was proved that certain combination of input treatment parameters led to improvement of polymer cytocompatibility. The plasma exposure was confirmed to significantly improve the PTFE biocompatibility.

  13. Study on hydrophilicity of polymer surfaces improved by plasma treatment

    International Nuclear Information System (INIS)

    Lai Jiangnan; Sunderland, Bob; Xue Jianming; Yan, Sha; Zhao Weijiang; Folkard, Melvyn; Michael, Barry D.; Wang Yugang

    2006-01-01

    Surface properties of polycarbonate (PC), polypropylene (PP), polyethylene terephthalate (PET) samples treated by microwave-induced argon plasma have been studied with contact angle measurement, X-ray photoelectron spectroscopy (XPS) and scanned electron microscopy (SEM). It is found that plasma treatment modified the surfaces both in composition and roughness. Modification of composition makes polymer surfaces tend to be highly hydrophilic, which mainly depended on the increase of ratio of oxygen-containing group as same as other papers reported. And this experiment further revealed that C=O bond is Key factor to the improvement of the hydrophilicity of polymer surfaces. Our SEM observation on PET shown that the roughness of the surface has also been improved in micron scale and it has influence on the surface hydrophilicity

  14. High intensity surface plasma waves, theory and PIC simulations

    Science.gov (United States)

    Raynaud, M.; Héron, A.; Adam, J.-C.

    2018-01-01

    With the development of intense (>1019 W cm-2) short pulses (≤25 fs) laser with very high contrast, surface plasma wave (SPW) can be explored in the relativistic regime. As the SPW propagates with a phase velocity close to the speed of light it may results in a strong acceleration of electron bunches along the surface permitting them to reach relativistic energies. This may be important e.g. for applications in the field of plasma-based accelerators. We investigate in this work the excitation of SPWs on grating preformed over-dense plasmas for laser intensities ranging from 1019 up to 1021 W cm-2. We discuss the nature of the interaction with respect to the solid case in which surface plasmon can be resonantly excited with weak laser intensity. In particular, we show the importance of the pulse duration and focalization of the laser beam on the amplitude of the SPW.

  15. Apparent Surface Free Energy of Polymer/Paper Composite Material Treated by Air Plasma

    Directory of Open Access Journals (Sweden)

    Konrad Terpiłowski

    2017-01-01

    Full Text Available Surface plasma treatment consists in changes of surface properties without changing internal properties. In this paper composite polymer/paper material is used for production of packaging in cosmetic industry. There are problems with bonding this material at the time of packaging production due to its properties. Composite surface was treated by air plasma for 1, 10, 20, and 30 s. The advancing and receding contact angles of water, formamide, and diiodomethane were measured using both treated and untreated samples. Apparent surface free energy was estimated using the hysteresis (CAH and Van Oss, Good, Chaudhury approaches (LWAB. Surface roughness was investigated using optical profilometry and identification of after plasma treatment emerging chemical groups was made by means of the XPS (X-ray photoelectron spectroscopy technique. After plasma treatment the values of contact angles decreased which is particularly evident for polar liquids. Apparent surface free energy increased compared to that of untreated samples. Changes of energy value are due to the electron-donor parameter of energy. This parameter increases as a result of adding polar groups at the time of surface plasma activation. Changes of surface properties are combination of increase of polar chemical functional groups, increase on the surface, and surface roughness increase.

  16. Materials surface modification by plasma bombardment under simultaneous erosion and redeposition conditions

    International Nuclear Information System (INIS)

    Hirooka, Y.; Goebel, D.M.; Conn, R.W.

    1986-07-01

    The first in-depth investigation of surface modification of materials by continuous, high-flux argon plasma bombardment under simultaneous erosion and redeposition conditions have been carried out for copper and 304 stainless steel using the PISCES facility. The plasma bombardment conditions are: incident ion flux range from 10 17 to 10 19 ions sec -1 cm -2 , total ion fluence is controlled between 10 19 and 10 22 ions cm -2 , electron temperature range from 5 to 15 eV, and plasma density range from 10 11 to 10 13 cm -3 . The incident ion energy is 100 eV. The sample temperature is between 300 and 700K. Under redeposition dominated conditions, the material erosion rate due to the plasma bombardment is significantly smaller (by a factor up to 10) than that can be expected from the classical ion beam sputtering yield data. It is found that surface morphologies of redeposited materials strongly depend on the plasma bombardment condition. The effect of impurities on surface morphology is elucidated in detail. First-order modelings are implemented to interpret the reduced erosion rate and the surface evolution. Also, fusion related surface properties of redeposited materials such as hydrogen reemission and plasma driven permeation have been characterized

  17. Dust-Lower-Hybrid Surface Waves in Classical and Degenerate Plasmas

    International Nuclear Information System (INIS)

    Ayub, M.; Shah, H.A.; Qureshi, M.N.S.; Salimullah, M.

    2013-01-01

    The dispersion relation for general dust low frequency electrostatic surface waves propagating on an interface between a magnetized dusty plasma region and a vacuum is derived by using specular reflection boundary conditions both in classical and quantum regimes. The frequency limit ω ≪ ω ci ≪ ω ce is considered and the dispersion relation for the Dust-Lower-Hybrid Surface Waves (DLHSW's) is derived for both classical and quantum plasma half-space and analyzed numerically. It is shown that the wave behavior changes as the quantum nature of the problem is considered. (physics of gases, plasmas, and electric discharges)

  18. A Study of Atmospheric Plasma Treatment on Surface Energetics of Carbon Fibers

    International Nuclear Information System (INIS)

    Park, Soo Jin; Chang, Yong Hwan; Moon, Cheol Whan; Suh, Dong Hack; Im, Seung Soon; Kim, Yeong Cheol

    2010-01-01

    In this study, the atmospheric plasma treatment with He/O 2 was conducted to modify the surface chemistry of carbon fibers. The effects of plasma treatment parameters on the surface energetics of carbon fibers were experimentally investigated with respect to gas flow ratio, power intensity, and treatment time. Surface characteristics of the carbon fibers were determined by X-ray photoelectron spectroscopy (XPS), scanning electron microscope (SEM), Fourier transform infrared (FT-IR), Zeta-potential, and contact angle measurements. The results indicated that oxygen plasma treatment led to a large amount of reactive functional groups onto the fiber surface, and these groups can form together as physical intermolecular bonding to improve the surface wettability with a hydrophilic polymer matrix

  19. Helium atmospheric pressure plasma jets touching dielectric and metal surfaces

    Science.gov (United States)

    Norberg, Seth A.; Johnsen, Eric; Kushner, Mark J.

    2015-07-01

    Atmospheric pressure plasma jets (APPJs) are being investigated in the context plasma medicine and biotechnology applications, and surface functionalization. The composition of the surface being treated ranges from plastics, liquids, and biological tissue, to metals. The dielectric constant of these materials ranges from as low as 1.5 for plastics to near 80 for liquids, and essentially infinite for metals. The electrical properties of the surface are not independent variables as the permittivity of the material being treated has an effect on the dynamics of the incident APPJ. In this paper, results are discussed from a computational investigation of the interaction of an APPJ incident onto materials of varying permittivity, and their impact on the discharge dynamics of the plasma jet. The computer model used in this investigation solves Poisson's equation, transport equations for charged and neutral species, the electron energy equation, and the Navier-Stokes equations for the neutral gas flow. The APPJ is sustained in He/O2 = 99.8/0.2 flowing into humid air, and is directed onto dielectric surfaces in contact with ground with dielectric constants ranging from 2 to 80, and a grounded metal surface. Low values of relative permittivity encourage propagation of the electric field into the treated material and formation and propagation of a surface ionization wave. High values of relative permittivity promote the restrike of the ionization wave and the formation of a conduction channel between the plasma discharge and the treated surface. The distribution of space charge surrounding the APPJ is discussed.

  20. Atmospheric pressure plasma cleaning of contamination surfaces. 1997 mid-year progress report

    International Nuclear Information System (INIS)

    Hicks, R.; Selwyn, G.S.

    1997-01-01

    'Goals of the project are to (1) identify the key physics and chemistry underlying the use of high pressure plasmas for etching removal of actinides and actinide surrogates; and (2) identify key surface reactions and plasma physics necessary for optimization of the atmospheric pressure plasma jet. Technical description of the work decommissioning of transuranic waste (TRU) into low-level radioactive waste (LLW) represents the largest cleanup cost associated with the nuclear weapons complex. This work is directed towards developing a low-cost plasma technology capable of converting TRU into LLW, based upon highly selective plasma etching of plutonium and other actinides from contaminated surfaces. In this way, only the actinide material is removed, leaving the surface less contaminated. The plasma etches actinide material by producing a volatile halide compound, which may be efficiently trapped using filters. To achieve practical, low-cost operation of a plasma capable of etching actinide materials, the authors have developed a y-mode, resonant-cavity, atmospheric pressure plasma jet (APPJ). In contrast to conventional, low pressure plasmas, the APPJ produces a purely-chemical effluent free of ions, and so achieves very high selectivity and produces negligible damage to the surface. Since the jet operates outside a chamber, many nuclear wastes may be treated including machinery, duct-work, concrete and other building materials. In some cases, it may be necessary to first remove paint from contaminated surfaces using a plasma selective for that surface, then to switch to the actinide etching chemistry for removal of actinide contamination. The goal of this work is to develop the underlying science required for maturation of this technology and to establish early version engineering prototypes. Accomplishments to Date The authors have made significant progress in this program. The work conducted jointly at Los Alamos and at UCLA. This has been facilitated by exchange

  1. Atmospheric pressure plasma cleaning of contamination surfaces. 1997 mid-year progress report

    Energy Technology Data Exchange (ETDEWEB)

    Selwyn, G.S. [Los Alamos National Lab., NM (US); Hicks, R. [Univ. of California, Los Angeles, CA (US)

    1997-06-01

    'Goals of the project are to (1) identify the key physics and chemistry underlying the use of high pressure plasmas for etching removal of actinides and actinide surrogates; and (2) identify key surface reactions and plasma physics necessary for optimization of the atmospheric pressure plasma jet. Technical description of the work decommissioning of transuranic waste (TRU) into low-level radioactive waste (LLW) represents the largest cleanup cost associated with the nuclear weapons complex. This work is directed towards developing a low-cost plasma technology capable of converting TRU into LLW, based upon highly selective plasma etching of plutonium and other actinides from contaminated surfaces. In this way, only the actinide material is removed, leaving the surface less contaminated. The plasma etches actinide material by producing a volatile halide compound, which may be efficiently trapped using filters. To achieve practical, low-cost operation of a plasma capable of etching actinide materials, the authors have developed a y-mode, resonant-cavity, atmospheric pressure plasma jet (APPJ). In contrast to conventional, low pressure plasmas, the APPJ produces a purely-chemical effluent free of ions, and so achieves very high selectivity and produces negligible damage to the surface. Since the jet operates outside a chamber, many nuclear wastes may be treated including machinery, duct-work, concrete and other building materials. In some cases, it may be necessary to first remove paint from contaminated surfaces using a plasma selective for that surface, then to switch to the actinide etching chemistry for removal of actinide contamination. The goal of this work is to develop the underlying science required for maturation of this technology and to establish early version engineering prototypes. Accomplishments to Date The authors have made significant progress in this program. The work conducted jointly at Los Alamos and at UCLA. This has been facilitated by

  2. Experimental Study of Plasma-Surface Interaction and Material Damage Relevant to ITER Type I Elms

    International Nuclear Information System (INIS)

    Makhlai, V.A.; Bandura, A.N.; Byrka, O.V. and others; Landman, I.; Neklyudov, I.M.

    2006-01-01

    The paper presents experimental investigations of main features of plasma surface interaction and energy transfer to the material surface in dependence on plasma heat loads. The experiments were performed with QSPA repetitive plasma pulses of the duration of 0.25 ms and the energy density up to 2.5 MJ/m 2 . Surface morphology of the targets exposed to QSPA plasma screams is analyzed. Relative contribution of the Lorentz force and plasma pressure gradient to the resulting surface profile is discussed. development of cracking on the tungsten surface and swelling of the surface are found to be in strong dependence on initial temperature of the target

  3. Plasma nitriding - an eco friendly surface hardening process

    International Nuclear Information System (INIS)

    Mukherjee, S.

    2015-01-01

    Surface hardening is a process of heating the metal such that the surface gets only hardened. This process is adopted for many components like gears, cams, and crankshafts, which desire high hardness on the outer surface with a softer core to withstand the shocks. So, to attain such properties processes like carburising, nitriding, flame hardening and induction hardening are employed. Amongst these processes nitriding is the most commonly used process by many industries. In nitriding process the steel material is heated to a temperature of around 550 C and then exposed to atomic nitrogen. This atomic nitrogen reacts with iron and other alloying elements and forms nitrides, which are very hard in nature. By this process both wear resistance and hardness of the product can be increased. The atomic nitrogen required for this process can be obtained using ammonia gas (gas nitriding), cyanide based salt bath (liquid nitriding) and plasma medium (plasma nitriding). However, plasma nitriding has recently received considerable industrial interest owing to its characteristic of faster nitrogen penetration, short treatment time, low process temperature, minimal distortion, low energy use and easier control of layer formation compared with conventional techniques such as gas and liquid nitriding. This process can be used for all ferrous materials including stainless steels. Plasma nitriding is carried out using a gas mixture of nitrogen and hydrogen gas at sub atmospheric pressures hence, making it eco-friendly in nature. Plasma nitriding allows modification of the surface layers and hardness profiles by changing the gas mixture and temperature. The wide applicable temperature range enables a multitude of applications, beyond the possibilities of gas or salt bath processes. This has led to numerous applications of this process in industries such as the manufacture of machine parts for plastics and food processing, packaging and tooling as well as pumps and hydraulic, machine

  4. Impulse Plasma In Surface Engineering - a review

    Science.gov (United States)

    Zdunek, K.; Nowakowska-Langier, K.; Chodun, R.; Okrasa, S.; Rabinski, M.; Dora, J.; Domanowski, P.; Halarowicz, J.

    2014-11-01

    The article describes the view of the plasma surface engineering, assuming the role of non-thermal energy effects in the synthesis of materials and coatings deposition. In the following study it was underlined that the vapor excitation through the application of an electric field during coatings deposition gives new possibilities for coatings formation. As an example the IPD method was chosen. During the IPD (Impulse Plasma Deposition) the impulse plasma is generated in the coaxial accelerator by strong periodic electrical pulses. The impulse plasma is distributed in the form of energetic plasma pockets. Due to the almost completely ionization of gas, the nucleation of new phases takes place on ions directly in the plasma itself. As a result the coatings of metastable materials with nano-amorphous structure and excellent adhesion to the non-heated intentionally substrates could be deposited. Recently the novel way of impulse plasma generation during the coatings deposition was proposed and developed by our group. An efficient tool for plasma process control, the plasma forming gas injection to the interelectrode space was used. Periodic changing the gas pressure results in increasing both the degree of dispersion and the dynamics of the plasma pulses. The advantage of the new technique in deposition of coatings with exceptionally good properties has been demonstrated in the industrial scale not only in the case of the IPD method but also in the case of very well known magnetron sputtering method.

  5. Response of fuzzy tungsten surfaces to pulsed plasma bombardment

    International Nuclear Information System (INIS)

    Nishijima, D.; Doerner, R.P.; Iwamoto, D.; Kikuchi, Y.; Miyamoto, M.; Nagata, M.; Sakuma, I.; Shoda, K.; Ueda, Y.

    2013-01-01

    Damage of fuzzy tungsten surfaces due to a transient plasma load is characterized in terms of mass loss, surface morphology, and optical properties. A single D pulsed (∼0.1–0.2 ms) plasma shot with surface absorbed energy density of ∼1.1 MJ m −2 leads to a mass loss of ∼80 μg, which cannot be explained by physical sputtering. Thus, macroscopic erosion processes such as droplets and dust release as well as arcing are thought to be responsible for the mass loss. In fact, scanning electron microscopy observations reveal the melting of the tips of fuzz and arc tracks. The optical reflectivity of the damaged (melted) surface is measured to be higher than that of an undamaged fuzzy surface (below ∼0.01%). Spectroscopic ellipsometry shows that the refractive index, n, and extinction coefficient, k, increase from n ≈ 1 and k ≈ 0 for an undamaged fuzzy surface with an increase in the degree of damage of fuzz

  6. Ultrasound enhanced plasma surface modification at atmospheric pressure

    DEFF Research Database (Denmark)

    Kusano, Yukihiro; Singh, Shailendra Vikram; Norrman, Kion

    2012-01-01

    Efficiency of atmospheric pressure plasma treatment can be highly enhanced by simultaneous high power ultrasonic irradiation onto the treating surface. It is because ultrasonic waves with a sound pressure level (SPL) above ∼140 dB can reduce the thickness of a boundary gas layer between the plasma...... arc at atmospheric pressure to study adhesion improvement. The effect of ultrasonic irradiation with the frequency diapason between 20 and 40 kHz at the SPL of ∼150 dB was investigated. After the plasma treatment without ultrasonic irradiation, the wettability was significantly improved...

  7. Development of bio/blood compatible polypropylene through low pressure nitrogen plasma surface modification

    International Nuclear Information System (INIS)

    Gomathi, N.; Rajasekar, R.; Babu, R. Rajesh; Mishra, Debasish; Neogi, S.

    2012-01-01

    Surface modification of polypropylene by nitrogen containing plasma was performed in this work in order to improve the wettability which resulted in enhanced biocompatibility and blood compatibility. Various nitrogen containing functional groups as well as oxygen containing functional groups were found to be incorporated to the polymer surface during plasma treatment and post plasma reaction respectively. Wettability of the polymers was evaluated by static contact angle measurement to show the improvement in hydrophilicity of plasma treated polypropylene. Cross linking and surface modification were reported to be dominating in the case of nitrogen plasma treatment compared to degradation. The effect of various process variables namely power, pressure, flow rate and treatment time on surface energy and weight loss was studied at various levels according to the central composite design of response surface methodology (RSM). Except pressure the other variables resulted in increased weight loss due to etching whereas with increasing pressure weight loss was found to increase and then decrease. The effect of process variables on surface morphology of polymers was evaluated by Scanning Electron Microscopy (SEM) and Atomic Force Microscopy (AFM). Well spread fibroblast cells on nitrogen plasma treated polypropylene due to the presence of CO, NH 2+ and NH + was observed. Reduced platelet adhesion and increased partial thromboplastin time evidenced the increased blood compatibility. - Highlights: ► Improved biocompatibility and blood compatibility of polypropylene. ► Nitrogen plasma surface modification. ► Maintaining a balance between polar group incorporation and weight loss due to etching. ► Optimization of process conditions by response surface methodology.

  8. Surface modification and stability of detonation nanodiamonds in microwave gas discharge plasma

    Energy Technology Data Exchange (ETDEWEB)

    Stanishevsky, Andrei V., E-mail: astan@uab.edu; Walock, Michael J.; Catledge, Shane A.

    2015-12-01

    Graphical abstract: - Highlights: • Single and binary gas plasma modification of nanodiamond powders studied. • Temperature-dependent effect of N{sub 2} and N{sub 2}/H{sub 2} plasma reported for the first time. • Role of H{sub 2} in H{sub 2}/N{sub 2} and H{sub 2}/O{sub 2} plasma modification of nanodiamond discussed. - Abstract: Detonation nanodiamonds (DND), with low hydrogen content, were exposed to microwave plasma generated in pure H{sub 2}, N{sub 2}, and O{sub 2} gases and their mixtures, and investigated using X-ray diffraction (XRD), Fourier Transform Infrared (FTIR), Raman, and X-ray photoelectron spectroscopies. Considerable alteration of the DND surface was observed under the plasma conditions for all used gases, but the diamond structure of the DND particle core was preserved in most cases. The stabilizing effect of H{sub 2} in H{sub 2}/N{sub 2} and H{sub 2}/O{sub 2} binary gas plasmas on the DND structure and the temperature-dependent formation of various CNH{sub x} surface groups in N{sub 2} and H{sub 2}/N{sub 2} plasmas were observed and discussed for the first time. DND surface oxidation and etching were the main effects of O{sub 2} plasma, whereas the N{sub 2} plasma led to DND surfaces rich in amide groups below 1073 K and nitrile groups at higher temperatures. Noticeable graphitization of the DND core structure was detected only in N{sub 2} plasma when the substrate temperature was above 1103 K.

  9. Characterization of thermomechanical damage on tungsten surfaces during long-duration plasma transients

    Energy Technology Data Exchange (ETDEWEB)

    Rivera, David, E-mail: david.rivera.ucla@gmail.com; Crosby, Tamer; Sheng, Andrew; Ghoniem, Nasr M.

    2014-12-15

    A new experimental facility constructed at UCLA for the simulation of high heat flux effects on plasma-facing materials is described. The High Energy Flux Test Facility (HEFTY) is equipped with a Praxair model SG-100 plasma gun, which is nominally rated at 80 kW of continuous operation, of which approximately 30 kW reaches the target due to thermal losses. The gun is used to impart high intermittent heat flux to metal samples mounted within a cylindrical chamber. The system is capable of delivering an instantaneous heat flux in the range of 30–300 MW/m{sup 2}, depending on sample proximity to the gun. The duration of the plasma heat flux is in the range of 1–1000 s, making it ideal for studies of mild plasma transients of relatively long duration. Tungsten and tungsten-copper alloy metal samples are tested in these transient heat flux conditions, and the surface is characterized for damage evaluation using optical, SEM, XRD, and micro-fabrication techniques. Results from a Finite Element (FE) thermo-elastoplasticity model indicate that during the heat-up phase of a plasma transient pulse, the majority of the sample surface is under compressive stresses leading to plastic deformation of the surface. Upon sample cooling, the recovered elastic strain of cooler parts of the sample exceeds that from parts that deformed plastically, resulting in a tensile surface self-stress (residual surface stress). The intensity of the residual tensile surface stress is experimentally correlated with the onset of complex surface fracture morphology on the tungsten surface, and extending below the surface region. Micro-compression mechanical tests of W micro-pillars show that the material has significant plasticity, failing by a “barreling” mode before plasma exposure, and by normal dislocation slip and localized shear after plasma exposure. Ongoing modeling of the complex thermo-fracture process, coupled with elasto-plasticity is based on a phase field approach for distributed

  10. Interpolation of magnetic surface functions for an axi-symmetric plasma

    International Nuclear Information System (INIS)

    Yamaguchi, Taiki; Maeyama, Mitsuaki

    2000-01-01

    Informations of the magnetic surface functions of magnetically confined plasma are indispensable for equilibrium, stability and transport analyses. In this paper, in order to identify a realistic surface functions and compare those with ones which are introduced from Taylor's relaxation theory, we propose a code to interpolate these surface functions for an axi-symmetric plasma from experimentally measured data. To confirm our code, we used the date which were analyzed from known functions given as a measured data. As a result, we have developed a code which can derive surface functions I and P. Effects of measurement error on those functions are also examined. (author)

  11. Atmospheric pressure plasma jet's characterization and surface wettability driven by neon transformer

    Science.gov (United States)

    Elfa, R. R.; Nafarizal, N.; Ahmad, M. K.; Sahdan, M. Z.; Soon, C. F.

    2017-03-01

    Atmospheric pressure plasma driven by Neon transformer power supply argon is presented in this paper. Atmospheric pressure plasma system has attracted researcher interest over low pressure plasma as it provides a flexibility process, cost-efficient, portable device and vacuum-free device. Besides, another golden key of this system is the wide promising application in the field of work cover from industrial and engineering to medical. However, there are still numbers of fundamental investigation that are necessary such as device configuration, gas configuration and its effect. Dielectric barrier discharge which is also known as atmospheric pressure plasma discharge is created when there is gas ionization process occur which enhance the movement of atom and electron and provide energetic particles. These energetic particles can provide modification and cleaning property to the sample surface due to the bombardment of the high reactive ion and radicals to the sample surface. In order to develop atmospheric pressure plasma discharge, a high voltage and high frequency power supply is needed. In this work, we used a neon transformer power supply as the power supply. The flow of the Ar is feed into 10 mm cylinder quartz tube with different treatment time in order to investigate the effect of the plasma discharge. The analysis of each treatment time is presented by optical emission spectroscopy (OES) and water contact angle (WCA) measurement. The increase of gas treatment time shows increases intensity of reactive Ar and reduces the angle of water droplets in water contact angle. Treatment time of 20 s microslide glass surface shows that the plasma needle discharges have modified the sample surface from hydrophilic surface to superhydrophilic surface. Thus, this leads to another interesting application in reducing sample surface adhesion to optimize productivity in the industry of paintings, semiconductor and more.

  12. Study on surface adhesion of Plasma modified Polytetrafluoroethylene hollow fiber membrane

    Science.gov (United States)

    Chen, Jiangrong; Zhang, Huifeng; Liu, Guochang; Guo, Chungang; Lv, Jinglie; Zhangb, Yushan

    2018-01-01

    Polytetrafluoroethylene (PTFE) is popular membrane material because of its excellent thermal stability, chemical stability and mechanical stability. However, the low surface energy and non-sticky property of PTFE present challenges for modification. In the present study, plasma treatment was performed to improve the surface adhesion of PTFE hollow fiber membrane. The effect of discharge voltage, treatment time on the adhesion of PTFE hollow fiber membrane was symmetrically evaluated. Results showed that the plasma treatment method contributed to improve the surface activity and roughness of PTFE hollow fiber membrane, and the adhesion strength depend significantly on discharge voltage, which was beneficial to seepage pressure of PTFE hollow fiber membrane module. The adhesion strength of PTFE membrane by plasma treated at 220V for 3min reached as high as 86.2 N, far surpassing the adhesion strength 12.7 N of pristine membrane. Furthermore, improvement of content of free radical and composition analysis changes of the plasma modified PTFE membrane were investigated. The seepage pressure of PTFE membrane by plasma treated at 220V for 3min was 0.375 MPa, which means that the plasma treatment is an effective technique to improve the adhesion strength of membrane.

  13. Nano-structuring of PTFE surface by plasma treatment, etching, and sputtering with gold

    International Nuclear Information System (INIS)

    Reznickova, Alena; Kolska, Zdenka; Hnatowicz, Vladimir; Svorcik, Vaclav

    2011-01-01

    Properties of pristine, plasma modified, and etched (by water and methanol) polytetrafluoroethylene (PTFE) were studied. Gold nanolayers sputtered on this modified PTFE have been also investigated. Contact angle, measured by goniometry, was studied as a function of plasma exposure and post-exposure aging times. Degradation of polymer chains was examined by etching of plasma modified PTFE in water or methanol. The amount of ablated and etched layer was measured by gravimetry. In the next step the pristine, plasma modified, and etched PTFE was sputtered with gold. Changes in surface morphology were observed using atomic force microscopy. Chemical structure of modified polymers was characterized by X-ray photoelectron spectroscopy (XPS). Surface chemistry of the samples was investigated by electrokinetic analysis. Sheet resistance of the gold layers was measured by two-point technique. The contact angle of the plasma modified PTFE decreases with increasing exposure time. The PTFE amount, ablated by the plasma treatment, increases with the plasma exposure time. XPS measurements proved that during the plasma treatment the PTFE macromolecular chains are degraded and oxidized and new –C–O–C–, –C=O, and –O–C=O groups are created in modified surface layer. Surface of the plasma modified PTFE is weakly soluble in methanol and intensively soluble in water. Zeta potential and XPS shown dramatic changes in PTFE surface chemistry after the plasma exposure, water etching, and gold deposition. When continuous gold layer is formed a rapid decrease of the sheet resistance of the gold layer is observed.

  14. New electron-ion-plasma equipment for modification of materials and products surface

    International Nuclear Information System (INIS)

    Koval', N.N.

    2013-01-01

    Electron-ion-plasma treatment of materials and products, including surface clearing and activation, formation surface layers with changed chemical and phase structure, increased hardness and corrosion resistance; deposition of various functional coatings, has received a wide distribution in a science and industry. Widespread methods of ion-plasma modification of material and product surfaces are ion etching and activation, ion-plasma nitriding, arc or magnetron deposition of functional coatings, including nanostructured. The combination of above methods of surface modification allows essentially to improve exploitation properties of treated products and to optimize the characteristics of modified surfaces for concrete final requirements. For the purpose of a combination of various methods of ion-plasma treatment in a single work cycle at Institute of High Current Electronics of SB RAS (IHCE SB RAS) specialized technological equipment 'DUET', 'TRIO' and 'QUADRO' and 'KVINTA' have been developed. This equipment allow generating uniform low-temperature gas plasma at pressures of (0.1-1) Pa with concentration of (10 9 -10 11 ) cm -3 in volume of (0.1-1) m 3 . In the installations consistent realization of several various operations of materials and products treatment in a single work cycle is possible. The operations are preliminary heating and degassing, ion clearing, etching and activation of materials and products surface by plasma of arc discharges; chemicothermal treatment (nitriding) for formation of diffusion layer on a surface of treated sample using plasma of nonself-sustained low-pressure arc discharge; deposition of single- or multilayered superhard (≥40 GPa) nanocrystalline coatings on the basis of pure metals or their compounds (nitrides, carbides, carbonitrides) by the arc plasma-assisted method. For realization of the modes all installations are equipped by original sources of gas and metal plasma. Besides, in

  15. Liquid Lithium Limiter Effects on Tokamak Plasmas and Plasma-Liquid Surface Interactions

    Energy Technology Data Exchange (ETDEWEB)

    R. Kaita; R. Majeski; R. Doerner; G. Antar; M. Baldwin; R. Conn; P. Efthimion; M. Finkenthal; D. Hoffman; B. Jones; S. Krashenninikov; H. Kugel; S. Luckhardt; R. Maingi; J. Menard; T. Munsat; D. Stutman; G. Taylor; J. Timberlake; V. Soukhanovskii; D. Whyte; R. Woolley; L. Zakharov

    2002-10-15

    We present results from the first experiments with a large area liquid lithium limiter in a magnetic fusion device, and its effect on improving plasma performance by reducing particle recycling. Using large area liquid metal surfaces in any major fusion device is unlikely before a test on a smaller scale. This has motivated its demonstration in the CDX-U spherical torus with a unique, fully toroidal lithium limiter. The highest current discharges were obtained with a liquid lithium limiter. There was a reduction in recycling, as indicated by a significant decrease in the deuterium-alpha emission and oxygen radiation. How these results might extrapolate to reactors is suggested in recycling/retention experiments with liquid lithium surfaces under high-flux deuterium and helium plasma bombardment in PISCES-B. Data on deuterium atoms retained in liquid lithium indicate retention of all incident ions until full volumetric conversion to lithium deuteride. The PISCES-B results also show a material loss mechanism that lowers the maximum operating temperature compared to that for the liquid surface equilibrium vapor pressure. This may restrict the lithium temperature in reactors.

  16. Liquid Lithium Limiter Effects on Tokamak Plasmas and Plasma-Liquid Surface Interactions

    International Nuclear Information System (INIS)

    Kaita, R.; Majeski, R.; Doerner, R.; Antar, G.; Baldwin, M.; Conn, R.; Efthimion, P.; Finkenthal, M.; Hoffman, D.; Jones, B.; Krashenninikov, S.; Kugel, H.; Luckhardt, S.; Maingi, R.; Menard, J.; Munsat, T.; Stutman, D.; Taylor, G.; Timberlake, J.; Soukhanovskii, V.; Whyte, D.; Woolley, R.; Zakharov, L.

    2002-01-01

    We present results from the first experiments with a large area liquid lithium limiter in a magnetic fusion device, and its effect on improving plasma performance by reducing particle recycling. Using large area liquid metal surfaces in any major fusion device is unlikely before a test on a smaller scale. This has motivated its demonstration in the CDX-U spherical torus with a unique, fully toroidal lithium limiter. The highest current discharges were obtained with a liquid lithium limiter. There was a reduction in recycling, as indicated by a significant decrease in the deuterium-alpha emission and oxygen radiation. How these results might extrapolate to reactors is suggested in recycling/retention experiments with liquid lithium surfaces under high-flux deuterium and helium plasma bombardment in PISCES-B. Data on deuterium atoms retained in liquid lithium indicate retention of all incident ions until full volumetric conversion to lithium deuteride. The PISCES-B results also show a material loss mechanism that lowers the maximum operating temperature compared to that for the liquid surface equilibrium vapor pressure. This may restrict the lithium temperature in reactors

  17. Liquid lithium limiter effects on tokamak plasmas and plasma-liquid surface interactions

    International Nuclear Information System (INIS)

    Kaita, R.; Majeski, R.; Doerner, R.

    2003-01-01

    We present results from the first experiments with a large area liquid lithium limiter in a magnetic fusion device, and its effect on improving plasma performance by reducing particle recycling. Using large area liquid metal surfaces in any major fusion device is unlikely before a test on a smaller scale. This has motivated its demonstration in the CDX-U spherical torus with a unique, fully toroidal lithium limiter. The highest current discharges were obtained with a liquid lithium limiter. There was a reduction in recycling, as indicated by a significant decrease in the deuterium-alpha emission and oxygen radiation. How these results might extrapolate to reactors is suggested in recycling/retention experiments with liquid lithium surfaces under high-flux deuterium and helium plasma bombardment in PISCES-B. Data on deuterium atoms retained in liquid lithium indicate retention of all incident ions until full volumetric conversion to lithium deuteride. The PISCES-B results also show a material loss mechanism that lowers the maximum operating temperature compared to that for the liquid surface equilibrium vapor pressure. This may restrict the lithium temperature in reactors. (author)

  18. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    Science.gov (United States)

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  19. Improvement of crystalline silicon surface passivation by hydrogen plasma treatment

    International Nuclear Information System (INIS)

    Martin, I.; Vetter, M.; Orpella, A.; Voz, C.; Puigdollers, J.; Alcubilla, R.; Kharchenko, A.V.; Roca i Cabarrocas, P.

    2004-01-01

    A completely dry low-temperature process has been developed to passivate 3.3 Ω cm p-type crystalline silicon surface with excellent results. Particularly, we have investigated the use of a hydrogen plasma treatment, just before hydrogenated amorphous silicon carbide (a-SiC x :H) deposition, without breaking the vacuum. We measured effective lifetime, τ eff , through a quasi-steady-state photoconductance technique. Experimental results show that hydrogen plasma treatment improves surface passivation compared to classical HF dip. S eff values lower than 19 cm s -1 were achieved using a hydrogen plasma treatment and an a-SiC x :H film deposited at 300 deg. C

  20. Effect of plasma-induced surface charging on catalytic processes: application to CO2 activation

    Science.gov (United States)

    Bal, Kristof M.; Huygh, Stijn; Bogaerts, Annemie; Neyts, Erik C.

    2018-02-01

    Understanding the nature and effect of the multitude of plasma-surface interactions in plasma catalysis is a crucial requirement for further process development and improvement. A particularly intriguing and rather unique property of a plasma-catalytic setup is the ability of the plasma to modify the electronic structure, and hence chemical properties, of the catalyst through charging, i.e. the absorption of excess electrons. In this work, we develop a quantum chemical model based on density functional theory to study excess negative surface charges in a heterogeneous catalyst exposed to a plasma. This method is specifically applied to investigate plasma-catalytic CO2 activation on supported M/Al2O3 (M = Ti, Ni, Cu) single atom catalysts. We find that (1) the presence of a negative surface charge dramatically improves the reductive power of the catalyst, strongly promoting the splitting of CO2 to CO and oxygen, and (2) the relative activity of the investigated transition metals is also changed upon charging, suggesting that controlled surface charging is a powerful additional parameter to tune catalyst activity and selectivity. These results strongly point to plasma-induced surface charging of the catalyst as an important factor contributing to the plasma-catalyst synergistic effects frequently reported for plasma catalysis.

  1. Surface analysis of 316 stainless steel treated with cold atmospheric plasma

    Energy Technology Data Exchange (ETDEWEB)

    Williams, David F., E-mail: david.williams@surrey.ac.uk [Department of Mechanical Engineering Sciences, University Of Surrey, Guildford, Surrey GU2 7XH (United Kingdom); TWI Ltd Granta Park Great Abington, Cambridge CB21 6AL (United Kingdom); Kellar, Ewen J.C. [TWI Ltd Granta Park Great Abington, Cambridge CB21 6AL (United Kingdom); Jesson, David A.; Watts, John F. [Department of Mechanical Engineering Sciences, University Of Surrey, Guildford, Surrey GU2 7XH (United Kingdom)

    2017-05-01

    Highlights: • Reduction in carbon contamination from ∼80 at.% to 40 at.% after 15 s treatment. • Associated carbon thickness reduction from 4.5 nm to 0.5 nm. • Area treated by torch has a diameter of 11 mm measured using imaging XPS. - Abstract: The surface of 316 stainless steel has been modified using cold atmospheric plasma (CAP) to increase the surface free energy (by cleaning the and chemically activating the surface)IN preparation for subsequent processes such as painting, coating or adhesive bonding. The analyses carried out, on CAP treated 316 stainless steel surfaces, includes X-ray photoelectron spectroscopy (XPS), imaging XPS (iXPS), and surface free energy (SFE) analysis using contact angle measurements. The CAP treatment is shown to increase the SFE of as-received 316 stainless steel from ∼39 mJ m{sup −1} to >72 mJ m{sup −1} after a short exposure to the plasma torch. This was found to correlate to a reduction in adventitious carbon, as determined by XPS analysis of the surface. The reduction from ∼90 at% to ∼30% and ∼39 at%, after being plasma treated for 5 min and 15 s respectively, shows that the process is relatively quick at changing the surface. It is suggested that the mechanism that causes the increase in surface free energy is chain scission of the hydrocarbon contamination triggered by free electrons in the plasma plume followed by chemical functionalisation of the metal oxide surface and some of the remaining carbon contamination layer.

  2. Effects of plasma cleaning of the Cu seed layer surface on Cu electroplating

    International Nuclear Information System (INIS)

    O, Jun Hwan; Lee, Seong Wook; Kim, Jae Bum; Lee, Chong Mu

    2001-01-01

    Effects of plasma pretreatment to Cu seed/tantalum nitride (TaN)/ borophosphosilicate glass (BPSG) samples on copper (Cu) electroplating were investigated. Copper seed layers were deposited by magnetron sputtering onto tantalum nitride barrier layers before electroplating copper in the forward pulsed mode. The Cu seed layer was cleaned by plasma H 2 and N 2 prior to electroplating a copper film. Cu films electroplated on the copper seed layer with plasma pretreatment showed better electrical and physical properties such as electrical resistivities, surface morphologies, levels of impurities, adhesion and surface roughness than those without plasma pretreatment. It is shown that carbon and metal oxide contaminants at the sputtered Cu seed/TaN surface could be effectively removed by plasma H 2 cleaning. The degree of the (111) prefered orientation of the Cu film with plasma H 2 pretreatment is as high as pulse plated Cu film without plasma pretreatment. Also, plasma H 2 precleaning is more effective in enhancing the Cu electroplating properties onto the Cu seed layer than plasma N 2 precleaning

  3. Studies on surface modification of poly(tetrafluoroethylene) film by remote and direct Ar plasma

    International Nuclear Information System (INIS)

    Wang Chen; Chen Jierong; Li Ru

    2008-01-01

    Poly(tetrafluoroethylene) (PTFE) surfaces are modified with remote and direct Ar plasma, and the effects of the modification on the hydrophilicity of PTFE are investigated. The surface microstructures and compositions of the PTFE film were characterized with the goniometer, scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS). Results show that the remote and direct plasma treatments modify the PTFE surface in morphology and composition, and both modifications cause surface oxidation of PTFE films, in the forming of some polar functional groups enhancing polymer wettability. When the remote and direct Ar plasma treats PTFE film, the contact angles decrease from the untreated 108-58 o and 65.2 o , respectively. The effect of the remote Ar plasma is more noticeable. The role of all kinds of active species, e.g. electrons, ions and free radicals involved in plasma surface modification is further evaluated. This shows that remote Ar plasma can restrain the ion and electron etching reaction and enhance radical reaction

  4. Study of Dynamic Features of Surface Plasma in High-Power Disk Laser Welding

    International Nuclear Information System (INIS)

    Wang Teng; Gao Xiangdong; Seiji, Katayama; Jin, Xiaoli

    2012-01-01

    High-speed photography was used to obtain the dynamic changes in the surface plasma during a high-power disk laser welding process. A color space clustering algorithm to extract the edge information of the surface plasma region was developed in order to improve the accuracy of image processing. With a comparative analysis of the plasma features, i.e., area and height, and the characteristics of the welded seam, the relationship between the surface plasma and the stability of the laser welding process was characterized, which provides a basic understanding for the real-time monitoring of laser welding.

  5. THz detectors using surface Josephson plasma waves in layered superconductors

    International Nuclear Information System (INIS)

    Savel'ev, Sergey; Yampol'skii, Valery; Nori, Franco

    2006-01-01

    We describe a proposal for THz detectors based on the excitation of surface waves, in layered superconductors, at frequencies lower than the Josephson plasma frequency ω J . These waves propagate along the vacuum-superconductor interface and are attenuated in both transverse directions out of the surface (i.e., towards the superconductor and towards the vacuum). The surface Josephson plasma waves are also important for the complete suppression of the specular reflection from a sample (Wood's anomalies, used for gratings) and produce a huge enhancement of the wave absorption, which can be used for the detection of THz waves

  6. Cleaning of niobium surface by plasma of diffuse discharge at atmospheric pressure

    Science.gov (United States)

    Tarasenko, V. F.; Erofeev, M. V.; Shulepov, M. A.; Ripenko, V. S.

    2017-07-01

    Elements composition of niobium surface before and after plasma treatment by runaway electron preionized diffuse discharge was investigated in atmospheric pressure nitrogen flow by means of an Auger electron spectroscopy. Surface characterizations obtained from Auger spectra show that plasma treatment by diffuse discharge after exposure of 120000 pulses provides ultrafine surface cleaning from carbon contamination. Moreover, the surface free energy of the treated specimens increased up to 3 times, that improve its adhesion property.

  7. In vitro biocompatibility of titanium after plasma surface alloying with boron

    Energy Technology Data Exchange (ETDEWEB)

    Kaczmarek, Mariusz, E-mail: markacz@ump.edu.pl [Department of Immunology, Chair of Clinical Immunology, Poznan University of Medical Sciences, Rokietnicka 5D, 60-806 Poznan (Poland); Jurczyk, Mieczysława U. [Division Mother' s and Child' s Health, Poznan University of Medical Sciences, Polna 33, 60-535 Poznan (Poland); Miklaszewski, Andrzej [Institute of Materials Science and Engineering, Poznan University of Technology, Jana Pawla II 24, 61-138 Poznan (Poland); Paszel-Jaworska, Anna; Romaniuk, Aleksandra; Lipińska, Natalia [Department of Clinical Chemistry and Molecular Diagnostics, Poznan University of Medical Sciences, Przybyszewskiego 49, 60-355 Poznan (Poland); Żurawski, Jakub [Department of Immunobiochemistry, Chair of Biology and Environmental Sciences, Poznan University of Medical Sciences, Rokietnicka 8, 60-806 Poznan (Poland); Urbaniak, Paulina [Department of Cell Biology, Poznan University of Medical Sciences, Rokietnicka 5D, 60-806 Poznan (Poland); Jurczyk, Karolina [Department of Conservative Dentistry and Periodontology, Poznan University of Medical Sciences, Bukowska 70, 60-812 Poznan (Poland)

    2016-12-01

    Recently, the effect of different sizes of precursor powders during surface plasma alloying modification on the properties of titanium surface was studied. In this work we show in vitro test results of the titanium (α-Ti) after plasma surface alloying with boron (B). Ti-B nanopowders with 2 and 10 wt% B were deposited onto microcrystalline Ti substrate. The in vitro cytocompatibility of these biomaterials was evaluated and compared with a conventional microcrystalline Ti. During the studies, established cell line of human gingival fibroblasts and osteoblasts were cultured in the presence of tested materials, and its survival rate and proliferation activity were examined. For this purpose, MTT assay, flow cytometric and fluorescent microscopic evaluation were made. Biocompatibility tests carried out indicate that the Ti after plasma surface alloying with B could be a possible candidate for dental implants and other medicinal applications. Plasma alloying is a promising method for improving the properties of titanium, thus increasing the field of its applications. - Highlights: • this is first article carried out on the titanium after plasma surface alloying with different contents of boron; • microcrystalline titanium modified with boron changes the physicochemical features of conventional material; • Ti modified by boron is proper in terms of effects on survival and proliferative activity of cells of dental alveoli; • precursors with different content of boron in different ways influence the intensity and stability of cell growth;.

  8. FY 1998 annual report on the development of plasma-aided surface treatment processes by in-situ controlling (second year); 1997 nendo in-situ seigyo ni yoru plasma riyo hyohi shori process no kaihatsu seika hokokusho (dai 2 nendo)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    This R and D project is aimed at stable production of high-quality, important machine members, which are difficult to sufficiently achieve the required properties by a single material, by carburization while minimizing use of expensive alloy metals, where high-temperature carburizing time is reduced by a plasma-aided system to save energy, and, at the same time, the conventional oil-hardening system is replaced by a He gas cooling/recycling system to solve the environmental problems involved in the former. The exhaust gases released from the plasma-aided system are adequately treated to prevent the problems caused thereby. The conditions of the plasma itself and treated surfaces are sensed in-situ, and the data are fed back to the process controlling system, to keep the treated object stable and high in quality, while minimizing energy consumption. The FY 1998 efforts were directed to studies on methods for sensing the plasma and treated surfaces, and specifications of a mini-plant for the demonstration tests, and to collection of characteristic data for development of some new products to be produced. (NEDO)

  9. Surface modification of polyester synthetic leather with tetramethylsilane by atmospheric pressure plasma

    Science.gov (United States)

    Kan, C. W.; Kwong, C. H.; Ng, S. P.

    2015-08-01

    Much works have been done on synthetic materials but scarcely on synthetic leather owing to its surface structures in terms of porosity and roughness. This paper examines the use of atmospheric pressure plasma (APP) treatment for improving the surface performance of polyester synthetic leather by use of a precursor, tetramethylsilane (TMS). Plasma deposition is regarded as an effective, simple and single-step method with low pollution. Scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR) confirm the deposition of organosilanes on the sample's surface. The results showed that under a particular combination of treatment parameters, a hydrophobic surface was achieved on the APP treated sample with sessile drop static contact angle of 138°. The hydrophobic surface is stable without hydrophilic recovery 30 days after plasma treatment.

  10. An assessment of surface emissivity variation effects on plasma uniformity analysis using IR cameras

    Science.gov (United States)

    Greenhalgh, Abigail; Showers, Melissa; Biewer, Theodore

    2017-10-01

    The Prototype-Material Plasma Exposure eXperiment (Proto-MPEX) is a linear plasma device operating at Oak Ridge National Laboratory (ORNL). Its purpose is to test plasma source and heating concepts for the planned Material Plasma Exposure eXperiment (MPEX), which has the mission to test the plasma-material interactions under fusion reactor conditions. In this device material targets will be exposed to high heat fluxes (>10 MW/m2). To characterize the heat fluxes to the target a IR thermography system is used taking up to 432 frames per second videos. The data is analyzed to determine the surface temperature on the target in specific regions of interest. The IR analysis has indicated a low level of plasma uniformity; the plasma often deposits more heat to the edge of the plate than the center. An essential parameter for IR temperature calculation is the surface emissivity of the plate (stainless steel). A study has been performed to characterize the variation in the surface emissivity of the plate as its temperature changes and its surface finish is modified by plasma exposure.

  11. Effects of air dielectric barrier discharge plasma treatment time on surface properties of PBO fiber

    International Nuclear Information System (INIS)

    Wang Qian; Chen Ping; Jia Caixia; Chen, Mingxin; Li Bin

    2011-01-01

    In this paper, the effects of air dielectric barrier discharge (DBD) plasma treatment time on surface properties of poly(p-phenylene benzobisoxazole) (PBO) fiber were investigated. The surface characteristics of PBO fiber before and after the plasma treatments were analyzed by dynamic contact angle (DCA) analysis, scanning electron microscopy (SEM), atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS). DCA measurements indicated that the surface wettability of PBO fiber was improved significantly by increasing the fiber surface free energy via air DBD plasma treatments. The results were confirmed by the improvement of adhesion of a kind of thermoplastic resin to PBO fiber which was observed by SEM, showing that more resin was adhering evenly to the fiber surface. AFM measurement revealed that the surface topography of PBO fiber became more complicated and the surface roughness was greatly enhanced after the plasma treatments, and XPS analysis showed that some new polar groups (e.g. -O-C=O) were introduced on plasma treated PBO fiber surface. The results of this study also showed that the surface properties of PBO fiber changed with the elongation of plasma treatment time.

  12. Study on the surface of fluorosilicone acrylate RGP contact lens treated by low-temperature nitrogen plasma

    International Nuclear Information System (INIS)

    Ren Li; Yin Shiheng; Zhao Lianna; Wang Yingjun; Chen Hao; Qu Jia

    2008-01-01

    In order to improve the surface hydrophilicity of fluorosilicone acrylate rigid gas permeable (RGP) contact lens, low temperature nitrogen plasma was used to modify the lens surface. Effects of plasma conditions on the surface structures and properties were investigated. Results indicated that the surface hydrophilicity of RGP contact lens was significantly improved after treatment. X-ray photoelectron spectroscopy (XPS) results showed that the nitrogen element was successfully incorporated into the surface. Furthermore, some new bonds such as N-C=O, F - and silicate were formed on the lens surface after nitrogen plasma treatment, which could result in the improvement of the surface hydrophilicity. Scanning electronic microscope (SEM) results indicated that nitrogen plasma with moderate power could make the surface smoother in some degree, while plasma with higher power could etch the surface

  13. Study of highly functionalized metal surface treated by plasma ion implantation

    International Nuclear Information System (INIS)

    Ikeyama, Masami; Miyagawa, Soji; Miyagawa, Yoshiko; Nakao, Setsuo; Masuda, Haruho; Saito, Kazuo; Ono, Taizou; Hayashi, Eiji

    2004-01-01

    Technology for processing metal surfaces with hardness, low friction and free from foreign substances was developed with plasma ion implantation. Diamond-like carbon (DLC) coating is a most promising method for realization of hard and smooth metal surface. DLC coating was tested in a metal pipe with 10 mm diameter and 10 cm length by a newly developed plasma ion implantation instrument. The surface coated by DLC was proved to have characteristics equivalent to those prepared with other methods. A computer program simulating a formation process of DLC coating was developed. Experiments for fluorinating the DLC coating surface was performed. (Y. Kazumata)

  14. Surface treatment of aramid fiber by air dielectric barrier discharge plasma at atmospheric pressure

    International Nuclear Information System (INIS)

    Jia Caixia; Chen Ping; Liu Wei; Li Bin; Wang Qian

    2011-01-01

    Aramid fiber samples are treated by air dielectric barrier discharge (DBD) plasma at atmospheric pressure; the plasma treatment time is investigated as the major parameter. The effects of this treatment on the fiber surface physical and chemical properties are studied by using surface characterization techniques. Scanning electron microscopy (SEM) is performed to determine the surface morphology changes, X-ray photoelectron spectroscopy (XPS) is analyzed to reveal the surface chemical composition variations and dynamic contact angle analysis (DCAA) is used to examine the changes of the fiber surface wettability. In addition, the wetting behavior of a kind of thermoplastic resin, poly(phthalazinone ether sulfone ketone) (PPESK), on aramid fiber surface is also observed by SEM photos. The study shows that there seems to be an optimum treatment condition for surface modification of aramid fiber by the air DBD plasma. In this paper, after the 12 s, 27.6 W/cm 3 plasma treatment the aramid fiber surface roughness is significantly improved, some new oxygen-containing groups such as C-O, C=O and O=C-O are generated on the fiber surface and the fiber surface wettability is greatly enhanced, which results in the better wetting behavior of PPESK resin on the plasma-treated aramid fiber.

  15. Plasma immersion ion implantation for the efficient surface modification of medical materials

    International Nuclear Information System (INIS)

    Slabodchikov, Vladimir A.; Borisov, Dmitry P.; Kuznetsov, Vladimir M.

    2015-01-01

    The paper reports on a new method of plasma immersion ion implantation for the surface modification of medical materials using the example of nickel-titanium (NiTi) alloys much used for manufacturing medical implants. The chemical composition and surface properties of NiTi alloys doped with silicon by conventional ion implantation and by the proposed plasma immersion method are compared. It is shown that the new plasma immersion method is more efficient than conventional ion beam treatment and provides Si implantation into NiTi surface layers through a depth of a hundred nanometers at low bias voltages (400 V) and temperatures (≤150°C) of the substrate. The research results suggest that the chemical composition and surface properties of materials required for medicine, e.g., NiTi alloys, can be successfully attained through modification by the proposed method of plasma immersion ion implantation and by other methods based on the proposed vacuum equipment without using any conventional ion beam treatment

  16. Reactive-ion etching of nylon fabric meshes using oxygen plasma for creating surface nanostructures

    International Nuclear Information System (INIS)

    Salapare, Hernando S.; Darmanin, Thierry; Guittard, Frédéric

    2015-01-01

    Graphical abstract: - Highlights: • Reactive-ion etching (RIE) is employed to nylon 6,6 fabrics to achieve surface texturing and improved wettability. • FTIR spectra of the treated samples exhibited decreased transmittance of amide and carboxylic acid groups due to etching. • Etching is enhanced for higher power plasma treatments and for samples with larger mesh sizes. • Decreased crystallinity was achieved after plasma treatment. • Higher power induced higher negative DC self-bias voltage on the samples that favored anisotropic and aggressive etching. - Abstract: A facile one-step oxygen plasma irradiation in reactive ion etching (RIE) configuration is employed to nylon 6,6 fabrics with different mesh sizes to achieve surface nanostructures and improved wettability for textile and filtration applications. To observe the effects of power and irradiation time on the samples, the experiments were performed using constant irradiation time in varying power and using constant power in varying irradiation times. Results showed improved wettability after the plasma treatment. The FTIR spectra of all the treated samples exhibited decreased transmittance of the amide and carboxylic acid groups due to surface etching. The changes in the surface chemistry are supported by the SEM data wherein etching and surface nanostructures were observed for the plasma-treated samples. The etching of the surfaces is enhanced for higher power plasma treatments. The thermal analysis showed that the plasma treatment resulted in decreased crystallinity. Surface chemistry showed that the effects of the plasma treatment on the samples have no significant difference for all the mesh sizes. However, surface morphology showed that the sizes of the surface cracks are the same for all the mesh sizes but samples with larger mesh sizes exhibited enhanced etching as compared to the samples with smaller mesh sizes. Higher power induced higher negative DC self-bias voltage on the samples that

  17. Diagnostics of microwave assisted electron cyclotron resonance plasma source for surface modification of nylon 6

    Science.gov (United States)

    More, Supriya E.; Das, Partha Sarathi; Bansode, Avinash; Dhamale, Gayatri; Ghorui, S.; Bhoraskar, S. V.; Sahasrabudhe, S. N.; Mathe, Vikas L.

    2018-01-01

    Looking at the increasing scope of plasma processing of materials surface, here we present the development and diagnostics of a microwave assisted Electron Cyclotron Resonance (ECR) plasma system suitable for surface modification of polymers. Prior to the surface-treatment, a detailed diagnostic mapping of the plasma parameters throughout the reactor chamber was carried out by using single and double Langmuir probe measurements in Ar plasma. Conventional analysis of I-V curves as well as the elucidation form of the Electron Energy Distribution Function (EEDF) has become the source of calibration of plasma parameters in the reaction chamber. The high energy tail in the EEDF of electron temperature is seen to extend beyond 60 eV, at much larger distances from the ECR zone. This proves the suitability of the rector for plasma processing, since the electron energy is much beyond the threshold energy of bond breaking in most of the polymers. Nylon 6 is used as a representative candidate for surface processing in the presence of Ar, H2 + N2, and O2 plasma, treated at different locations inside the plasma chamber. In a typical case, the work of adhesion is seen to almost get doubled when treated with oxygen plasma. Morphology of the plasma treated surface and its hydrophilicity are discussed in view of the variation in electron density and electron temperature at these locations. Nano-protrusions arising from plasma treatment are set to be responsible for the hydrophobicity. Chemical sputtering and physical sputtering are seen to influence the surface morphology on account of sufficient electron energies and increased plasma potential.

  18. Plasma modification of polypropylene surfaces and its alloying with styrene in situ

    Energy Technology Data Exchange (ETDEWEB)

    Ma Guiqiu, E-mail: magq@tju.edu.cn [School of Materials Science and Engineering, Tianjin Key Laboratory of Composite and Functional Materials, Tianjin University, Tianjin, 300072 (China); Liu Ben; Li Chen; Huang Dinghai; Sheng Jing [School of Materials Science and Engineering, Tianjin Key Laboratory of Composite and Functional Materials, Tianjin University, Tianjin, 300072 (China)

    2012-01-15

    The treatment of polypropylene surfaces has been studied by dielectric barrier discharges plasma of Ar. The structure and morphology of polypropylene surfaces of Ar plasma modification are characterized by X-ray photoelectron spectroscopy, Fourier transform infrared spectrometers and scanning electron microscope. The modified by plasma treatment of iPP (isotactic polypropylene) surface properties have been examined in a determination of free radicals. The modified active surfaces of polypropylene can induce grafting copolymerization of styrene onto polypropylene. The structure of grafting copolymer is characterized and the grafting percent of styrene onto polypropylene is calculated. The homopolymer of styrene can be formed under grafting copolymerization of styrene onto polypropylene, which follows that the alloying of polypropylene with styrene is achieved in situ.

  19. Hydrophobic and superhydrophobic surfaces fabricated using atmospheric pressure cold plasma technology: A review.

    Science.gov (United States)

    Dimitrakellis, Panagiotis; Gogolides, Evangelos

    2018-04-01

    Hydrophobic surfaces are often used to reduce wetting of surfaces by water. In particular, superhydrophobic surfaces are highly desired for several applications due to their exceptional properties such as self-cleaning, anti-icing, anti-friction and others. Such surfaces can be prepared via numerous methods including plasma technology, a dry technique with low environmental impact. Atmospheric pressure plasma (APP) has recently attracted significant attention as lower-cost alternative to low-pressure plasmas, and as a candidate for continuous rather than batch processing. Although there are many reviews on water-repellent surfaces, and a few reviews on APP technology, there are hardly any review works on APP processing for hydrophobic and superhydrohobic surface fabrication, a topic of high importance in nanotechnology and interface science. Herein, we critically review the advances on hydrophobic and superhydrophobic surface fabrication using APP technology, trying also to give some perspectives in the field. After a short introduction to superhydrophobicity of nanostructured surfaces and to APPs we focus this review on three different aspects: (1) The atmospheric plasma reactor technology used for fabrication of (super)hydrophobic surfaces. (2) The APP process for hydrophobic surface preparation. The hydrophobic surface preparation processes are categorized methodologically as: a) activation, b) grafting, c) polymerization, d) roughening and hydrophobization. Each category includes subcategories related to different precursors used. (3) One of the most important sections of this review concerns superhydrophobic surfaces fabricated using APP. These are methodologically characterized as follows: a) single step processes where micro-nano textured topography and low surface energy coating are created at the same time, or b) multiple step processes, where these steps occur sequentially in or out of the plasma. We end the review with some perspectives in the field. We

  20. Surface martensitization of Carbon steel using Arc Plasma Sintering

    Science.gov (United States)

    Wahyudi, Haris; Dimyati, Arbi; Sebayang, Darwin

    2018-03-01

    In this paper new technology of surface structure modification of steel by short plasma exposure in Arc Plasma Sintering (APS) device is presented. APS is an apparatus working based on plasma generated by DC pulsed current originally used for synthesizing materials via sintering and melting. Plasma exposure in APS was applied into the specimens for 1 and 3 seconds which generate temperature approximately about 1300-1500°C. The SUP9, pearlitic carbon steel samples were used. The hardness, hardening depth and microstructure of the specimens have been investigated by Vickers micro hardness test and Scanning Electron Microscopy (SEM) supported by Energy Dispersive X-Ray Spectroscopy (EDX). The results have showed that the mechanical property was significantly improved due to the formation of single martensitic structures as identified by SEM. The hardness of treated surface evaluated by Vickers hardness test showed significant improvement nearly three time from 190 VHN before to 524 VHN after treatment. Furthermore, EDX confirmed that the formation of martensite layer occurred without altering its composition. The APS also produced uniform hardened layer up to 250 μm. The experiment has demonstrated that arc plasma process was successfully improved the mechanical properties of steel in relatively very short time.

  1. Strategies to improve the adhesion of rubbers to adhesives by means of plasma surface modification

    Science.gov (United States)

    Martín-Martínez, J. M.; Romero-Sánchez, M. D.

    2006-05-01

    The surface modifications produced by treatment of a synthetic sulfur vulcanized styrene-butadiene rubber with oxidizing (oxygen, air, carbon dioxide) and non oxidizing (nitrogen, argon) RF low pressure plasmas, and by treatment with atmospheric plasma torch have been assessed by ATR-IR and XPS spectroscopy, SEM, and contact angle measurements. The effectiveness of the low pressure plasma treatment depended on the gas atmosphere used to generate the plasma. A lack of relationship between surface polarity and wettability, and peel strength values was obtained, likely due to the cohesive failure in the rubber obtained in the adhesive joints. In general, acceptable adhesion values of plasma treated rubber were obtained for all plasmas, except for nitrogen plasma treatment during 15 minutes due to the creation of low molecular weight moieties on the outermost rubber layer. A toluene wiping of the N{2 } plasma treated rubber surface for 15 min removed those moieties and increased adhesion was obtained. On the other hand, the treatment of the rubber with atmospheric pressure by means of a plasma torch was proposed. The wettability of the rubber was improved by decreasing the rubber-plasma torch distance and by increasing the duration because a partial removal of paraffin wax from the rubber surface was produced. The rubber surface was oxidized by the plasma torch treatment, and the longer the duration of the plasma torch treatment, the higher the degree of surface oxidation (mainly creation of C O moieties). However, although the rubber surface was effectively modified by the plasma torch treatment, the adhesion was not greatly improved, due to the migration of paraffin wax to the treated rubber-polyurethane adhesive interface once the adhesive joint was produced. On the other hand, the extended treatment with plasma torch facilitated the migration of zinc stearate to the rubber-adhesive interface, also contributing to deteriorate the adhesion in greater extent. Finally

  2. Ionization by a pulsed plasma surface water

    International Nuclear Information System (INIS)

    Bloyet, E.; Leprince, P.; Marec, J.; Llamas Blasco, M.

    1981-01-01

    The ionization mechanism is studied of a pulsed surface wave generating a microwave discharge. When the plasma is dominated by collisions, it is found that the velocity of the ionization front depends on the ponderomotive force due to the field gradient in the front. (orig.)

  3. Plasma surface interactions in controlled fusion devices

    Energy Technology Data Exchange (ETDEWEB)

    Ghendrih, Ph.; Becoulet, M.; Costanzo, L. [and others

    2000-07-01

    This report brings together all the contributions of EURATOM/CEA association to the 14. international conference on plasma surface interactions in controlled fusion devices. 24 papers are presented and they deal mainly with the ergodic divertor and the first wall of Tore-supra tokamak.

  4. Plasma surface interactions in controlled fusion devices

    International Nuclear Information System (INIS)

    Ghendrih, Ph.; Becoulet, M.; Costanzo, L.

    2000-07-01

    This report brings together all the contributions of EURATOM/CEA association to the 14. international conference on plasma surface interactions in controlled fusion devices. 24 papers are presented and they deal mainly with the ergodic divertor and the first wall of Tore-supra tokamak

  5. Plasma measurements with surface barrier detectors

    International Nuclear Information System (INIS)

    Futch, A.H. Jr.; Bradley, A.E.

    1969-01-01

    A surface barrier detector system for measuring the loss rate of protons from a hydrogen plasma and their energy spectrum is described. A full width at half maximum (FWHM) resolution of 1.4 keV for 15-keV hydrogen atoms was obtained using a selected detector having a sensitive area of 3 mm 2 and a depletion depth of 700 microns

  6. Plasma-implantation-based surface modification of metals with single-implantation mode

    Science.gov (United States)

    Tian, X. B.; Cui, J. T.; Yang, S. Q.; Fu, Ricky K. Y.; Chu, Paul K.

    2004-12-01

    Plasma ion implantation has proven to be an effective surface modification technique. Its biggest advantage is the capability to treat the objects with irregular shapes without complex manipulation of target holder. Many metal materials such as aluminum, stainless steel, tool steel, titanium, magnesium etc, has been treated using this technique to improve their wear-resistance, corrosion-resistance, fatigue-resistance, oxidation-resistance, bio-compatiblity etc. However in order to achieve thicker modified layers, hybrid processes combining plasma ion implantation with other techniques have been frequently employed. In this paper plasma implantation based surface modification of metals using single-implantation mode is reviewed.

  7. Modification of glassy carbon surfaces by atmospheric pressure cold plasma torch

    DEFF Research Database (Denmark)

    Mortensen, Henrik Junge; Kusano, Yukihiro; Leipold, Frank

    2006-01-01

    The effect of plasma treatment on glassy carbon (GC) surfaces was studied with adhesion improvement in mind. A newly constructed remote plasma source was used to treat GC plates. Pure He and a dilute NH3/He mixture were used as feed gases. Optical emission spectroscopy was performed for plasma to...

  8. Influence of ECR-RF plasma modification on surface and thermal properties of polyester copolymer

    Directory of Open Access Journals (Sweden)

    Fray Miroslawa El

    2015-12-01

    Full Text Available In this paper we report a study on influence of radio-frequency (RF plasma induced with electron cyclotron resonance (ECR on multiblock copolymer containing butylene terephthalate hard segments (PBT and butylene dilinoleate (BDLA soft segments. The changes in thermal properties were studied by DSC. The changes in wettability of PBT-BDLA surfaces were studied by water contact angle (WCA. We found that ECR-RF plasma surface treatment for 60 s led to decrease of WCA, while prolonged exposure of plasma led to increase of WCA after N2 and N2O2 treatment up to 70°–80°. The O2 reduced the WCA to 50°–56°. IR measurements confirmed that the N2O2 plasma led to formation of polar groups. SEM investigations showed that plasma treatment led to minor surfaces changes. Collectively, plasma treatment, especially O2, induced surface hydrophilicity what could be beneficial for increased cell adhesion in future biomedical applications of these materials.

  9. Dependence of Lunar Surface Charging on Solar Wind Plasma Conditions and Solar Irradiation

    Science.gov (United States)

    Stubbs, T. J.; Farrell, W. M.; Halekas, J. S.; Burchill, J. K.; Collier, M. R.; Zimmerman, M. I.; Vondrak, R. R.; Delory, G. T.; Pfaff, R. F.

    2014-01-01

    The surface of the Moon is electrically charged by exposure to solar radiation on its dayside, as well as by the continuous flux of charged particles from the various plasma environments that surround it. An electric potential develops between the lunar surface and ambient plasma, which manifests itself in a near-surface plasma sheath with a scale height of order the Debye length. This study investigates surface charging on the lunar dayside and near-terminator regions in the solar wind, for which the dominant current sources are usually from the pohotoemission of electrons, J(sub p), and the collection of plasma electrons J(sub e) and ions J(sub i). These currents are dependent on the following six parameters: plasma concentration n(sub 0), electron temperature T(sub e), ion temperature T(sub i), bulk flow velocity V, photoemission current at normal incidence J(sub P0), and photo electron temperature T(sub p). Using a numerical model, derived from a set of eleven basic assumptions, the influence of these six parameters on surface charging - characterized by the equilibrium surface potential, Debye length, and surface electric field - is investigated as a function of solar zenith angle. Overall, T(sub e) is the most important parameter, especially near the terminator, while J(sub P0) and T(sub p) dominate over most of the dayside.

  10. Surface conductivity dependent dynamic behaviour of an ultrafine atmospheric pressure plasma jet for microscale surface processing

    Energy Technology Data Exchange (ETDEWEB)

    Abuzairi, Tomy [Graduate School of Science and Technology, Shizuoka University, Hamamatsu 432-8561 (Japan); Department of Electrical Engineering, Faculty of Engineering, Universitas Indonesia, Depok 16424 (Indonesia); Okada, Mitsuru [Department of Engineering, Shizuoka University, Hamamatsu 432-8561 (Japan); Bhattacharjee, Sudeep [Department of Physics, Indian Institute of Technology, Kanpur 208016 (India); Nagatsu, Masaaki, E-mail: nagatsu.masaaki@shizuoka.ac.jp [Graduate School of Science and Technology, Shizuoka University, Hamamatsu 432-8561 (Japan); Department of Engineering, Shizuoka University, Hamamatsu 432-8561 (Japan); Research Institute of Electronics, Shizuoka University, Hamamatsu 432-8561 (Japan)

    2016-12-30

    Highlights: • Spatio-temporal behaviors of capillary APPJs are studied for various substrates. • Plasma irradiation area depended on the substrate conductivity and permittivity. • Surface irradiation area was significantly broadened in polymer-like substrate. • Effect of applying a substrate bias on the APPJ irradiation area was investigated. - Abstract: An experimental study on the dynamic behaviour of microcapillary atmospheric pressure plasma jets (APPJs) with 5 μm tip size for surfaces of different conductivity is reported. Electrical and spatio-temporal characteristics of the APPJs are monitored using high voltage probe, current monitor and high speed intensified charge couple device camera. From these experimental results, we presented a simple model to understand the electrical discharge characteristics of the capillary APPJs with double electrodes, and estimated the velocity of the ionization fronts in the jet and the electron density to be 3.5–4.2 km/s and 2–7 × 10{sup 17} m{sup −3}. By analyzing the dynamics of the microcapillary APPJs for different substrate materials, it was found that the surface irradiation area strongly depended on the substrate conductivity and permittivity, especially in the case of polymer-like substrate, surface irradiation area was significantly broadened probably due to the repelling behaviour of the plasma jets from the accumulated electrical charges on the polymer surface. The effect of applying a substrate bias in the range from −900 V to +900 V on the plasma irradiation onto the substrates was also investigated. From the knowledge of the present results, it is helpful for choosing the substrate materials for microscale surface modification.

  11. Surface conductivity dependent dynamic behaviour of an ultrafine atmospheric pressure plasma jet for microscale surface processing

    International Nuclear Information System (INIS)

    Abuzairi, Tomy; Okada, Mitsuru; Bhattacharjee, Sudeep; Nagatsu, Masaaki

    2016-01-01

    Highlights: • Spatio-temporal behaviors of capillary APPJs are studied for various substrates. • Plasma irradiation area depended on the substrate conductivity and permittivity. • Surface irradiation area was significantly broadened in polymer-like substrate. • Effect of applying a substrate bias on the APPJ irradiation area was investigated. - Abstract: An experimental study on the dynamic behaviour of microcapillary atmospheric pressure plasma jets (APPJs) with 5 μm tip size for surfaces of different conductivity is reported. Electrical and spatio-temporal characteristics of the APPJs are monitored using high voltage probe, current monitor and high speed intensified charge couple device camera. From these experimental results, we presented a simple model to understand the electrical discharge characteristics of the capillary APPJs with double electrodes, and estimated the velocity of the ionization fronts in the jet and the electron density to be 3.5–4.2 km/s and 2–7 × 10"1"7 m"−"3. By analyzing the dynamics of the microcapillary APPJs for different substrate materials, it was found that the surface irradiation area strongly depended on the substrate conductivity and permittivity, especially in the case of polymer-like substrate, surface irradiation area was significantly broadened probably due to the repelling behaviour of the plasma jets from the accumulated electrical charges on the polymer surface. The effect of applying a substrate bias in the range from −900 V to +900 V on the plasma irradiation onto the substrates was also investigated. From the knowledge of the present results, it is helpful for choosing the substrate materials for microscale surface modification.

  12. Efficient adhesion-based plasma membrane isolation for cell surface N-glycan analysis.

    Science.gov (United States)

    Mun, Ji-Young; Lee, Kyung Jin; Seo, Hoon; Sung, Min-Sun; Cho, Yee Sook; Lee, Seung-Goo; Kwon, Ohsuk; Oh, Doo-Byoung

    2013-08-06

    Glycans, which decorate cell surfaces, play crucial roles in various physiological events involving cell surface recognition. Despite the importance of surface glycans, most analyses have been performed using total cells or whole membranes rather than plasma membranes due to difficulties related to isolation. In the present study, we employed an adhesion-based method for plasma membrane isolation to analyze N-glycans on cell surfaces. Cells were attached to polylysine-coated glass plates and then ruptured by hypotonic pressure. After washing to remove intracellular organelles, only a plasma membrane fraction remained attached to the plates, as confirmed by fluorescence imaging using organelle-specific probes. The plate was directly treated with trypsin to digest and detach the glycoproteins from the plasma membrane. From the resulting glycopeptides, N-glycans were released and analyzed using MALDI-TOF mass spectrometry and HPLC. When N-glycan profiles obtained by this method were compared to those by other methods, the amount of high-mannose type glycans mainly contaminated from the endoplasmic reticulum was dramatically reduced, which enabled the efficient detection of complex type glycans present on the cell surface. Moreover, this method was successfully used to analyze the increase of high-mannose glycans on the surface as induced by a mannosidase inhibitor treatment.

  13. Apparatus suitable for plasma surface treating and process for preparing membrane layers

    NARCIS (Netherlands)

    1988-01-01

    The invention relates to an apparatus suitable for plasma surface treating (e.g. forming a membrane layer on a substrate) which comprises a plasma generation section (2) which is in communication via at least one plasma inlet means (4) (e.g. a nozzle) with an enclosed plasma treating section (3)

  14. Ripple formation on Si surfaces during plasma etching in Cl2

    Science.gov (United States)

    Nakazaki, Nobuya; Matsumoto, Haruka; Sonobe, Soma; Hatsuse, Takumi; Tsuda, Hirotaka; Takao, Yoshinori; Eriguchi, Koji; Ono, Kouichi

    2018-05-01

    Nanoscale surface roughening and ripple formation in response to ion incidence angle has been investigated during inductively coupled plasma etching of Si in Cl2, using sheath control plates to achieve the off-normal ion incidence on blank substrate surfaces. The sheath control plate consisted of an array of inclined trenches, being set into place on the rf-biased electrode, where their widths and depths were chosen in such a way that the sheath edge was pushed out of the trenches. The distortion of potential distributions and the consequent deflection of ion trajectories above and in the trenches were then analyzed based on electrostatic particle-in-cell simulations of the plasma sheath, to evaluate the angular distributions of ion fluxes incident on substrates pasted on sidewalls and/or at the bottom of the trenches. Experiments showed well-defined periodic sawtooth-like ripples with their wave vector oriented parallel to the direction of ion incidence at intermediate off-normal angles, while relatively weak corrugations or ripplelike structures with the wave vector perpendicular to it at high off-normal angles. Possible mechanisms for the formation of surface ripples during plasma etching are discussed with the help of Monte Carlo simulations of plasma-surface interactions and feature profile evolution. The results indicate the possibility of providing an alternative to ion beam sputtering for self-organized formation of ordered surface nanostructures.

  15. [Designing and implementation of a web-based quality monitoring system for plasma glucose measurement in multicenter population study].

    Science.gov (United States)

    Liu, Yong; Wang, Limin; Pang, Richard; Mo, Nanxun; Hu, Yan; Deng, Qian; Hu, Zhaohui

    2015-05-01

    The aim of this paper is to describe the designing and implementation of a web-based plasma glucose measurement quality monitoring system to assess the analytical quality of plasma glucose measurements in multicenter population study and provide evidence for the future studies. In the chronic non-communicable disease and related factor surveillance in China, a web based quality monitoring system for plasma glucose measurement was established to conduct evaluation on plasma glucose monitoring quality and effectiveness in 302 surveillance centers, including quality control data entry, transmission and feedback. The majority of the surveillance centers met the quality requirements and passed the evaluation of reproducibility and precision of plasma glucose measurement, only a few centers required intensive training and re-assessment. In order to ensure the completeness and reliability of plasma glucose measurement in the surveillance centers, the establishment of web-based plasma glucose measurement quality control system can facilitate the identification of the qualified surveillance centers and evaluation of plasma glucose measurement quality in different regions. Communication and training are important in ensuring plasma glucose measurement quality. It is necessary to further improve this web-based plasma glucose measurement quality monitoring system in the future to reduce the method specific plasma glucose measurement bias.

  16. ASSESSMENT OF SURFACE QUALITY FOR CHOSEN MILLING STRATEGIES WHEN PRODUCING RELIEF SURFACES

    OpenAIRE

    Jan Varga; Jozef Stahovec; Jozef Beno; Marek Vrabeľ

    2014-01-01

    The paper deals with design and modeling of the relief surfaces that are produced in milling. Modeled and real surface quality is presented for the chosen fragments of the relief surfaces. Fragmentation of the relief surfaces has been made by the surface sampling. Milling strategies are compared with regard to surface formation. Surface quality was checked with regard to applied cutting conditions.

  17. Quantum effects on propagation of bulk and surface waves in a thin quantum plasma film

    International Nuclear Information System (INIS)

    Moradi, Afshin

    2015-01-01

    The propagation of bulk and surface plasma waves in a thin quantum plasma film is investigated, taking into account the quantum effects. The generalized bulk and surface plasma dispersion relation due to quantum effects is derived, using the quantum hydrodynamic dielectric function and applying appropriate additional boundary conditions. The quantum mechanical and film geometric effects on the bulk and surface modes are discussed. It is found that quantum effects become important for a thin film of small thickness. - Highlights: • New bulk and surface plasma dispersion relations due to quantum effects are derived, in a thin quantum plasma film. • It is found that quantum effects become important for a thin quantum film of small thickness

  18. Plasma diffusion in systems with disrupted magnetic surfaces

    International Nuclear Information System (INIS)

    Morozov, D.K.; Pogutse, O.P.

    1982-01-01

    Plasma diffusion is analyzed in the case in which the system of magnetic surfaces is disrupted by a stochastic perturbation of the magnetic field. The diffusion coefficient is related to the statistical properties of the field. The statistical characteristics of the field are found when the magnetic surfaces near the separatrix are disrupted by an external perturbation. The diffusion coefficient is evaluated in the region in which the magnetic surfaces are disrupted. In this region the diffusion coefficient is of the Bohm form

  19. A new facility for studying plasma interacting with flowing liquid lithium surface

    International Nuclear Information System (INIS)

    Cao, X.; Ou, W.; Tian, S.; Wang, C.; Zhu, Z.; Wang, J.; Gou, F.; Yang, D.; Chen, S.

    2014-01-01

    A new facility to study plasmas interacting with flowing liquid lithium surface was designed and is constructing in Sichuan University. The integrated setup includes the liquid lithium circulating part and linear high density plasma generator. The circulating part is consisted of main loop, on-line monitor system, lithium purification system and temperature programmed desorption system. In our group a linear high density plasma generator was built in 2012. Three coils were mounted along the vessel to produce an axial magnetic field inside. The magnetic field strength is up to 0.45 T and work continuously. Experiments on plasmas interacting with free flowing liquid lithium surface will be performed

  20. Thermographic analysis of plasma facing components covered by carbon surface layer in tokamaks

    International Nuclear Information System (INIS)

    Gardarein, Jean-Laurent

    2007-01-01

    Tokamaks are reactors based on the thermonuclear fusion energy with magnetic confinement of the plasma. In theses machines, several MW are coupled to the plasma for about 10 s. A large part of this power is directed towards plasma facing components (PFC). For better understanding and control the heat flux transfer from the plasma to the surrounding wall, it is very important to measure the surface temperature of the PFC and to estimate the imposed heat flux. In most of tokamaks using carbon PFC, the eroded carbon is circulating in the plasma and redeposited elsewhere. During the plasma operations, this leads at some locations to the formation of thin or thick carbon layers usually poorly attached to the PFC. These surface layers with unknown thermal properties complicate the calculation of the heat flux from IR surface temperature measurements. To solve this problem, we develop first, inverse method to estimate the heat flux using thermocouple (not sensitive to the carbon surface layers) temperature measurements. Then, we propose a front face pulsed photothermal method allowing an estimation of layers thermal diffusivity, conductivity, effusivity and the thermal contact resistance between the layer and the tile. The principle is to study with an infrared sensor, the cooling of the layer surface after heating by a short laser pulse, this cooling depending on the thermal properties of the successive layers. (author) [fr

  1. Deposition of thin films and surface modification by pulsed high energy density plasma

    International Nuclear Information System (INIS)

    Yan Pengxun; Yang Size

    2002-01-01

    The use of pulsed high energy density plasma is a new low temperature plasma technology for material surface treatment and thin film deposition. The authors present detailed theoretical and experimental studies of the production mechanism and physical properties of the pulsed plasma. The basic physics of the pulsed plasma-material interaction has been investigated. Diagnostic measurements show that the pulsed plasma has a high electron temperature of 10-100 eV, density of 10 14 -10 16 cm -3 , translation velocity of ∼10 -7 cm/s and power density of ∼10 4 W/cm 2 . Its use in material surface treatment combines the effects of laser surface treatment, electron beam treatment, shock wave bombardment, ion implantation, sputtering deposition and chemical vapor deposition. The metastable phase and other kinds of compounds can be produced on low temperature substrates. For thin film deposition, a high deposition ratio and strong film to substrate adhesion can be achieved. The thin film deposition and material surface modification by the pulsed plasma and related physical mechanism have been investigated. Thin film c-BN, Ti(CN), TiN, DLC and AlN materials have been produced successfully on various substrates at room temperature. A wide interface layer exists between film and substrate, resulting in strong adhesion. Metal surface properties can be improved greatly by using this kind of treatment

  2. Correlation of H- production and the work function of a surface in a hydrogen plasma

    International Nuclear Information System (INIS)

    Wada, M.

    1983-03-01

    Surface-plasma negative hydrogen ion sources are being developed as possible parts for future neutral beam systems. In these ion sources, negative hydrogen ions (H - ) are produced at low work function metal surfaces immersed in hydrogen plasmas. To investigate the correlation between the work function and the H - production at the surface with a condition similar to the one in the actual plasma ion source, these two parameters were simultaneously measured in the hydrogen plasma environment

  3. Surface modification of cotton fabrics by gas plasmas for color strength and adhesion by inkjet ink printing

    International Nuclear Information System (INIS)

    Pransilp, Porntapin; Pruettiphap, Meshaya; Bhanthumnavin, Worawan; Paosawatyanyong, Boonchoat; Kiatkamjornwong, Suda

    2016-01-01

    Graphical abstract: - Highlights: • Both O_2 and N_2 plasma increased cotton surface wettability and higher K/S. • SF6 plasma gave hydrophobicity on cotton surface and increased contact angle to 138°. • Plasma treatment on cotton fabric produced surface roughness. • XPS confirmed the generation of new functional groups on cotton fabric. • Wettability and surface roughness controlled K/S and good ink adhesion. - Abstract: Surface properties of cotton fabric were modified by three types of gas plasma pretreatment, namely, oxygen (O_2), nitrogen (N_2) and sulfur hexafluoride (SF_6), to improve ink absorption of water-based pigmented inkjet inks and color reproduction of the treated surfaces. Effects of gas plasma exposure parameters of power, exposure time and gas pressure on surface physical and chemical properties of the treated fabrics were investigated. XPS (X-ray photoelectron spectroscopy) was used to identify changes in functional groups on the fabric surface while AFM (atomic force microscopy) and SEM (scanning electron microscopy) were used to reveal surface topography of the fabric. Color spectroscopic technique was used to investigate changes in color strength caused by different absorptions of the printed fabrics. The O_2 plasma treatments produced new functional groups, −O−C−O/C=O and O−C=O while N_2 plasma treatments produced additionally new functional groups, C−N and O=C−NH, onto the fabric surface which increased hydrophilic properties and surface energy of the fabric. For cotton fabric treated with SF_6 plasma, the fluorine functionalization was additionally found on the surface. Color strength values (K/S) increased when compared with those of the untreated fabrics. SF_6 plasma-treated fabrics were hydrophobic and caused less ink absorption. Fabric surface roughness caused by plasma etching increased fabric surface areas, captured more ink, and enhanced a larger ink color gamut and ink adhesion. Cotton fabrics exhibited higher

  4. Hemocompatibility of poly(vinylidene fluoride) membrane grafted with network-like and brush-like antifouling layer controlled via plasma-induced surface PEGylation.

    Science.gov (United States)

    Chang, Yung; Shih, Yu-Ju; Ko, Chao-Yin; Jhong, Jheng-Fong; Liu, Ying-Ling; Wei, Ta-Chin

    2011-05-03

    In this work, the hemocompatibility of PEGylated poly(vinylidene fluoride) (PVDF) microporous membranes with varying grafting coverage and structures via plasma-induced surface PEGylation was studied. Network-like and brush-like PEGylated layers on PVDF membrane surfaces were achieved by low-pressure and atmospheric plasma treatment. The chemical composition, physical morphology, grafting structure, surface hydrophilicity, and hydration capability of prepared membranes were determined to illustrate the correlations between grafting qualities and hemocompatibility of PEGylated PVDF membranes in contact with human blood. Plasma protein adsorption onto different PEGylated PVDF membranes from single-protein solutions and the complex medium of 100% human plasma were measured by enzyme-linked immunosorbent assay (ELISA) with monoclonal antibodies. Hemocompatibility of the PEGylated membranes was evaluated by the antifouling property of platelet adhesion observed by scanning electron microscopy (SEM) and the anticoagulant activity of the blood coagulant determined by testing plasma-clotting time. The control of grafting structures of PEGylated layers highly regulates the PVDF membrane to resist the adsorption of plasma proteins, the adhesion of platelets, and the coagulation of human plasma. It was found that PVDF membranes grafted with brush-like PEGylated layers presented higher hydration capability with binding water molecules than with network-like PEGylated layers to improve the hemocompatible character of plasma protein and blood platelet resistance in human blood. This work suggests that the hemocompatible nature of grafted PEGylated polymers by controlling grafting structures gives them great potential in the molecular design of antithrombogenic membranes for use in human blood.

  5. Dispersion surfaces and ion wave instabilities in space plasmas

    International Nuclear Information System (INIS)

    Andre, M

    1985-08-01

    In this thesis, the dispersion relation of linear waves in a non-relativistic, collisionless and homogeneous plasma in a uniform magnetic field, is solved numerically. Both electrostatic and elecromagnetic waves with frequencies from below the ion gyrofrequency to above the electron gyrofrequency are studied for all angles of propagation. Modes occurring in a cold plasma as well as waves dependent on thermal effects are included. Dispersion surfaces, that is plots of frequency versus wavevector components, are presented for some models of space plasmas. Waves with frequencies of the order of the ion gyrofrequency (ion waves), are well known to exist in space plasmas. In this thesis, the generation of ion waves by ion distributions with loss-cones or temperature anisotropies, or by beams of charged particles, is investigated by numerical methods. Effects of heavy ions are considered. Dispersion surfaces and analytical arguments are used to clarify the results. It is shown that particle beams and ion loss-cone distributions can generate electrostatic ion waves, even when a significant amount of the electrons are cool. These calculations are in agreement with simultaneous observatons of waves and particles obtained by a satellite on auroral field lines. (author)

  6. Effect of air gap on uniformity of large-scale surface-wave plasma

    International Nuclear Information System (INIS)

    Lan Chaohui; Hu Xiwei; Jiang Zhonghe; Liu Minghai

    2009-01-01

    The effect of air gap on the uniformity of large-scale surface-wave plasma (SWP) in a rectangular chamber device is studied by using three-dimensional numerical analyses based on the finite difference time-domain (FDTD) approximation to Maxwell's equations and plasma fluid model. The spatial distributions of surface wave excited by slot-antenna array and the plasma parameters such as electron density and temperature are presented. For different air gap thicknesses, the results show that the existence of air gap would severely weaken the excitations of the surface wave and thereby the SWP. Thus the air gap should be eliminated completely in the design of the SWP source, which is opposite to the former research results. (authors)

  7. Microstructure evolution and tribological properties of acrylonitrile-butadiene rubber surface modified by atmospheric plasma treatment

    Science.gov (United States)

    Shen, Ming-xue; Zhang, Zhao-xiang; Peng, Xu-dong; Lin, Xiu-zhou

    2017-09-01

    For the purpose of prolonging the service life for rubber sealing elements, the frictional behavior of acrylonitrile-butadiene rubber (NBR) surface by dielectric barrier discharge plasma treatments was investigated in this paper. Surface microstructure and chemical composition were measured by atomic force microscopy, field-emission scanning electron microscopy, and X-ray photoelectron spectroscopy, respectively. Water contact angles of the modified rubber surface were also measured to evaluate the correlation between surface wettability and tribological properties. The results show that plasma treatments can improve the properties of the NBR against friction and wear effectively, the surface microstructure and roughness of plasma-modified NBR surface had an important influence on the surface tribological behavior, and the wear depth first decreased and then increased along with the change of plasma treatment time. It was found that the wettability of the modified surface was gradually improved, which was mainly due to the change of the chemical composition after the treatment. This study suggests that the plasma treatment could effectively improve the tribological properties of the NBR surface, and also provides information for developing wear-resistant NBR for industrial applications.

  8. Surface modifications on toughened, fine-grained, recrystallized tungsten with repetitive ELM-like pulsed plasma irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Kikuchi, Y., E-mail: ykikuchi@eng.u-hyogo.ac.jp [Graduate School of Engineering, University of Hyogo, 2167 Shosha, Himeji, 671-2280 Hyogo (Japan); Sakuma, I.; Kitagawa, Y.; Asai, Y.; Onishi, K.; Fukumoto, N.; Nagata, M. [Graduate School of Engineering, University of Hyogo, 2167 Shosha, Himeji, 671-2280 Hyogo (Japan); Ueda, Y. [Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan); Kurishita, H. [Institute for Materials Research, Tohoku University, Ibaraki 311-1313 (Japan)

    2015-08-15

    Surface modifications of toughened, fine-grained, recrystallized tungsten (TFGR W) materials with 1.1 wt.% TiC and 3.3 wt.% TaC dispersoids due to repetitive ELM-like pulsed (∼0.15 ms) helium plasma irradiation have been investigated by using a magnetized coaxial plasma gun. No surface cracking at the center part of the TFGR W samples exposed to 20 plasma pulses of ∼0.3 MJ m{sup −2} was observed. The suppression of surface crack formation due to the increase of the grain boundary strength by addition of TiC and TaC dispersoids was confirmed in comparison with a pure W material. On the other hand, surface cracks and small pits appeared at the edge part of the TFGR W sample after the pulsed plasma irradiation. Erosion of the TiC and TaC dispersoids due to the pulsed plasma irradiation could cause the small pits on the surface, resulting in the surface crack formation.

  9. Surface modifications on toughened, fine-grained, recrystallized tungsten with repetitive ELM-like pulsed plasma irradiation

    Science.gov (United States)

    Kikuchi, Y.; Sakuma, I.; Kitagawa, Y.; Asai, Y.; Onishi, K.; Fukumoto, N.; Nagata, M.; Ueda, Y.; Kurishita, H.

    2015-08-01

    Surface modifications of toughened, fine-grained, recrystallized tungsten (TFGR W) materials with 1.1 wt.% TiC and 3.3 wt.% TaC dispersoids due to repetitive ELM-like pulsed (∼0.15 ms) helium plasma irradiation have been investigated by using a magnetized coaxial plasma gun. No surface cracking at the center part of the TFGR W samples exposed to 20 plasma pulses of ∼0.3 MJ m-2 was observed. The suppression of surface crack formation due to the increase of the grain boundary strength by addition of TiC and TaC dispersoids was confirmed in comparison with a pure W material. On the other hand, surface cracks and small pits appeared at the edge part of the TFGR W sample after the pulsed plasma irradiation. Erosion of the TiC and TaC dispersoids due to the pulsed plasma irradiation could cause the small pits on the surface, resulting in the surface crack formation.

  10. Physical principles of the surface plasma method for producing beams of negative ions

    International Nuclear Information System (INIS)

    Bel'chenko, Yu.I.; Dimov, G.I.; Dudnikov, V.G.

    1977-01-01

    The processes which are important for the production of intense beams of negative ions from surface plasma sources (SPS) are examined. The formation of negative ions when atomic particles interact with a surface is analyzed on the basis of both experimental results obtained when a surface was bombarded with beams and recently developed theoretical considerations of reflection, scattering, and electron exchange. The characteristic features of these processes in SPS, when a surface is bombarded with intense fluxes of plasma particles, are revealed in special experiments. The characteristics of generation and acceleration of the bombarding particles in a gas discharge SPS plasma, the characteristics of transportation of negative ions through the plasma toward the beam forming system, the role of cesium in SPS, and the characteristics of formation of the intense negative ion beams as well as the removal of parasite electrons from the beam

  11. Argon plasma treatment to enhance the electrochemical reactivity of screen-printed carbon surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Ghamouss, F.; Luais, E. [Universite de Nantes, Faculte des Sciences et des Techniques, Chimie et Interdisciplinarite: Synthese, Analyse, Modelisation (CEISAM), UMR CNRS no 6230, 2, rue de la Houssiniere, BP 92208, 44322 NANTES Cedex 3 (France); Universite de Nantes, Institut des Materiaux Jean Rouxel IMN - CNRS, 2 rue de la Houssiniere, BP 32229, 44322 Nantes Cedex 3 (France); Thobie-Gautier, C. [Universite de Nantes, Faculte des Sciences et des Techniques, Chimie et Interdisciplinarite: Synthese, Analyse, Modelisation (CEISAM), UMR CNRS no 6230, 2, rue de la Houssiniere, BP 92208, 44322 NANTES Cedex 3 (France); Tessier, P.-Y. [Universite de Nantes, Faculte des Sciences et des Techniques, Chimie et Interdisciplinarite: Synthese, Analyse, Modelisation (CEISAM), UMR CNRS no 6230, 2, rue de la Houssiniere, BP 92208, 44322 NANTES Cedex 3 (France); Universite de Nantes, Institut des Materiaux Jean Rouxel IMN - CNRS, 2 rue de la Houssiniere, BP 32229, 44322 Nantes Cedex 3 (France); Boujtita, M. [Universite de Nantes, Faculte des Sciences et des Techniques, Chimie et Interdisciplinarite: Synthese, Analyse, Modelisation (CEISAM), UMR CNRS no 6230, 2, rue de la Houssiniere, BP 92208, 44322 NANTES Cedex 3 (France)], E-mail: mohammed.boujtita@univ-nantes.fr

    2009-04-15

    Radiofrequency argon plasma was used for screen-printed carbon electrodes (SPCE) surface treatment. The cyclic voltammetry of ferri/ferrocyanide as redox couple showed a remarkable improvement of the electrochemical reactivity of the SPCE after the plasma treatment. The effect of the plasma growth conditions on the efficiency of the treatment procedure was evaluated in term of electrochemical reactivity of the SPCE surface. The electrochemical study showed that the electrochemical reactivity of the treated electrodes was strongly dependant on radiofrequency power, treatment time and argon gas pressure. X-ray photoelectron spectroscopy (XPS) analysis showed a considerable evolution on the surface chemistry of the treated electrodes. Our results clearly showed that the argon plasma treatment induces a significant increase in the C{sub sp2}/C{sub sp3} ratio. The scanning electron micrograph (SEM) also showed a drastic change on the surface morphology of the treated SPCEs.

  12. Production of molecules on a surface under plasma exposure: example of NO on pyrex

    International Nuclear Information System (INIS)

    Marinov, D; Guaitella, O; Rousseau, A; Ionikh, Y

    2010-01-01

    We propose a new experimental approach to the study of surface-catalysed nitric oxide production under plasma exposure. Stable nitrogen species are grafted to the surface of a pyrex discharge tube during N 2 plasma pretreatment. These species are trapped by surface active sites and on being exposed to O 2 plasma, they initiate the production of NO molecules, which are detected using tunable diode laser absorption spectroscopy. Supposing that nitrogen species are adsorbed N atoms, we estimate the initial surface coverage as [N ads ] = 3 x 10 13 cm -2 . This gives an assessment of the lower boundary of the density of surface active sites.

  13. Influence of an External DC Electric Current on Plasma Cleaning Rate: an Application on the Enlarged Plasma-Surface Theory

    International Nuclear Information System (INIS)

    Xaplanteris, Constantine L.; Filippaki, Eleni D.

    2013-01-01

    During the last decades many researchers have been occupied with other plasma applications apart from the big challenge which the thermonuclear fusion poses. Many experiments have been carried out on the plasma behavior in contact with a solid surface; when the surface material consists of chemical compounds (e.g. oxides of metals), then the plasma chemistry takes place. The present paper contains the final experimental and theoretical work of Plasma Laboratory at “Demokritos , which consists of an elaboration of plasma sheath parameters adapted to experimental conditions, a suitable choice of plasma gases (either H 2 or N 2 ), and an electric potential current enforcement on objects. Additionally, a brief theory is given to explain the results, with a short reference to both boundary phenomena in thermonuclear reactors and low pressure plasma of glow discharges, so as to reveal the similarities and differences of these two cases. An extensive examination of the treated objects by X-ray diffraction method (XRD) gives results in agreement with the theoretical predictions. Using this improvement on plasma restoration system, (a combination of electric current on metallic object into suitable plasma), it is shown that better results can be achieved on the cleaning and conservation of archaeological objects. (plasma technology)

  14. Surface characterization of the chitosan membrane after oxygen plasma treatment and its aging effect

    International Nuclear Information System (INIS)

    Wang Yingjun; Yin Shiheng; Ren Li; Zhao Lianna

    2009-01-01

    Chitosan has received considerable attention for biomedical applications in recent years because of its biocompatibility and biodegradability. In this paper, angle-resolved x-ray photoelectron spectroscopy (ARXPS) was carried out to investigate the chemical groups' spatial orientation on the chitosan membrane surface. Oxygen plasma treatment was also employed to improve the surface hydrophilicity of the chitosan membrane. The results of ARXPS revealed the distribution of surface polar groups, such as-OH and O=CNH 2 toward the membrane bulk, which was the origin of the chitosan membrane surface hydrophobicity. The contact angle measurements and XPS results indicated that oxygen plasma treatment can markedly improve the surface hydrophilicity and surface energy of the chitosan membrane by incorporating oxygen-containing polar groups. With the existence of the aging process, the influence of plasma treatment was not permanent, it faded with storage time. The ARXPS result discovered that the reorientation of polar functional groups generated by plasma treatment toward the membrane bulk was primarily responsible for the aging effect.

  15. Adhesive Stretchable Printed Conductive Thin Film Patterns on PDMS Surface with an Atmospheric Plasma Treatment.

    Science.gov (United States)

    Li, Chun-Yi; Liao, Ying-Chih

    2016-05-11

    In this study, a plasma surface modification with printing process was developed to fabricate printed flexible conductor patterns or devices directly on polydimethylsiloxane (PDMS) surface. An atmospheric plasma treatment was first used to oxidize the PDMS surface and create a hydrophilic silica surface layer, which was confirmed with photoelectron spectra. The plasma operating parameters, such as gas types and plasma powers, were optimized to obtain surface silica layers with the longest lifetime. Conductive paste with epoxy resin was screen-printed on the plasma-treated PDMS surface to fabricate flexible conductive tracks. As a result of the strong binding forces between epoxy resin and the silica surface layer, the printed patterns showed great adhesion on PDMS and were undamaged after several stringent adhesion tests. The printed conductive tracks showed strong mechanical stability and exhibited great electric conductivity under bending, twisting, and stretching conditions. Finally, a printed pressure sensor with good sensitivity and a fast response time was fabricated to demonstrate the capability of this method for the realization of printed electronic devices.

  16. Investigation of helium plasma stream parameters in experiments on surface modification

    International Nuclear Information System (INIS)

    Bandura, A.N.; Byrka, O.V.; Chebotarev, V.V.; Garkusha, I.E.; Tsarenko, A.V. and eds.

    2005-01-01

    The main objection of this study is adjustment of plasma treatment regimes for different materials that allows achieving optimal thickness of modified layer with simultaneously minimal value of surface roughness. With use of optical spectroscopy, detailed information about the basic plasma parameters - electron density, electron and ion temperatures, plasma stream duration and velocity, was obtained. Integrated spectra of plasma radiation were analyzed. The majority of helium and impurity spectral lines were investigated on a subject of Stark broadening. Plasma pressure and energy density values measured with piezodetectors and calorimeters are in good agreement with plasma parameters obtained by optical techniques

  17. Plasma processing of large curved surfaces for superconducting rf cavity modification

    Directory of Open Access Journals (Sweden)

    J. Upadhyay

    2014-12-01

    Full Text Available Plasma-based surface modification of niobium is a promising alternative to wet etching of superconducting radio frequency (SRF cavities. We have demonstrated surface layer removal in an asymmetric nonplanar geometry, using a simple cylindrical cavity. The etching rate is highly correlated with the shape of the inner electrode, radio-frequency (rf circuit elements, gas pressure, rf power, chlorine concentration in the Cl_{2}/Ar gas mixtures, residence time of reactive species, and temperature of the cavity. Using variable radius cylindrical electrodes, large-surface ring-shaped samples, and dc bias in the external circuit, we have measured substantial average etching rates and outlined the possibility of optimizing plasma properties with respect to maximum surface processing effect.

  18. Liquid lithium surface control and its effect on plasma performance in the HT-7 tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Zuo, G.Z.; Ren, J. [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Hu, J.S., E-mail: hujs@ipp.ac.cn [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Sun, Z.; Yang, Q.X.; Li, J.G. [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Zakharov, L.E. [Princeton University Plasma Physics Laboratory Princeton, NJ 08543 (United States); Ruzic, David N. [University of Illinois, Urbana, IL 61801 (United States)

    2014-12-15

    Highlights: • Strong interaction between plasma and Li would cause strong Li emission and lead to disruptive plasmas, and probable reasons were analyzed. • Serious Li would be emitted from the free statics surface mainly due to J × B force leading to plasma instable and disruptions. • CPS surface would partially suppress the emission and be beneficial for plasma operation. • Li emission from flowing LLLs on free surfaces on SS trenches and on SS plate were compared. - Abstract: Experiments with liquid lithium limiters (LLLs) have been successfully performed in HT-7 since 2009 and the effects of different limiter surface structures on the ejection of Li droplets have been studied and compared. The experiments have demonstrated that strong interaction between the plasma and the liquid surface can cause intense Li efflux in the form of ejected Li droplets – which can, in turn, lead to plasma disruptions. The details of the LLL plasma-facing surface were observed to be extremely important in determining performance. Five different LLLs were evaluated in this work: two types of static free-surface limiters and three types of flowing liquid Li (FLLL) structures. It has been demonstrated that a FLLL with a slowly flowing thin liquid Li film on vertical flow plate which was pre-treated with evaporated Li was much less susceptible to Li droplet ejection than any of the other structures tested in this work. It was further observed that the plasmas run against this type of limiter were reproducibly well-behaved. These results provide technical references for the design of FLLLs in future tokamaks so as to avoid strong Li ejection and to decrease disruptive plasmas.

  19. Surface characterization of hydrophobic thin films deposited by inductively coupled and pulsed plasmas

    International Nuclear Information System (INIS)

    Kim, Youngsoo; Lee, Ji-Hye; Kim, Kang-Jin; Lee, Yeonhee

    2009-01-01

    Different fluorocarbon thin films were deposited on Si substrates using a plasma-polymerization method. Fluorine-containing hydrophobic thin films were obtained by inductively coupled plasma (ICP) and pulsed plasma (PP) with a mixture of fluorocarbon precursors C 2 F 6 , C 3 F 8 , and c-C 4 F 8 and the unsaturated hydrocarbons of C 2 H 2 . The influence on the fluorocarbon surfaces of the process parameters for plasma polymerization, including the gas ratio and the plasma power, were investigated under two plasma-polymerized techniques with different fluorocarbon gas precursors. The hydrophobic properties, surface morphologies, and chemical compositions were elucidated using water contact angle measurements, field emission-scanning electron microscope, x-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy (FT-IR), and time-of-flight secondary ion mass spectrometry (TOF-SIMS). In this study, the ICP technique provides coarser grained films and more hydrophobic surfaces as well as a higher deposition rate compared to the PP technique. XPS, FT-IR, and TOF-SIMS analyses indicated that the ICP technique produced more fluorine-related functional groups, including CF 2 and CF 3 , on the surface. From the curve-fitted XPS results, fluorocarbon films grown under ICP technique exhibited less degree of cross-linking and higher CF 2 concentrations than those grown under PP technique.

  20. Surface modification of polyacrylonitrile co-polymer membranes using pulsed direct current nitrogen plasma

    Energy Technology Data Exchange (ETDEWEB)

    Pal, Dipankar; Neogi, Sudarsan; De, Sirshendu, E-mail: sde@che.iitkgp.ernet.in

    2015-12-31

    Low temperature plasma treatment using pulsed direct current discharge of nitrogen gas was employed to enhance hydrophilicity of the polyacrylonitrile co-polymer membranes. The membranes were characterized in terms of morphology, structure, hydrophilicity, and membrane performance. Properties and functional groups on the surface of polyacrylonitrile co-polymer membranes were investigated by contact angle, scanning electron microscopy, Fourier transform infrared and X-ray photoelectron spectroscopy. Effects of plasma conditions, namely, pulsed voltage, duty cycle and treatment time on increase in membrane hydrophilicity were studied. Permeability of treated membrane was increased by 47% and it was retained up to 70 days. Surface etching due to plasma treatment was confirmed by weight loss of the treated membranes. Due to surface etching, average pore size increased and rejection of 200 kDa polyethylene glycol decreased to about 70% for the treated membrane. Oxygen and nitrogen functional groups were responsible for surface hydrophilicity. - Highlights: • Surface modification of polyacrylonitrile co-polymer membranes by pulsed direct current nitrogen plasma • Hydrophilic functional groups incorporated on the membrane surface • Significant enhancement of the permeability and wettability of the membranes • Water contact angle increased with storage time and finally stabilized.

  1. Surface modification of polyacrylonitrile co-polymer membranes using pulsed direct current nitrogen plasma

    International Nuclear Information System (INIS)

    Pal, Dipankar; Neogi, Sudarsan; De, Sirshendu

    2015-01-01

    Low temperature plasma treatment using pulsed direct current discharge of nitrogen gas was employed to enhance hydrophilicity of the polyacrylonitrile co-polymer membranes. The membranes were characterized in terms of morphology, structure, hydrophilicity, and membrane performance. Properties and functional groups on the surface of polyacrylonitrile co-polymer membranes were investigated by contact angle, scanning electron microscopy, Fourier transform infrared and X-ray photoelectron spectroscopy. Effects of plasma conditions, namely, pulsed voltage, duty cycle and treatment time on increase in membrane hydrophilicity were studied. Permeability of treated membrane was increased by 47% and it was retained up to 70 days. Surface etching due to plasma treatment was confirmed by weight loss of the treated membranes. Due to surface etching, average pore size increased and rejection of 200 kDa polyethylene glycol decreased to about 70% for the treated membrane. Oxygen and nitrogen functional groups were responsible for surface hydrophilicity. - Highlights: • Surface modification of polyacrylonitrile co-polymer membranes by pulsed direct current nitrogen plasma • Hydrophilic functional groups incorporated on the membrane surface • Significant enhancement of the permeability and wettability of the membranes • Water contact angle increased with storage time and finally stabilized.

  2. Nanoscale mechanical and tribological properties of fluorocarbon films grafted onto plasma-treated low-density polyethylene surfaces

    International Nuclear Information System (INIS)

    Cheng, Q; Komvopoulos, K

    2012-01-01

    Fluorocarbon (FC) films were grafted onto Ar plasma-treated low-density polyethylene (LDPE) surfaces by plasma polymerization and deposition. The evolution of the surface morphology of the grafted FC films was investigated at different scales with an atomic force microscope. Nanoscale sliding experiments performed with a surface force microscope provided insight into the nanotribological properties of Ar plasma-treated LDPE, with and without grafted FC films, in terms of applied normal load and number of sliding cycles. The observed trends are explained in the context of microstructure models accounting for morphological and structure changes at the LDPE surface due to the effects of plasma treatment (e.g., selective etching of amorphous phase, chain crosslinking and FC film grafting) and surface sliding (e.g., crystalline lamellae alignment along the sliding direction). Nanoindentation experiments elucidated the effect of plasma treatment on surface viscoelasticity and global contact stiffness. The results of this study demonstrate that plasma-assisted grafting of FC films is an effective surface modification method for tuning the nanomechanical/tribological properties of polymers. (paper)

  3. Fluorination of vertically aligned carbon nanotubes: from CF4 plasma chemistry to surface functionalization.

    Science.gov (United States)

    Struzzi, Claudia; Scardamaglia, Mattia; Colomer, Jean-François; Verdini, Alberto; Floreano, Luca; Snyders, Rony; Bittencourt, Carla

    2017-01-01

    The surface chemistry of plasma fluorinated vertically aligned carbon nanotubes (vCNT) is correlated to the CF 4 plasma chemical composition. The results obtained via FTIR and mass spectrometry are combined with the XPS and Raman analysis of the sample surface showing the dependence on different plasma parameters (power, time and distance from the plasma region) on the resulting fluorination. Photoemission and absorption spectroscopies are used to investigate the evolution of the electronic properties as a function of the fluorine content at the vCNT surface. The samples suffer a limited ageing effect, with a small loss of fluorine functionalities after two weeks in ambient conditions.

  4. Fluorination of vertically aligned carbon nanotubes: from CF4 plasma chemistry to surface functionalization

    Directory of Open Access Journals (Sweden)

    Claudia Struzzi

    2017-08-01

    Full Text Available The surface chemistry of plasma fluorinated vertically aligned carbon nanotubes (vCNT is correlated to the CF4 plasma chemical composition. The results obtained via FTIR and mass spectrometry are combined with the XPS and Raman analysis of the sample surface showing the dependence on different plasma parameters (power, time and distance from the plasma region on the resulting fluorination. Photoemission and absorption spectroscopies are used to investigate the evolution of the electronic properties as a function of the fluorine content at the vCNT surface. The samples suffer a limited ageing effect, with a small loss of fluorine functionalities after two weeks in ambient conditions.

  5. Study of plasma formation in CW CO2 laser beam-metal surface interaction

    Science.gov (United States)

    Azharonok, V. V.; Vasilchenko, Zh V.; Golubev, Vladimir S.; Gresev, A. N.; Zabelin, Alexandre M.; Chubrik, N. I.; Shimanovich, V. D.

    1994-04-01

    An interaction of the cw CO2 laser beam and a moving metal surface has been studied. The pulsed and thermodynamical parameters of the surface plasma were investigated by optical and spectroscopical methods. The subsonic radiation wave propagation in the erosion plasma torch has been studied.

  6. Nonlinear interaction of the surface waves at a plasma boundary

    International Nuclear Information System (INIS)

    Dolgopolov, V.V.; El-Naggar, I.A.; Hussein, A.M.; Khalil, Sh.M.

    1976-01-01

    Amplitudes of electromagnetic waves with combination frequencies, radiating from the plasma boundary due to nonlinear interaction of the surface waves, have been found. Previous papers on this subject did not take into account that the tangential components of the electric field of waves with combination frequencies were discontinuous at the plasma boundary. (Auth.)

  7. Reconfigurable modified surface layers using plasma capillaries around the neutral inclusion regime

    Energy Technology Data Exchange (ETDEWEB)

    Varault, S. [ONERA—The French Aerospace Lab 2, Avenue Edouard Belin, BP4025, 31055 Toulouse Cedex (France); Universite Paul Sabatier—CNRS-Laplace 118, Route de Narbonne, F-31062 Toulouse Cedex 9 (France); Gabard, B. [ONERA—The French Aerospace Lab 2, Avenue Edouard Belin, BP4025, 31055 Toulouse Cedex (France); STAE—4, Rue Emile Monso, BP84234, 31030 Toulouse Cedex 4 (France); Crépin, T.; Bolioli, S. [ONERA—The French Aerospace Lab 2, Avenue Edouard Belin, BP4025, 31055 Toulouse Cedex (France); Sokoloff, J. [Universite Paul Sabatier—CNRS-Laplace 118, Route de Narbonne, F-31062 Toulouse Cedex 9 (France)

    2014-02-28

    We show both theoretically and experimentally reconfigurable properties achieved by plasma inclusions placed in modified surface layers generally used to tailor the transmission and beaming properties of electromagnetic bandgap based waveguiding structures. A proper parametrization of the plasma capillaries allows to reach the neutral inclusion regime, where the inclusions appear to be electromagnetically transparent, letting the surface mode characteristics unaltered. Varying the electron density of the plasma inclusions provoques small perturbations around this peculiar regime, and we observe significant modifications of the transmission/beaming properties. This offers a way to dynamically select the enhanced transmission frequency or to modify the radiation pattern of the structure, depending on whether the modified surface layer is placed at the entrance/exit of the waveguide.

  8. Reconfigurable modified surface layers using plasma capillaries around the neutral inclusion regime

    International Nuclear Information System (INIS)

    Varault, S.; Gabard, B.; Crépin, T.; Bolioli, S.; Sokoloff, J.

    2014-01-01

    We show both theoretically and experimentally reconfigurable properties achieved by plasma inclusions placed in modified surface layers generally used to tailor the transmission and beaming properties of electromagnetic bandgap based waveguiding structures. A proper parametrization of the plasma capillaries allows to reach the neutral inclusion regime, where the inclusions appear to be electromagnetically transparent, letting the surface mode characteristics unaltered. Varying the electron density of the plasma inclusions provoques small perturbations around this peculiar regime, and we observe significant modifications of the transmission/beaming properties. This offers a way to dynamically select the enhanced transmission frequency or to modify the radiation pattern of the structure, depending on whether the modified surface layer is placed at the entrance/exit of the waveguide

  9. Formation of hydrophobic coating on glass surface using atmospheric pressure non-thermal plasma in ambient air

    International Nuclear Information System (INIS)

    Fang, Z; Qiu, Y; Kuffel, E

    2004-01-01

    Non-thermal plasmas under atmospheric pressure are of great interest in material surface processing because of their convenience, effectiveness and low cost. In this paper, the treatment of a glass surface for improving hydrophobicity using a non-thermal plasma generated by a dielectric barrier corona discharge (DBCD) with a needle array-to-plane electrode arrangement in atmospheric air is conducted, and the surface properties of the glass before and after the DBCD treatment are studied using contact angle measurement, surface resistance measurement and the wet flashover voltage test. The effects of the plasma dose (the product of average discharge power and treatment time) of DBCD on the surface modification are studied, and the mechanism of interaction between the plasma and glass surface is discussed. It is found that a layer of hydrophobic coating is formed on the glass surface through DBCD treatment, and the improvement of hydrophobicity depends on the plasma dose of the DBCD. It seems that there is an optimum plasma dose for the surface treatment. The test results of thermal ageing and chemical ageing show that the hydrophobic layer has quite stable characteristics

  10. Development of concentric equipotential surfaces in bumpy torus plasma

    International Nuclear Information System (INIS)

    Takasugi, Keiichi; Iguchi, Harukazu; Fujiwara, Masami; Ikegami, Hideo

    1983-01-01

    Radial profiles of the plasma space potential are measured in Nagoya Bumpy Torus (NBT-1) by the use of a heavy ion beam probe. Asymmetric potential profiles owing to toroidal drift are observed in high pressure operation (C-mode). As the pressure is decreased, toroidal plasma is effectively heated (T-mode), poloidal precessional frequency overcomes the electron collision frequency and the equipotential surfaces becomes concentric inside the hot electron ring. (author)

  11. Radiation characteristics of input power from surface wave sustained plasma antenna

    Energy Technology Data Exchange (ETDEWEB)

    Naito, T., E-mail: Naito.Teruki@bc.MitsubishiElectric.co.jp [Advanced Technology R& D Center, Mitsubishi Electric Corporation, Amagasaki, Hyogo 661-8661 (Japan); Yamaura, S. [Information Technology R& D Center, Mitsubishi Electric Corporation, Kamakura, Kanagawa 247-8501 (Japan); Fukuma, Y. [Communication System Center, Mitsubishi Electric Corporation, Amagasaki, Hyogo 661-8661 (Japan); Sakai, O. [Department of Electronic System Engineering, The University of Shiga Prefecture, Hikone, Shiga 522-8533 (Japan)

    2016-09-15

    This paper reports radiation characteristics of input power from a surface wave sustained plasma antenna investigated theoretically and experimentally, especially focusing on the power consumption balance between the plasma generation and the radiation. The plasma antenna is a dielectric tube filled with argon and small amount of mercury, and the structure is a basic quarter wavelength monopole antenna at 2.45 GHz. Microwave power at 2.45 GHz is supplied to the plasma antenna. The input power is partially consumed to sustain the plasma, and the remaining part is radiated as a signal. The relationship between the antenna gain and the input power is obtained by an analytical derivation and numerical simulations. As a result, the antenna gain is kept at low values, and most of the input power is consumed to increase the plasma volume until the tube is filled with the plasma whose electron density is higher than the critical electron density required for sustaining the surface wave. On the other hand, the input power is consumed to increase the electron density after the tube is fully filled with the plasma, and the antenna gain increases with increasing the electron density. The dependence of the antenna gain on the electron density is the same as that of a plasma antenna sustained by a DC glow discharge. These results are confirmed by experimental results of the antenna gain and radiation patterns. The antenna gain of the plasma is a few dB smaller than that of the identical metal antenna. The antenna gain of the plasma antenna is sufficient for the wireless communication, although it is difficult to substitute the plasma antenna for metal antennas completely. The plasma antenna is suitable for applications having high affinity with the plasma characteristics such as low interference and dynamic controllability.

  12. Radiation characteristics of input power from surface wave sustained plasma antenna

    International Nuclear Information System (INIS)

    Naito, T.; Yamaura, S.; Fukuma, Y.; Sakai, O.

    2016-01-01

    This paper reports radiation characteristics of input power from a surface wave sustained plasma antenna investigated theoretically and experimentally, especially focusing on the power consumption balance between the plasma generation and the radiation. The plasma antenna is a dielectric tube filled with argon and small amount of mercury, and the structure is a basic quarter wavelength monopole antenna at 2.45 GHz. Microwave power at 2.45 GHz is supplied to the plasma antenna. The input power is partially consumed to sustain the plasma, and the remaining part is radiated as a signal. The relationship between the antenna gain and the input power is obtained by an analytical derivation and numerical simulations. As a result, the antenna gain is kept at low values, and most of the input power is consumed to increase the plasma volume until the tube is filled with the plasma whose electron density is higher than the critical electron density required for sustaining the surface wave. On the other hand, the input power is consumed to increase the electron density after the tube is fully filled with the plasma, and the antenna gain increases with increasing the electron density. The dependence of the antenna gain on the electron density is the same as that of a plasma antenna sustained by a DC glow discharge. These results are confirmed by experimental results of the antenna gain and radiation patterns. The antenna gain of the plasma is a few dB smaller than that of the identical metal antenna. The antenna gain of the plasma antenna is sufficient for the wireless communication, although it is difficult to substitute the plasma antenna for metal antennas completely. The plasma antenna is suitable for applications having high affinity with the plasma characteristics such as low interference and dynamic controllability.

  13. Functionalization of polymer surfaces by medium frequency non-thermal plasma

    Science.gov (United States)

    Felix, T.; Trigueiro, J. S.; Bundaleski, N.; Teodoro, O. M. N. D.; Sério, S.; Debacher, N. A.

    2018-01-01

    This work addresses the surface modification of different polymers by argon dielectric barrier discharge, using bromoform vapours. Atomic Force Microscopy and Scanning Electron Microscopy showed that plasma etching occurs in stages and may be related to the reach of the species generated and obviously the gap between the electrodes. In addition, the stages of flatten surface or homogeneity may be the result of the transient crosslinking promoted by the intense UV radiation generated by the non- thermal plasma. X-ray Photoelectron Spectroscopy analysis showed that bromine was inserted on the polymer surface as Csbnd Br bonds and as adsorbed HBr. The obtained results demonstrate that the highest degree of bromofunctionalization was achieved on polypropylene surface, which contains about 8,5% of Br. After its derivatization in ammonia, Br disappeared and about 6% of nitrogen in the form of amine group was incorporated at the surface. This result can be considered as a clear fingerprint of the Br substitution by the amine group, thus illustrating the efficiency of the proposed method for functionalization of polymer surfaces.

  14. Hydrophilic surface modification of coronary stent using an atmospheric pressure plasma jet for endothelialization.

    Science.gov (United States)

    Shim, Jae Won; Bae, In-Ho; Park, Dae Sung; Lee, So-Youn; Jang, Eun-Jae; Lim, Kyung-Seob; Park, Jun-Kyu; Kim, Ju Han; Jeong, Myung Ho

    2018-03-01

    The first two authors contributed equally to this study. Bioactivity and cell adhesion properties are major factors for fabricating medical devices such as coronary stents. The aim of this study was to evaluate the advantages of atmospheric-pressure plasma jet in enhancing the biocompatibility and endothelial cell-favorites. The experimental objects were divided into before and after atmospheric-pressure plasma jet treatment with the ratio of nitrogen:argon = 3:1, which is similar to air. The treated surfaces were basically characterized by means of a contact angle analyzer for the activation property on their surfaces. The effect of atmospheric-pressure plasma jet on cellular response was examined by endothelial cell adhesion and XTT analysis. It was difficult to detect any changeable morphology after atmospheric-pressure plasma jet treatment on the surface. The roughness was increased after atmospheric-pressure plasma jet treatment compared to nonatmospheric-pressure plasma jet treatment (86.781 and 7.964 nm, respectively). The X-ray photoelectron spectroscopy results showed that the surface concentration of the C-O groups increased slightly from 6% to 8% after plasma activation. The contact angle dramatically decreased in the atmospheric-pressure plasma jet treated group (22.6 ± 15.26°) compared to the nonatmospheric-pressure plasma jet treated group (72.4 ± 15.26°) ( n = 10, p atmospheric-pressure plasma jet on endothelial cell migration and proliferation was 85.2% ± 12.01% and 34.2% ± 2.68%, respectively, at 7 days, compared to the nonatmospheric-pressure plasma jet treated group (58.2% ± 11.44% in migration, n = 10, p atmospheric-pressure plasma jet method. Moreover, the atmospheric-pressure plasma jet might affect re-endothelialization after stenting.

  15. Interaction of dense nitrogen plasma with SS304 surface using APF plasma focus device

    Science.gov (United States)

    Afrashteh, M.; Habibi, M.; Heydari, E.

    2012-04-01

    The nitridation of SS304 surfaces is obtained by irradiating nitrogen ions from Amirkabir plasma focus device, which use multiple focus deposition shots at optimum distance 10 cm from the anode. The Vickers Micro-Hardness values are improved more than twice for the nitrided samples comparing to the nonnitrided ones. The X-ray diffraction (XRD) analysis is carried out in order to explore the phase changes in the near surface structure of the metals. The results of Scanning Electron Microscopy (SEM) indicate changes in surface morphology which are the emergence of smooth and uniform film on the surface of the nitrided metals.

  16. Plasma surface treatment of Cu by nanosecond-pulse diffuse discharges in atmospheric air

    Science.gov (United States)

    Cheng, ZHANG; Jintao, QIU; Fei, KONG; Xingmin, HOU; Zhi, FANG; Yu, YIN; Tao, SHAO

    2018-01-01

    Nanosecond-pulse diffuse discharges could provide high-density plasma and high-energy electrons at atmospheric pressure. In this paper, the surface treatment of Cu by nanosecond-pulse diffuse discharges is conducted in atmospheric air. Factors influencing the water contact angle (WCA), chemical composition and microhardness, such as the gap spacing and treatment time, are investigated. The results show that after the plasma surface treatment, the WCA considerably decreases from 87° to 42.3°, and the surface energy increases from 20.46 mJ m-2 to 66.28 mJ m-2. Results of energy dispersive x-ray analysis show that the concentration of carbon decreases, but the concentrations of oxygen and nitrogen increase significantly. Moreover, the microhardness increases by approximately 30% after the plasma treatment. The aforementioned changes on the Cu surface indicate the plasma surface treatment enhances the hydrophilicity and microhardness, and it cleans the carbon and achieves oxidization on the Cu surface. Furthermore, by increasing the gap spacing and treatment time, better treatment effects can be obtained. The microhardness in the case of a 2.5 cm gap is higher than that in the case of a 3 cm gap. More oxygen and nitrogen species appear on the Cu surface for the 2.5 cm gap treatment than for the 3 cm gap treatment. The WCA significantly decreases with the treatment time when it is no longer than 90 s, and then it reaches saturation. In addition, more oxygen-containing and nitrogen-containing groups appear after extended plasma treatment time. They contribute to the improvement of the hydrophilicity and oxidation on the Cu surface.

  17. Surface Roughness Measurements Utilizing Long-Range Surface-Plasma Waves

    Science.gov (United States)

    1984-11-01

    8217 The theory dealt only with the depen- modes, one symmetric and one antisymmetric, dence of the real wave vector on the real part of that propagate...quantity, while the wave vector is complex. It is shown that for both the supported and unsup- From Eqs. (1) and (2) one obtains the real implic- ported...Opt. Soc. sabbatical leave from the University of Toledo. Am.). Optical feild enhancemeft by long-range surface- I" ouT In O’ in OUT way@, plasma waves

  18. Surface modification of polyester fabrics by atmospheric-pressure air/He plasma for color strength and adhesion enhancement

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Chunming, E-mail: zcm1229@126.com [College of Textiles and Clothing, Qingdao University, Qingdao 266071 (China); Sunvim Grp Co Ltd, Gaomi 261500 (China); Zhao, Meihua; Wang, Libing; Qu, Lijun [College of Textiles and Clothing, Qingdao University, Qingdao 266071 (China); Men, Yajing [Sunvim Grp Co Ltd, Gaomi 261500 (China)

    2017-04-01

    Highlights: • Air/He plasma gave hydrophilicity on polyester surface and decreased contact angle to 18°. • The roughness of polyester increased and pit-like structures appeared on the surface after plasma treatment. • XPS confirmed the generation of new functional groups on polyester fabric. • The improved pigment color yield and anti-bleeding performance were contributed by the alteration of pigment adhesion. • The air/He plasma was more effective than air plasma at the same treatment time. - Abstract: Surface properties of water-based pigmented inks for ink-jet printed polyester fabrics were modified with atmospheric-pressure air/He plasma to improve the color strength and pigment adhesion of the treated surfaces. The influence of various parameters, including the surface morphology, chemical compositions, surface energy and dynamic contact angles of the control and plasma treated samples was studied. Color strength and edge definition were used to evaluate the ink-jet printing performance of fabrics. The change in pigment adhesion to polyester fibers was analyzed by SEM (scanning electron microscopy). AFM (Atomic force microscope) and XPS (X-ray photoelectron spectroscopy) analyses indicated the increase in surface roughness and the oxygen-containing polar groups(C=O, C−OH and COOH) reinforced the fixation of pigments on the fiber surface. The result from this study suggested that the improved pigment color yield was clearly affected by alteration of pigment adhesion enhanced by plasma surface modification. Polyester fabrics exhibited better surface property and ink-jet printing performance after the air/He mixture plasma treatment comparing with those after air plasma treatment.

  19. Surface modification of polyester fabrics by atmospheric-pressure air/He plasma for color strength and adhesion enhancement

    International Nuclear Information System (INIS)

    Zhang, Chunming; Zhao, Meihua; Wang, Libing; Qu, Lijun; Men, Yajing

    2017-01-01

    Highlights: • Air/He plasma gave hydrophilicity on polyester surface and decreased contact angle to 18°. • The roughness of polyester increased and pit-like structures appeared on the surface after plasma treatment. • XPS confirmed the generation of new functional groups on polyester fabric. • The improved pigment color yield and anti-bleeding performance were contributed by the alteration of pigment adhesion. • The air/He plasma was more effective than air plasma at the same treatment time. - Abstract: Surface properties of water-based pigmented inks for ink-jet printed polyester fabrics were modified with atmospheric-pressure air/He plasma to improve the color strength and pigment adhesion of the treated surfaces. The influence of various parameters, including the surface morphology, chemical compositions, surface energy and dynamic contact angles of the control and plasma treated samples was studied. Color strength and edge definition were used to evaluate the ink-jet printing performance of fabrics. The change in pigment adhesion to polyester fibers was analyzed by SEM (scanning electron microscopy). AFM (Atomic force microscope) and XPS (X-ray photoelectron spectroscopy) analyses indicated the increase in surface roughness and the oxygen-containing polar groups(C=O, C−OH and COOH) reinforced the fixation of pigments on the fiber surface. The result from this study suggested that the improved pigment color yield was clearly affected by alteration of pigment adhesion enhanced by plasma surface modification. Polyester fabrics exhibited better surface property and ink-jet printing performance after the air/He mixture plasma treatment comparing with those after air plasma treatment.

  20. Non-uniform Erosion and Surface Evolution of Plasma-Facing Materials for Electric Propulsion

    Science.gov (United States)

    Matthes, Christopher Stanley Rutter

    A study regarding the surface evolution of plasma-facing materials is presented. Experimental efforts were performed in the UCLA Pi Facility, designed to explore the physics of plasma-surface interactions. The influence of micro-architectured surfaces on the effects of plasma sputtering is compared with the response of planar samples. Ballistic deposition of sputtered atoms as a result of geometric re-trapping is observed. This provides a self-healing mechanism of micro-architectured surfaces during plasma exposure. This result is quantified using a QCM to demonstrate the evolution of surface features and the corresponding influence on the instantaneous sputtering yield. The sputtering yield of textured molybdenum samples exposed to 300 eV Ar plasma is found to be roughly 1 of the 2 corresponding value of flat samples, and increases with ion fluence. Mo samples exhibited a sputtering yield initially as low as 0.22+/-8%, converging to 0.4+/-8% at high fluence. Although the yield is dependent on the initial surface structure, it is shown to be transient, reaching a steady-state value that is independent of initial surface conditions. A continuum model of surface evolution resulting from sputtering, deposition and surface diffusion is also derived to resemble the damped Kuramoto-Sivashinsky (KS) equation of non-linear dynamics. Linear stability analysis of the evolution equation provides an estimate of the selected wavelength, and its dependence on the ion energy and angle of incidence. The analytical results are confirmed by numerical simulations of the equation with a Fast Fourier Transform method. It is shown that for an initially flat surface, small perturbations lead to the evolution of a selected surface pattern that has nano- scale wavelength. When the surface is initially patterned by other means, the final resulting pattern is a competition between the "templated" pattern and the "self-organized" structure. Potential future routes of research are also

  1. The relationship between cellular adhesion and surface roughness in polystyrene modified by microwave plasma radiation

    Directory of Open Access Journals (Sweden)

    Biazar E

    2011-03-01

    Full Text Available Esmaeil Biazar1, Majid Heidari2, Azadeh Asefnezhad2, Naser Montazeri11Department of Chemistry, Islamic Azad University, Tonekabon Branch, Mazandaran; 2Department of Biomaterial Engineering, Faculty of Biomedical Engineering, Science and Research Branch, Islamic Azad University, Tehran, IranBackground: Surface modification of medical polymers can improve biocompatibility. Pure polystyrene is hydrophobic and cannot provide a suitable environment for cell cultures. The conventional method for surface modification of polystyrene is treatment with plasma. In this study, conventional polystyrene was exposed to microwave plasma treatment with oxygen and argon gases for 30, 60, and 180 seconds.Methods and results: Attenuated total reflection Fourier transform infrared spectra investigations of irradiated samples indicated clearly the presence of functional groups. Atomic force microscopic images of samples irradiated with inert and active gases indicated nanometric surface topography. Samples irradiated with oxygen plasma showed more roughness (31 nm compared with those irradiated with inert plasma (16 nm at 180 seconds. Surface roughness increased with increasing duration of exposure, which could be due to reduction of the contact angle of samples irradiated with oxygen plasma. Contact angle analysis showed reduction in samples irradiated with inert plasma. Samples irradiated with oxygen plasma showed a lower contact angle compared with those irradiated by argon plasma.Conclusion: Cellular investigations with unrestricted somatic stem cells showed better adhesion, cell growth, and proliferation for samples radiated by oxygen plasma with increasing duration of exposure than those of normal samples.Keywords: surface topography, polystyrene, plasma treatment, argon, oxygen

  2. Thermal equilibrium of pure electron plasmas across a central region of magnetic surfaces

    Science.gov (United States)

    Hahn, Michael; Pedersen, Thomas Sunn

    2009-06-01

    Measurements of the equilibria of plasmas created by emission from a biased filament located off the magnetic axis in the Columbia Non-neutral Torus (CNT) [T. S. Pedersen, J. P. Kremer, R. G. Lefrancois et al., Fusion Sci. Technol. 50, 372 (2006)] show that such plasmas have equilibrium properties consistent with the inner surfaces being in a state of cross-surface thermal equilibrium. Numerical solutions to the equilibrium equation were used to fit the experimental data and demonstrate consistency with cross-surface thermal equilibrium. Previous experiments in CNT showed that constant temperatures across magnetic surfaces are characteristic of CNT plasmas, implying thermal confinement times much less than particle confinement times. These results show that when emitting off axis there is a volume of inner surfaces where diffusion into that region is balanced by outward transport, producing a Boltzmann distribution of electrons. When combined with the low thermal energy confinement time this is a cross-surface thermal equilibrium.

  3. Thermal equilibrium of pure electron plasmas across a central region of magnetic surfaces

    International Nuclear Information System (INIS)

    Hahn, Michael; Pedersen, Thomas Sunn

    2009-01-01

    Measurements of the equilibria of plasmas created by emission from a biased filament located off the magnetic axis in the Columbia Non-neutral Torus (CNT) [T. S. Pedersen, J. P. Kremer, R. G. Lefrancois et al., Fusion Sci. Technol. 50, 372 (2006)] show that such plasmas have equilibrium properties consistent with the inner surfaces being in a state of cross-surface thermal equilibrium. Numerical solutions to the equilibrium equation were used to fit the experimental data and demonstrate consistency with cross-surface thermal equilibrium. Previous experiments in CNT showed that constant temperatures across magnetic surfaces are characteristic of CNT plasmas, implying thermal confinement times much less than particle confinement times. These results show that when emitting off axis there is a volume of inner surfaces where diffusion into that region is balanced by outward transport, producing a Boltzmann distribution of electrons. When combined with the low thermal energy confinement time this is a cross-surface thermal equilibrium.

  4. The modification of nanocomposite hybrid polymer surfaces by exposure to oxygen containing plasmas

    Science.gov (United States)

    Figueiredo, Ashley; Zimmermann, Katherine; Augustine, Brian; Hughes, Chris; Chusuei, Charles

    2006-11-01

    The wetting properties of the surfaces of the nanocomposite hybrid polymer poly[(propylmethacryl-heptaisobutyl- polyhedral oligomeric silsequioxane)-co-(methylmethacrylate)] (POSS-PMMA)has been studied before and after exposure to plasmas containing oxygen. The contact angle of water droplets on the surface showed a substantial decrease after plasma exposure indicating an increase in the hydrophilicity of the surface. A model was developed in which the plasma preferentially removed organic material including both the PMMA backbone and isobutyl groups from the corners of the POSS cages leaving behind a surface characterized by the silicon oxide-like POSS material. Measurements of surface concentrations of oxygen, silicon, and carbon by x-ray photoelectron spectroscopy (XPS) showed an increase in the amount of oxygen and silicon compared to carbon and the appropriate chemical shifts were observed in the XPS data to support the model of Si-O enrichment on the surface. Variable angle spectroscopic ellipsometry (VASE) and atomic force microscopy (AFM) measurements also supported the model and these results will be presented.

  5. Cassie state robustness of plasma generated randomly nano-rough surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Di Mundo, Rosa, E-mail: rosa.dimundo@poliba.it; Bottiglione, Francesco; Carbone, Giuseppe

    2014-10-15

    Graphical abstract: - Highlights: • Superhydrophobic randomly rough surfaces are generated by plasma etching. • Statistical analysis of roughness allows calculation of theWenzel roughness factor, r{sub W.} • A r{sub W} threshold is theoretically determined, above which superhydrophobicity is “robust”. • Dynamic wetting, e.g. with high speed impacting drops, confirms this prediction. - Abstract: Superhydrophobic surfaces are effective in practical applications provided they are “robust superhydrophobic”, i.e. able to retain the Cassie state, i.e. with water suspended onto the surface protrusions, even under severe conditions (high pressure, vibrations, high speed impact, etc.). We show that for randomly rough surfaces, given the Young angle, Cassie states are robust when a threshold value of the Wenzel roughness factor, r{sub W}, is exceeded. In particular, superhydrophobic nano-textured surfaces have been generated by self-masked plasma etching. In view of their random roughness, topography features, acquired by Atomic Force Microscopy, have been statistically analyzed in order to gain information on statistical parameters such as power spectral density, fractal dimension and Wenzel roughness factor (r{sub W}), which has been used to assess Cassie state robustness. Results indicate that randomly rough surfaces produced by plasma at high power or long treatment duration, which are also fractal self-affine, have a r{sub W} higher than the theoretical threshold, thus for them a robust superhydrophobicity is predicted. In agreement with this, under dynamic wetting conditionson these surfaces the most pronounced superhydrophobic character has been appreciated: they show the lowest contact angle hysteresis and result in the sharpest bouncing when hit by drops at high impact velocity.

  6. Erosion of pyrolytic carbon under high surface energy deposition from a pulsed hydrogen plasma

    International Nuclear Information System (INIS)

    Bolt, H.

    1992-01-01

    Carbon materials are widely applied as plasma facing materials in nuclear fusion devices and are also the prime candidate materials for the next generation of experimental fusion reactors. During operation these materials are frequently subjected to high energy deposition from plasma disruptions. The erosion of carbon materials is regarded as the main issue governing the operational lifetime of plasma facing components. Laboratory experiments have been performed to study the thermal erosion behaviour of carbon in a plasma environment. In the experiments the surface of pyrolytic carbon specimens was exposed to pulsed energy deposition of up to 3.8 MJ m -2 from a hydrogen plasma. The behaviour of the eroded carbon species in the plasma was measured by time-resolved and space-resolved spectroscopy. Intense line radiation of ionic carbon has been measured in the plasma in front of the carbon surface. The results show that the eroded carbon is immediately ionised in the vicinity of the material surface, with a fraction of it being ionised to the double-charged state. (Author)

  7. Atmospheric pressure plasma surface modification of carbon fibres

    DEFF Research Database (Denmark)

    Kusano, Yukihiro; Løgstrup Andersen, Tom; Michelsen, Poul

    2008-01-01

    Carbon fibres are continuously treated with dielectric barrier discharge plasma at atmospheric pressure in various gas conditions for adhesion improvement in mind. An x-ray photoelectron spectroscopic analysis indicated that oxygen is effectively introduced onto the carbon fibre surfaces by He, He...

  8. Review of some research work on surface modification and polymerizations by non-equilibrium plasma in Turkey

    International Nuclear Information System (INIS)

    Akovali, Guneri

    2004-01-01

    Non equilibrium plasma studies in Turkey can be considered as organized on two different lines: surface modification studies and plasma polymerization studies. Plasma surface modification studies: In different laboratories in Turkey the modification of materials' surfaces by plasma covers a wide spectra, for example: fibers (Carbon (CF) and polyacrylonitrile (PAN)), fabrics (PET/Cotton and PET/PA), biomaterials-food oriented (PU), denture Acrylic matrix, plasmochemical modification of a (PE and PP) film surface by several selected silicon and tin containing monomers, polymer blends and composites, recycled rubber and epoxy systems, etc. Plasma polymerization studies: This topic is accomplished by a great number of projects, for instance: plasma initiation polymerization and copolymerization of Styrene and MMA, Plasma-initiated polymerizations of Acrylamide (AA), kinetics of polymer deposition of several selected saturated hydrocarbons, silanization treatments by hexamethyldisilazane (HDMS), Plasma initiated polymerization (PIP) of allyl alcohol and 1-propano, (PSP) and (PIP) studies related to activated charcoal are done to explore their applications in haemoperfusion, an amperometric alcohol single-layer electrode is prepared by (EDA) plasma polymerization, preparation of mass sensitive immuno sensors and single layer multi enzyme electrodes by plasma polymerisation technique, etc

  9. Evaluation of mechanism of cold atmospheric pressure plasma assisted polymerization of acrylic acid on low density polyethylene (LDPE) film surfaces: Influence of various gaseous plasma pretreatment

    Science.gov (United States)

    Ramkumar, M. C.; Pandiyaraj, K. Navaneetha; Arun Kumar, A.; Padmanabhan, P. V. A.; Uday Kumar, S.; Gopinath, P.; Bendavid, A.; Cools, P.; De Geyter, N.; Morent, R.; Deshmukh, R. R.

    2018-05-01

    Owing to its exceptional physiochemical properties, low density poly ethylene (LDPE) has wide range of tissue engineering applications. Conversely, its inadequate surface properties make LDPE an ineffectual candidate for cell compatible applications. Consequently, plasma-assisted polymerization with a selected precursor is a good choice for enhancing its biocompatibility. The present investigation studies the efficiency of plasma polymerization of acrylic acid (AAC) on various gaseous plasma pretreated LDPE films by cold atmospheric pressure plasma, to enhance its cytocompatibility. The change in chemical composition and surface topography of various gaseous plasma pretreated and acrylic deposited LDPE films has been assessed by X-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM). The changes in hydrophilic nature of surface modified LDPE films were studied by contact angle (CA) analysis. Cytocompatibility of the AAC/LDPE films was also studied in vitro, using RIN-5F cells. The results acquired by the XPS and AFM analysis clearly proved that cold atmospheric pressure (CAP) plasma assisted polymerization of AAC enhances various surface properties including carboxylic acid functional group density and increased surface roughness on various gaseous plasma treated AAC/LDPE film surfaces. Moreover, contact angle analysis clearly showed that the plasma polymerized samples were hydrophilic in nature. In vitro cytocompatibility analysis undoubtedly validates that the AAC polymerized various plasma pretreated LDPE films surfaces stimulate cell distribution and proliferation compared to pristine LDPE films. Similarly, cytotoxicity analysis indicates that the AAC deposited various gaseous plasma pretreated LDPE film can be considered as non-toxic as well as stimulating cell viability significantly. The cytocompatible properties of AAC polymerized Ar + O2 plasma pretreated LDPE films were found to be more pronounced compared to the other plasma pretreated

  10. Overview on the Surface Functionalization Mechanism and Determination of Surface Functional Groups of Plasma Treated Carbon Nanotubes.

    Science.gov (United States)

    Saka, Cafer

    2018-01-02

    The use of carbon materials for many applications is due to the unique diversity of structures and properties ranging from chemical bonds between the carbon atoms of the materials to nanostructures, crystallite alignment, and microstructures. Carbon nanotubes and other nanoscale carbonaceous materials draw much attention due to their physical and chemical properties, such as high strength, high resistance to corrosion, electrical and thermal conductivity, stability and a qualified adsorbent. Carbon-based nanomaterials, which have a relatively large specific area and layered structure, can be used as an adsorbent for efficient removal of organic and inorganic contaminants. However, one of the biggest obstacles to the development of carbon-based nanomaterials adsorbents is insolubility and the lack of functional groups on the surface. There are several approaches to introduce functional groups on carbon nanotubes. One of these approaches, plasma applications, now has an important place in the creation of surface functional groups as a flexible, fast, and environmentally friendly method. This review focuses on recent information concerning the surface functionalization and modification of plasma treated carbon nanotube. This review considers the surface properties, advantages, and disadvantages of plasma-applied carbon nanotubes. It also examines the reaction mechanisms involved in the functional groups on the surface.

  11. Tritium loading in ITER plasma-facing surfaces and its release under accident conditions

    International Nuclear Information System (INIS)

    Longhurst, G.R.; Anderl, R.A.; Pawelko, R.J.

    1996-01-01

    Plasma-facing surfaces of the International Thermonuclear Experimental Reactor (ITER) will take up tritium from the plasma. These surfaces will probably consist of matures of Be, C, and possibly W together with other impurities. Recent experimental results have suggested mechanisms, not previously considered in analyses, by which tritium and other hydrogen isotopes are retained in Be. This warrants revised modeling and estimation of the amount of tritium that will be deposited in ITER beryllium plasma-facing surfaces and the rates at which it can be released under postulated accident scenarios. In this paper we describe improvements in modeling and experiments planned at the Idaho National Engineering Laboratory (INEL) to investigate the tritium uptake and thermal release behavior for mixed plasma- facing materials. TMAP4 calculations were made using recent data to estimate first-wall tritium inventories in ITER. 16 refs., 1 fig

  12. Plasma surface interactions at the JET X-point tiles

    International Nuclear Information System (INIS)

    Martinelli, A.P.; Behrisch, R.; Coad, J.P.; Kock, L. de

    1989-01-01

    Operation with a magnetic divertor, which leads to a zero poloidal field inside the volume of the discharge vessel (the X-point) has led to substantial improvements in confinement time in JET. In this mode the diverted plasma is conducted to a large number of graphite tiles (X-point tiles) near the top of the vessel. The power handling capability of these tiles limits the maximum additional heating power to the discharge. The study of the surface modifications of the X-point tiles of JET is therefore of interest both to correlate the magnetic configuration and plasma particle and energy fluxes with the surface modifications, and also to get information about the erosion and deposition at these wall areas. (author) 5 refs., 4 figs

  13. Optimization of Phospholipase A1 Immobilization on Plasma Surface Modified Chitosan Nanofibrous Mat

    Directory of Open Access Journals (Sweden)

    Zahra Beig Mohammadi

    2016-01-01

    Full Text Available Phospholipase A1 is known as an effective catalyst for hydrolysis of various phospholipids in enzymatic vegetable oil degumming. Immobilization is one of the most efficient strategies to improve its activity, recovery and functional properties. In this study, chitosan-co-polyethylene oxide (90:10 nanofibrous mat was successfully fabricated and modified with atmospheric plasma at different times (2, 6 and 10 min to interact with enzyme molecules. Scanning electron microscopy images revealed that the membranes retained uniform nanofibrous and open porous structures before and after the treatment. PLA1 was successfully immobilized onto the membrane surfaces via covalent bonds with the functional groups of chitosan nanofibrous mat. Response surface methodology was used to optimize the immobilization conditions for reaching the maximum immobilization efficiency. Enzyme concentration, pH, and immobilization time were found to be significant key factors. Under optimum conditions (5.03 h, pH 5.63, and enzyme dosage 654.36 UI, the atmospheric plasma surface modified chitosan nanofibers reached the highest immobilization efficiency (78.50%. Fourier transform infrared spectroscopy of the control and plasma surface-modified chitosan nanofibers revealed the functional groups of nanofibers and their reaction with the enzyme. The results indicated that surface modification by atmospheric plasma induced an increase in PLA1 loading on the membrane surfaces.

  14. Internal oscillating current-sustained RF plasmas: Parameters, stability, and potential for surface engineering

    DEFF Research Database (Denmark)

    Ostrikov, K.; Tsakadze, E.L.; Tsakadze, Z.L.

    2005-01-01

    . Moreover, under certain conditions, the plasma becomes unstable due to spontaneous transitions between low-density (electrostatic, E) and high-density (electromagnetic, H) operating modes. Excellent uniformity of high-density plasmas makes the plasma reactor promising for various plasma processing...... applications and surface engineering. (c) 2005 Elsevier B.V. All rights reserved....

  15. Studies on plasma-surface interaction in the stellarator W7-AS

    International Nuclear Information System (INIS)

    Hildebrandt, D.; Pech, P.; Reiner, H.D.; Schneider, W.; Wolff, H.; Brakel, R.; Grigull, P.; Hofmann, J.; Roth, J.

    1995-01-01

    The analysis of long term collector samples has given information on wall erosion by ion sputtering in W7-AS. There is strong indication that this erosion occurred during high ι-discharges which are separatrix-dominated by 5/n island chains. Langmuir and calorimeter probe measurements have demonstrated that in this case particle and heat fluxes leave the plasma locally with high densities. Erosion of limiter surfaces measured post-mortem can be satisfactorily correlated with results from measurements with flush mounted limiter probes. Measurements with a moveable collector probe have shown that the plasma impurity contamination by limiter material is higher after wall boronization in limiter-dominated discharges. This is explained by less contamination of the limiter surface after removal of the boronization layer from the limiter surface. On the basis of a simple 1D-impurity transport model a comparison of Langmuir and collector probe measurements with spectroscopic measurements in the core plasma was made, supporting this hypothesis. ((orig.))

  16. Frequency Upconversion and Parametric Surface Instabilities in Microwave Plasma Interactions.

    Science.gov (United States)

    Rappaport, Harold Lee

    In this thesis the interaction of radiation with plasmas whose density profiles are nearly step functions of space and/or time are studied. The wavelengths of radiation discussed are large compared with plasma density gradient scale lengths. The frequency spectra are evaluated and the energy balance investigated for the transmitted and reflected transient electromagnetic waves that are generated when a monochromatic source drives a finite width plasma in which a temporal step increase in density occurs. Transmission resonances associated with the abrupt boundaries manifest themselves as previously unreported multiple frequency peaks in the transmitted electromagnetic spectrum. A tunneling effect is described in which a burst of energy is transmitted from the plasma immediately following a temporal density transition. Stability of an abruptly bounded plasma, one for which the incident radiation wavelength is large compared with the plasma density gradient scale length, is investigated for both s and p polarized radiation types. For s-polarized radiation a new formalism is introduced in which pump induced perturbations are expressed as an explicit superposition of linear and non-linear plasma half-space modes. Results for a particular regime and a summary of relevant literature is presented. We conclude that when s-polarized radiation acts alone on an abrupt diffusely bounded underdense plasma stimulated excitation of electron surface modes is suppressed. For p-polarized radiation the recently proposed Lagrangian Frame Two-Plasmon Decay mode (LFTPD) ^dag is investigated in the regime in which the instability is not resonantly coupled to surface waves propagating along the boundary region. In this case, spatially dependent growth rate profiles and spatially dependent transit layer magnetic fields are reported. The regime is of interest because we have found that when the perturbation wavenumber parallel to the boundary is less than the pump frequency divided by twice

  17. Surface wettability and energy effects on the biological performance of poly-3-hydroxybutyrate films treated with RF plasma

    Energy Technology Data Exchange (ETDEWEB)

    Syromotina, D.S. [Department of Experimental Physics, National Research Tomsk Polytechnic University, 634050 Tomsk (Russian Federation); Surmenev, R.A., E-mail: rsurmenev@gmail.com [Department of Experimental Physics, National Research Tomsk Polytechnic University, 634050 Tomsk (Russian Federation); Fraunhofer Institute for Interfacial Engineering and Biotechnology IGB, 70569 Stuttgart (Germany); Surmeneva, M.A. [Department of Experimental Physics, National Research Tomsk Polytechnic University, 634050 Tomsk (Russian Federation); Boyandin, A.N.; Nikolaeva, E.D. [Institute of Biophysics of Siberian Branch of Russian Academy of Sciences, 50/50 Akademgorodok, Krasnoyarsk 660036 (Russian Federation); School of Fundamental Biology and Biotechnology, Siberian Federal University, 79 Svobodny pr., 660041 Krasnoyarsk (Russian Federation); Prymak, O.; Epple, M. [Inorganic Chemistry and Center for Nanointegration Duisburg-Essen (CeNIDE), University of Duisburg-Essen, 45117 Essen (Germany); Ulbricht, M. [Technical Chemistry II and Center for Nanointegration Duisburg-Essen (CeNIDE), University of Duisburg-Essen, 45141 Essen (Germany); Oehr, C. [Fraunhofer Institute for Interfacial Engineering and Biotechnology IGB, 70569 Stuttgart (Germany); Volova, T.G. [Institute of Biophysics of Siberian Branch of Russian Academy of Sciences, 50/50 Akademgorodok, Krasnoyarsk 660036 (Russian Federation); School of Fundamental Biology and Biotechnology, Siberian Federal University, 79 Svobodny pr., 660041 Krasnoyarsk (Russian Federation)

    2016-05-01

    The surface properties of poly-3-hydroxybutyrate (P3HB) membranes were modified using oxygen and an ammonia radio-frequency (RF, 13.56 MHz) plasma. The plasma treatment procedures used in the study only affected the surface properties, including surface topography, without inducing any significant changes in the crystalline structure of the polymer, with the exception being a power level of 250 W. The wettability of the modified P3HB surfaces was significantly increased after the plasma treatment, irrespective of the treatment procedure used. It was revealed that both surface chemistry and surface roughness changes caused by the plasma treatment affected surface wettability. A treatment-induced surface aging effect was observed and resulted in an increase in the water contact angle and a decrease in the surface free energy. However, the difference in the water contact angle between the polymers that had been treated for 4 weeks and the untreated polymer surfaces was still significant. A dependence between cell adhesion and proliferation and the polar component of the surface energy was revealed. The increase in the polar component after the ammonia plasma modification significantly increased cell adhesion and proliferation on biodegradable polymer surfaces compared to the untreated P3HB and the P3HB modified using an oxygen plasma. - Highlights: • Plasma treatment affected the topography of poly(3-hydroxybutyrate) (P3HB). • Plasma treatment resulted in improvement of the surface wettability. • No alteration of the bulk properties of the polymers was observed. • The ammonia plasma treatment at 150 W improved the cell adhesion and proliferation.

  18. Atmospheric plasma surface modifications of electrospun PCL/chitosan/PCL hybrid scaffolds by nozzle type plasma jets for usage of cell cultivation

    Energy Technology Data Exchange (ETDEWEB)

    Surucu, Seda [Department of Metallurgical and Materials Engineering, Atilim University, Incek, Golbasi, 06836, Ankara (Turkey); Masur, Kai [Leibniz Institute for Plasma Science and Technology (Germany); Turkoglu Sasmazel, Hilal, E-mail: hilal.sasmazel@atilim.edu.tr [Department of Metallurgical and Materials Engineering, Atilim University, Incek, Golbasi, 06836, Ankara (Turkey); Von Woedtke, Thomas; Weltmann, Klaus Dieter [Leibniz Institute for Plasma Science and Technology (Germany)

    2016-11-01

    Highlights: • Electrospun PCL/chitosan/PCL scaffolds introduced to the literature by us were modified with atmospheric pressure plasma jets. • Plasma was fed into the system with different gas flow rates, time and distances. • Topographical and functional changes were examined by various characterization methods. • Optimum plasma treatment parameters for enhanced topography and functionality were determined. • Electrospun hybrid plasma surface modified samples showed the increased biocompatibility performance of L929 fibroblast cells. - Abstract: This paper reports Ar gas, Ar + O{sub 2}, Ar + O{sub 2} + N{sub 2} gas mixtures and dry air plasma modifications by atmospheric pressure argon driven kINPen and air driven Diener (PlasmaBeam) plasma jets to alter surface properties of three dimensional (3D), electrospun PCL/Chitosan/PCL layer by layer hybrid scaffolds to improve human fibroblast (MRC5) cell attachment and growth. The characterizations of the samples were done by contact angle (CA) measurements, scanning electron microscopy (SEM), X-Ray Photoelectron spectroscopy (XPS) analysis. The results showed that the plasma modification carried out under dry air and Ar + O{sub 2} + N{sub 2} gas mixtures were altered effectively the nanotopography and the functionality of the material surfaces. It was found that the samples treated with Ar + O{sub 2} + N{sub 2} gas mixtures for 1 min and dry air for 9 min have better hydrophilicity 78.9° ± 1.0 and 75.6° ± 0.1, respectively compared to the untreated samples (126.5°). Biocompatibility performance of the scaffolds was determined with alamarBlue (aB) assay and MTT assay methods, Giemsa staining, fluorescence microscope, confocal laser scanning microscope (CLSM) and scanning electron microscope (SEM) analyses. The results showed that plasma treated samples increased the hydrophilicity and oxygen functionality and topography of the surfaces significantly, thus affecting the cell viability and proliferation on

  19. Atmospheric plasma surface modifications of electrospun PCL/chitosan/PCL hybrid scaffolds by nozzle type plasma jets for usage of cell cultivation

    International Nuclear Information System (INIS)

    Surucu, Seda; Masur, Kai; Turkoglu Sasmazel, Hilal; Von Woedtke, Thomas; Weltmann, Klaus Dieter

    2016-01-01

    Highlights: • Electrospun PCL/chitosan/PCL scaffolds introduced to the literature by us were modified with atmospheric pressure plasma jets. • Plasma was fed into the system with different gas flow rates, time and distances. • Topographical and functional changes were examined by various characterization methods. • Optimum plasma treatment parameters for enhanced topography and functionality were determined. • Electrospun hybrid plasma surface modified samples showed the increased biocompatibility performance of L929 fibroblast cells. - Abstract: This paper reports Ar gas, Ar + O_2, Ar + O_2 + N_2 gas mixtures and dry air plasma modifications by atmospheric pressure argon driven kINPen and air driven Diener (PlasmaBeam) plasma jets to alter surface properties of three dimensional (3D), electrospun PCL/Chitosan/PCL layer by layer hybrid scaffolds to improve human fibroblast (MRC5) cell attachment and growth. The characterizations of the samples were done by contact angle (CA) measurements, scanning electron microscopy (SEM), X-Ray Photoelectron spectroscopy (XPS) analysis. The results showed that the plasma modification carried out under dry air and Ar + O_2 + N_2 gas mixtures were altered effectively the nanotopography and the functionality of the material surfaces. It was found that the samples treated with Ar + O_2 + N_2 gas mixtures for 1 min and dry air for 9 min have better hydrophilicity 78.9° ± 1.0 and 75.6° ± 0.1, respectively compared to the untreated samples (126.5°). Biocompatibility performance of the scaffolds was determined with alamarBlue (aB) assay and MTT assay methods, Giemsa staining, fluorescence microscope, confocal laser scanning microscope (CLSM) and scanning electron microscope (SEM) analyses. The results showed that plasma treated samples increased the hydrophilicity and oxygen functionality and topography of the surfaces significantly, thus affecting the cell viability and proliferation on/within scaffolds.

  20. The free radical process for the polymer surface treated by radio frequency plasma

    International Nuclear Information System (INIS)

    Ma Yuguang; Yang Meiling; Shen Jiacong; Zheng Yingguang

    1992-01-01

    The formation and translation of the free radicals on the polymer surface treated by plasmas were studied and observed by ESR measurement. The results show that C-C bond split was main reaction in the process of the polymer irradiated by plasma, by which a stable alkyl free radical was formed. When alkyl free radical contacted with air, they translate into peroxide radical instantaneously. The peroxide radical was not as stable as radical in vacuum, they can react each other to form some polar-groups on polymer surface. The interaction between the peroxide free radical and polymer chain was correlative not only to the structure of polymer but also to the molecular motion of the polymer chain. The nature of plasma treating polymer surface was that the peroxide radicals were led onto polymer surface

  1. Plasma decontamination of uranium oxide from stainless steel surfaces

    International Nuclear Information System (INIS)

    Veilleux, J.M.; El-Genk, M.S.; Chamberlin, E.P.

    1997-01-01

    The U.S. Department of Energy (DOE) is expected to have 845000 m 3 of transuranic (TRU) waste by the year 2000 that has accumulated during the development and assembly of the nation's nuclear stockpile. The TRU disposal costs alone range up to $28000/m 3 , which could be reduced to $1800/m 3 or less by treating and converting the material to low-level waste. Plasma-based processes have been shown to remove plutonium and uranium surface contaminants from metallic components and could be used to treat TRU with significant cost avoidance, estimated at over $1.0 billion. Martz and Hess conducted the initial work of plutonium etching in low-power radio-frequency (rf) plasma with etch rates ranging from 0.007 to 0.025 kg/m 2 ·h. Veilleux et al. reported that plasma decontamination of uranium from the interior of aluminum objects results in etch rates an order of magnitude greater. The current work reports on removal rates of uranium from stainless steel surfaces and includes estimates of the etch rates and characteristic times for removal

  2. Dispersion and energy conservation relations of surface waves in semi-infinite plasma

    International Nuclear Information System (INIS)

    Atanassov, V.

    1981-01-01

    The hydrodynamic theory of surface wave propagation in semi-infinite homogeneous isotropic plasma is considered. Explicit linear surface wave solutions are given for the electric and magnetic fields, charge and current densities. These solutions are used to obtain the well-known dispersion relations and, together with the general energy conservation equation, to find appropriate definitions for the energy and the energy flow densities of surface waves. These densities are associated with the dispersion relation and the group velocity by formulae similar to those for bulk waves in infinite plasmas. Both cases of high-frequency (HF) and low-frequency (LF) surface waves are considered. (author)

  3. Study of tungsten surface interaction with plasma streams at DPF-1000U

    Directory of Open Access Journals (Sweden)

    Ladygina Marina S.

    2015-06-01

    Full Text Available In this note experimental studies of tungsten (W samples irradiated by intense plasma-ion streams are reported. Measurements were performed using the modified plasma focus device DPF-1000U equipped with an axial gas-puffing system. The main diagnostic tool was a Mechelle®900 optical spectrometer. The electron density of a freely propagating plasma stream (i.e., the plasma stream observed without any target inside the vacuum chamber was estimated on the basis of the half-width of the Dβ spectral line, taking into account the linear Stark effect. For a freely propagating plasma stream the maximum electron density amounted to about 1.3 × 1017 cm−3 and was reached during the maximum plasma compression. The plasma electron density depends on the initial conditions of the experiments. It was thus important to determine first the plasma flow characteristics before attempting any target irradiation. These data were needed for comparison with plasma characteristics after an irradiation of the investigated target. In fact, spectroscopic measurements performed during interactions of plasma streams with the investigated W samples showed many WI and WII spectral lines. The surface erosion was determined from mass losses of the irradiated samples. Changes on the surfaces of the irradiated samples were also investigated with an optical microscope and some sputtering and melting zones were observed.

  4. Improving wettability of photo-resistive film surface with plasma surface modification for coplanar copper pillar plating of IC substrates

    Science.gov (United States)

    Xiang, Jing; Wang, Chong; Chen, Yuanming; Wang, Shouxu; Hong, Yan; Zhang, Huaiwu; Gong, Lijun; He, Wei

    2017-07-01

    The wettability of the photo-resistive film (PF) surfaces undergoing different pretreatments including the O2sbnd CF4 low-pressure plasma (OCLP) and air plasma (AP), is investigated by water contact angle measurement instrument (WCAMI) before the bottom-up copper pillar plating. Chemical groups analysis performed by attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR) and X-ray photoelectron spectra (XPS) shows that after the OCLP and wash treatment, the wettability of PF surface is attenuated, because embedded fluorine and decreased oxygen content both enhance hydrophobicity. Compared with OCLP treatment, the PF surface treatment by non-toxic air plasma displays features of Csbnd O, Osbnd Cdbnd O, Cdbnd O and sbnd NO2 by AIR-FTIR and XPS, and a promoted wettability by WCAM. Under the identical electroplating condition, the surface with a better wettability allows electrolyte to spontaneously soak all the places of vias, resulting in improved copper pillar uniformity. Statistical analysis of metallographic data shows that more coplanar and flat copper pillars are achieved with the PF treatment of air plasma. Such modified copper-pillar-plating technology meets the requirement of accurate impedance, the high density interconnection for IC substrates.

  5. Surface modification of chitosan/PEO nanofibers by air dielectric barrier discharge plasma for acetylcholinesterase immobilization

    Energy Technology Data Exchange (ETDEWEB)

    Dorraki, Naghme, E-mail: n.dorraki@web.sbu.ac.ir [Laser and Plasma Research Institute, Shahid Beheshti University, Evin 1983963113, Tehran (Iran, Islamic Republic of); Safa, Nasrin Navab [Laser and Plasma Research Institute, Shahid Beheshti University, Evin 1983963113, Tehran (Iran, Islamic Republic of); Jahanfar, Mehdi [Protein Research Center, Shahid Beheshti University, Evin 1983963113, Tehran (Iran, Islamic Republic of); Ghomi, Hamid [Laser and Plasma Research Institute, Shahid Beheshti University, Evin 1983963113, Tehran (Iran, Islamic Republic of); Ranaei-Siadat, Seyed-Omid [Protein Research Center, Shahid Beheshti University, Evin 1983963113, Tehran (Iran, Islamic Republic of)

    2015-09-15

    Highlights: • We used an economical and effective method for surface modification. • Chitosan/PEO nanofibrous membranes were modified by air-DBD plasma. • The most NH{sub 3}{sup +} group was generated on the 6 min plasma modified membrane. • We immobilized acetylcholinesterase on the plasma modified and unmodified membranes. • More enzyme activity was detected on the modified membrane by plasma. - Abstract: There are different methods to modify polymer surfaces for biological applications. In this work we have introduced air-dielectric barrier discharge (DBD) plasma at atmospheric pressure as an economical and safe method for modifying the surface of electrospun chitosan/PEO (90/10) nanofibers for acetylcholinesterase (AChE) immobilization. According to the contact angle measurement results, the nanofibers become highly hydrophilic when they are exposed to the DBD plasma for 6 min in compared to unmodified membrane. Attenuated total reflectance-Fourier transform infrared spectroscopy (ATR-FTIR) results reveal hydroxyl, C=O and NH{sub 3}{sup +} polar groups increment after 6 min plasma treatment. Contact angle measurements and ATR-FTIR results are confirmed by X-ray photoelectron spectroscopy (XPS). AChE at pH 7.4 carries a negative charge and after immobilization on the surface of plasma-treated nanofibrous membrane attracts the NH{sub 3}{sup +} group and more enzyme activity is detected on the plasma-modified nanofibers for 6 min in compared to unmodified nanofibers. Atomic force microscopy (AFM) and scanning electron microscopy (SEM) are used for the surface topography and morphology characterization. The results have proved that air-DBD plasma is a suitable method for chitosan/PEO nanofibrous membrane modification as a biodegradable and functionalized substrate for enzyme immobilization.

  6. Work function modifications of graphite surface via oxygen plasma treatment

    Science.gov (United States)

    Duch, J.; Kubisiak, P.; Adolfsson, K. H.; Hakkarainen, M.; Golda-Cepa, M.; Kotarba, A.

    2017-10-01

    The surface modification of graphite by oxygen plasma was investigated experimentally (X-ray diffraction, nanoparticle tracking analysis, laser desorption ionization mass spectrometry, thermogravimetry, water contact angle) and by molecular modelling (Density Functional Theory). Generation of surface functional groups (mainly sbnd OHsurf) leads to substantial changes in electrodonor properties and wettability gauged by work function and water contact angle, respectively. The invoked modifications were analyzed in terms of Helmholtz model taking into account the theoretically determined surface dipole moment of graphite-OHsurf system (μ = 2.71 D) and experimentally measured work function increase (from 0.75 to 1.02 eV) to determine the sbnd OH surface coverage (from 0.70 to 1.03 × 1014 groups cm-2). Since the plasma treatment was confined to the surface, the high thermal stability of the graphite material was preserved as revealed by the thermogravimetric analysis. The obtained results provide a suitable quantitative background for tuning the key operating parameters of carbon electrodes: electronic properties, interaction with water and thermal stability.

  7. Electrical Characteristics of Carbon Nanotubes by Plasma and Microwave Surface Treatments

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Sangjin; Lee, Soonbo; Boo, Jinhyo [Sungkyunkwan Univ., Suwon (Korea, Republic of); Shrestha, Shankar Prasad [Tribhuvan Univ., Kathmandu (Nepal)

    2014-03-15

    The plasma and microwave surface treatments of carbon nanotubes that loaded on plastic substrates were carried out with expecting a change of carbon nanotube dispersion by increasing treatment time. The microwave treatment process was undergone by commercial microwave oven (800 W). The electrical property was measured by hall measurement and resistance was increased by increasing O{sub 2} flow rate of plasma, suggesting an improvement of carbon nanotube dispersion and a possibility of controlling the resistances of carbon nanotubes by plasma surface treatment. The resistance was increased in both polyethylene terephthalate and polyimide substrates by increasing O{sub 2} flow rate. Resistance changes only slightly with different O{sub 2} flow treatment in measure rho for all polyimide samples. Sheet resistance is lowest in polyimide substrate not due to high carbon nanotube loading but due to tendency to remain in elongated structure. O{sub 2} or N{sub 2} plasma treatments on both polyethylene terephthalate and polyimide substrates lead to increase in sheet resistance.

  8. Ertel's vorticity theorem and new flux surfaces in multi-fluid plasmas

    International Nuclear Information System (INIS)

    Hameiri, Eliezer

    2013-01-01

    Dedicated to Professor Harold Weitzner on the occasion of his retirement“Say to wisdom ‘you are my sister,’ and to insight ‘you are my relative.’”—Proverbs 7:4Based on an extension to plasmas of Ertel's classical vorticity theorem in fluid dynamics, it is shown that for each species in a multi-fluid plasma there can be constructed a set of nested surfaces that have this species' fluid particles confined within them. Variational formulations for the plasma evolution and its equilibrium states are developed, based on the new surfaces and all of the dynamical conservation laws associated with them. It is shown that in the general equilibrium case, the energy principle lacks a minimum and cannot be used as a stability criterion. A limit of the variational integral yields the two-fluid Hall-magnetohydrodynamic (MHD) model. A further special limit yields MHD equilibria and can be used to approximate the equilibrium state of a Hall-MHD plasma in a perturbative way

  9. Electrical Characteristics of Carbon Nanotubes by Plasma and Microwave Surface Treatments

    International Nuclear Information System (INIS)

    Cho, Sangjin; Lee, Soonbo; Boo, Jinhyo; Shrestha, Shankar Prasad

    2014-01-01

    The plasma and microwave surface treatments of carbon nanotubes that loaded on plastic substrates were carried out with expecting a change of carbon nanotube dispersion by increasing treatment time. The microwave treatment process was undergone by commercial microwave oven (800 W). The electrical property was measured by hall measurement and resistance was increased by increasing O 2 flow rate of plasma, suggesting an improvement of carbon nanotube dispersion and a possibility of controlling the resistances of carbon nanotubes by plasma surface treatment. The resistance was increased in both polyethylene terephthalate and polyimide substrates by increasing O 2 flow rate. Resistance changes only slightly with different O 2 flow treatment in measure rho for all polyimide samples. Sheet resistance is lowest in polyimide substrate not due to high carbon nanotube loading but due to tendency to remain in elongated structure. O 2 or N 2 plasma treatments on both polyethylene terephthalate and polyimide substrates lead to increase in sheet resistance

  10. Surface roughening of ground fused silica processed by atmospheric inductively coupled plasma

    International Nuclear Information System (INIS)

    Xin, Qiang; Li, Na; Wang, Jun; Wang, Bo; Li, Guo; Ding, Fei; Jin, Huiliang

    2015-01-01

    Highlights: • The morphology evolution of ground fused silica, processed by atmospheric plasma, was investigated experimentally. • The roughness development results from opening and coalescing of the plasma-etched cracks. • The shapes of grain-like etched pits are the results of the adjacent cracks coalescing with one another. • The descent of the pits density is due to some smaller etched pits that are swallowed up by larger pits. • Leading role in surface smoothing is laterally etching away the side walls of the intersecting pits. - Abstract: Subsurface damage (SSD) is a defect that is inevitably induced during mechanical processes, such as grinding and polishing. This defect dramatically reduces the mechanical strength and the laser damage thresholds of optical elements. Compared with traditional mechanical machining, atmospheric pressure plasma processing (APPP) is a relatively novel technology that induces almost no SSD during the processing of silica-based optical materials. In this paper, a form of APPP, inductively coupled plasma (ICP), is used to process fused silica substrates with fluorocarbon precursor under atmospheric pressure. The surface morphology evolution of ICP-processed substrates was observed and characterized by confocal laser scanning microscope (CLSM), field emission scanning electron microscope (SEM), and atomic force microscopy (AFM). The results show that the roughness evolves with the etching depth, and the roughness evolution is a single-peaked curve. This curve results from the opening and the coalescing of surface cracks and fractures. The coalescence procedure of these microstructures was simulated with two common etched pits on a polished fused silica surface. Understanding the roughness evolution of plasma-processed surface might be helpful in optimizing the optical fabrication chain that contains APPP

  11. Surface roughening of ground fused silica processed by atmospheric inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Xin, Qiang; Li, Na; Wang, Jun; Wang, Bo, E-mail: bradywang@hit.edu.cn; Li, Guo; Ding, Fei; Jin, Huiliang

    2015-06-30

    Highlights: • The morphology evolution of ground fused silica, processed by atmospheric plasma, was investigated experimentally. • The roughness development results from opening and coalescing of the plasma-etched cracks. • The shapes of grain-like etched pits are the results of the adjacent cracks coalescing with one another. • The descent of the pits density is due to some smaller etched pits that are swallowed up by larger pits. • Leading role in surface smoothing is laterally etching away the side walls of the intersecting pits. - Abstract: Subsurface damage (SSD) is a defect that is inevitably induced during mechanical processes, such as grinding and polishing. This defect dramatically reduces the mechanical strength and the laser damage thresholds of optical elements. Compared with traditional mechanical machining, atmospheric pressure plasma processing (APPP) is a relatively novel technology that induces almost no SSD during the processing of silica-based optical materials. In this paper, a form of APPP, inductively coupled plasma (ICP), is used to process fused silica substrates with fluorocarbon precursor under atmospheric pressure. The surface morphology evolution of ICP-processed substrates was observed and characterized by confocal laser scanning microscope (CLSM), field emission scanning electron microscope (SEM), and atomic force microscopy (AFM). The results show that the roughness evolves with the etching depth, and the roughness evolution is a single-peaked curve. This curve results from the opening and the coalescing of surface cracks and fractures. The coalescence procedure of these microstructures was simulated with two common etched pits on a polished fused silica surface. Understanding the roughness evolution of plasma-processed surface might be helpful in optimizing the optical fabrication chain that contains APPP.

  12. The magnetic field application for the gas discharge plasma control in processes of surface coating and modification

    International Nuclear Information System (INIS)

    Asadullin, T Ya; Galeev, I G

    2017-01-01

    In this paper the method of magnetic field application to control the gas discharge plasma effect on the various surfaces in processes of surface coating and modification is considered. The magnetic field directed perpendicular to the direction of electric current in the gas discharge plasma channel is capable to reject this plasma channel due to action of Lorentz force on the moving electrically charged particles [1,2]. The three-dimensional spatial structure of magnetic field is created by system of necessary quantity of the magnets located perpendicular to the direction of course of electric current in the gas-discharge plasma channel. The formation of necessary spatial distribution of magnetic field makes possible to obtain a required distribution of plasma parameters near the processed surfaces. This way of the plasma channel parameters spatial distribution management is the most suitable for application in processes of plasma impact on a surface of irregular shape and in cases when the selective impact of plasma on a part of a surface of a product is required. It is necessary to apply automated computer management of the process parameters [3] to the most effective plasma impact. (paper)

  13. Measurement of tritium with plastic scintillator surface improvement with plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Yoshihara, Y.; Furuta, E. [Ochanomizu University, Bunkyo-ku, Tokyo (Japan); Ohyama, R.I.; Yokota, S. [Tokai University, Hiratsuka-shi, Kanagawa (Japan); Kato, Y.; Yoshimura, T.; Ogiwara, K. [Hitachi Aloka Medical, Mure, Mitaka-shi, Tokyo (Japan)

    2015-03-15

    Tritium is usually measured by using a liquid scintillation counter. However, liquid scintillator used for measurement will become radioactive waste fluid. To solve this issue, we have developed a method of measuring tritium samples with plasma-treated plastic scintillator (PS)sheets (Plasma method). The radioactive sample is held between 2 PS sheets and the whole is enclosed in a a low-potassium glass vial. With the Plasma method of 2-min plasma treatment, we have obtained measurement efficiency of 48 ± 2 % for 2 min measurement of tritium except for tritiated water. The plasma treatment makes the PS surface rough and hydrophilic which contributes to improve the contact between tritium and PS. On the other hand, it needed almost 6 hours to obtain constant measurement efficiency. The reason was that the dry-up handling in the vial needed longer time to vaporize H{sub 2}O molecules than in the air. We tried putting silica gel beads into vials to remove H{sub 2}O molecules from PS sheet surface quickly. The silica gel beads worked well and we got constant measurement efficiency within 1-3 hours. Also, we tried using other kinds of PS treated with plasma to obtain higher measurement efficiencies of tritium samples.

  14. Correlation of H- production and the work function of a surface in a hydrogen plasma

    International Nuclear Information System (INIS)

    Wada, M.

    1983-01-01

    Surface-plasma negative hydrogen ion sources are being developed as possible parts for future netural beam systems. In these ion sources, negative hydrogen ions (H - ) are produced at low work function metal surfaces immersed in hydrogen plasmas. To investigate the correlation between the work function and the H-production at the surface with a condition similar to the one in the actual plasma ion source, these two parameters were simultaneously measured in the hydrogen plasma environment. The photoelectron emission currents from Mo and Cu surfaces in a cesiated hydrogen discharge were measured in the photon energy range from 1.45 to 4.14 eV, to determine the work function based on Fowler's theory. A small magnetic line cusp plasma container was specially designed to minimize the plasma noise and to realize the efficient collection of incident light onto the target. The photelectron current was detected phase sensitively and could be measured with reasonable accuracy up to about 5 x 10 11 cm -3 of the plasma electron density. As Cs density was increased in the hydrogen discharge, the work function decreased until it reached a minimum value. This value of the lowest work function was approximately 1.4 eV for both Mo and Cu surfaces, and the detected total H - current was a maximum at this condition

  15. Surface modification of electrospun fibre meshes by oxygen plasma for bone regeneration

    International Nuclear Information System (INIS)

    Nandakumar, A; Tahmasebi Birgani, Z; Santos, D; Mentink, A; Auffermann, N; Moroni, L; Van Blitterswijk, C; Habibovic, P; Van der Werf, K; Bennink, M

    2013-01-01

    Plasma treatment is a method to modify the physicochemical properties of biomaterials, which consequently may affect interactions with cells. Based on the rationale that physical cues on the surface of culture substrates and implants, such as surface roughness, have proven to alter cell behaviour, we used electrospinning to fabricate fibrous three-dimensional scaffolds made of a poly (ethylene oxide terephthalate)/poly (butylene terephthalate) copolymer to mimic the physical microenvironment of extracellular matrix and applied radio-frequency oxygen plasma treatment to create nanoscale roughness. Scanning electron microscopy (SEM) analysis revealed a fibre diameter of 5.49 ± 0.96 µm for as-spun meshes. Atomic force microscopy (AFM) measurements determined an exponential increase of surface roughness with plasma treatment time. An increase in hydrophilicity after plasma treatment was observed, which was associated with higher oxygen content in plasma treated scaffolds compared to untreated ones. A more pronounced adsorption of bovine serum albumin occurred on scaffolds treated with plasma for 15 and 30 min compared to untreated fibres. Clinically relevant human mesenchymal stromal cells (hMSCs) were cultured on untreated, 15 and 30 min treated scaffolds. SEM analysis confirmed cell attachment and a pronounced spindle-like morphology on all scaffolds. No significant differences were observed between different scaffolds regarding the amount of DNA, metabolic activity and alkaline phosphatase (ALP) activity after 7 days of culture. The amount of ALP positive cells increased between 7 and 21 days of culture on both untreated and 30 min treated meshes. In addition, ALP staining of cells on plasma treated meshes appeared more pronounced than on untreated meshes after 21 days of culture. Quantitative polymerase chain reaction showed significant upregulation of bone sialoprotein and osteonectin expression on oxygen plasma treated fibres compared to untreated fibres in

  16. Experimental studies on the surface confined quiescent plasma at INPE

    International Nuclear Information System (INIS)

    Ferreira, J.L.; Ferreira, J.G.; Sandonato, G.M.; Alves, M.V.; Ludwig, G.O.; Montes, A.

    1988-01-01

    The quiescent plasma machines used in several experiments at the Associated Plasma Laboratory in INPE are presented. The research activities comprise particle simulation studies on ion acoustic double layers, and studies on the plasma production and loss in surface confined magnetic multidipole thermionic discharges. Recent results from these studies have shown a non-maxwellian plasma formed in most of the discharge conditions. The plasma leakage through the multidipole fields shows an anomalous diffusion process driven by ion acoustic turbulence in the magnetic sheath. The information derived from these studies are being used in the construction and characterization of ion sources for shallow ion implantation in semiconductors, in ion thruster for space propulsion and in the development of powerful ion sources for future use in neutral beam injection systems. (author) [pt

  17. Experimental studies on the surface confined quiescent plasma at INPE

    International Nuclear Information System (INIS)

    Ferreira, J.L.; Ferreira, J.G.; Sandonato, G.M.; Alves, M.V.; Ludwig, G.O.; Montes, A.

    1988-06-01

    Quiescent plasma machines are being used in several experiments at the Associated Plasma Laboratory in INPE. The research activities comprises particle simulation studies on ion acoustic double Layers, and studies on the plasma production and loss in surface confined magnetic multidipole thermionic discharges. Recent results from these studies have shown a non-maxwellian plasma formed in most of the discharge conditions. The plasma leakage through the multidipole fields shows an anomalous diffusion process driven by ion acoustic turbulence in the magnetic sheath. The information derived from these studies are being used in the construction and characterization of ion sources for shallow ion implantation in semiconductors, in ion thruster for space propulsion and in the development of powerful ion sources for future use in neutral beam injection systems. (author) [pt

  18. Endothelial cell behaviour on gas-plasma-treated PLA surfaces: the roles of surface chemistry and roughness.

    Science.gov (United States)

    Shah, Amita; Shah, Sarita; Mani, Gopinath; Wenke, Joseph; Agrawal, Mauli

    2011-04-01

    Glow-discharge gas-plasma (GP) treatment has been shown to induce surface modifications such that cell adhesion and growth are enhanced. However, it is not known which gas used in GP treatment is optimal for endothelial cell function. Polylactic acid (PLA) films treated oxygen, argon, or nitrogen GP were characterized using contact angles, scanning electron microscopy, atomic force microscopy, optical profilometry, and x-ray photoelectron spectroscopy. All three GP treatments decreased the carbon atomic concentration and surface roughness and increased the oxygen atomic concentration. Human umbilical vein endothelial cells were cultured on the PLA films for up to 7 days. Based on proliferation and live/dead assays, surface chemistry was shown to have the greatest effect on the attachment, proliferation, and viability of these cells, while roughness did not have a significant influence. Of the different gases, endothelial cell viability, attachment and proliferation were most significantly increased on PLA surfaces treated with oxygen and argon gas plasma. Copyright © 2010 John Wiley & Sons, Ltd.

  19. Elastic–plastic adhesive impacts of tungsten dust with metal surfaces in plasma environments

    Energy Technology Data Exchange (ETDEWEB)

    Ratynskaia, S., E-mail: svetlana.ratynskaia@ee.kth.se [KTH Royal Institute of Technology, Association EUROfusion-VR, Stockholm (Sweden); Tolias, P. [KTH Royal Institute of Technology, Association EUROfusion-VR, Stockholm (Sweden); Shalpegin, A. [Université de Lorraine, Institut Jean Lamour, Vandoeuvre-lès-Nancy (France); Vignitchouk, L. [KTH Royal Institute of Technology, Association EUROfusion-VR, Stockholm (Sweden); De Angeli, M. [Istituto di Fisica del Plasma – Consiglio Nazionale delle Ricerche, Milan (Italy); Bykov, I. [KTH Royal Institute of Technology, Association EUROfusion-VR, Stockholm (Sweden); Bystrov, K.; Bardin, S. [FOM Institute DIFFER, Dutch Institute For Fundamental Energy Research, Edisonbaan 14, 3439MN Nieuwegein (Netherlands); Brochard, F. [Université de Lorraine, Institut Jean Lamour, Vandoeuvre-lès-Nancy (France); Ripamonti, D. [Istituto per l’Energetica e le Interfasi – Consiglio Nazionale delle Ricerche, Milan (Italy); Harder, N. den; De Temmerman, G. [FOM Institute DIFFER, Dutch Institute For Fundamental Energy Research, Edisonbaan 14, 3439MN Nieuwegein (Netherlands)

    2015-08-15

    Dust-surface collisions impose size selectivity on the ability of dust grains to migrate in scrape-off layer and divertor plasmas and to adhere to plasma-facing components. Here, we report first experimental evidence of dust impact phenomena in plasma environments concerning low-speed collisions of tungsten dust with tungsten surfaces: re-bouncing, adhesion, sliding and rolling. The results comply with the predictions of the model of elastic-perfectly plastic adhesive spheres employed in the dust dynamics code MIGRAINe for sub- to several meters per second impacts of micrometer-range metal dust.

  20. Propagation of a TE surface mode in a relativistic electron beam–quantum plasma system

    International Nuclear Information System (INIS)

    Abdel Aziz, M.

    2012-01-01

    The dispersion properties of a transverse electric (TE) surface waves propagating along the interface between a magneto-quantum plasma–relativistic beam system and vacuum are studied by using the quantum hydrodynamic model. The general dispersion relations are derived and analyzed in some special cases of interest. Moreover, the effects of density gradients for the beam and plasma on the dispersion properties of surface waves are investigated. The kind of dispersion relations depends strongly on the ambient magnetic field B o via the gyro-frequency ω c , the quantum parameters, and the width of the plasma layer as well as the relativistic factor for the electron beam. It is found that the quantum effects play a crucial role to facilitate the propagation of TE surface waves. -- Highlights: ► Propagation of TE surface waves on bounded magneto-quantum plasma by relativistic beam is studied. ► The quantum plasma consists of transitional layer adjacent to uniform layer. ► Influence of quantum effects on the propagation of TE surface waves are taken into account. ► Effects of homogeneity and inhomogeneity for beam on TE surface waves are considered. ► It is found that quantum effects facilitate the propagation of TE surface modes.

  1. Aluminum metal surface cleaning and activation by atmospheric-pressure remote plasma

    Energy Technology Data Exchange (ETDEWEB)

    Muñoz, J., E-mail: jmespadero@uco.es; Bravo, J.A.; Calzada, M.D.

    2017-06-15

    Highlights: • Atmospheric-pressure postdischarges have been applied on aluminium surfaces. • The outer hydrocarbon layer is reduced by the action of the postdischarge. • The treatment promotes the appearance of hydrophilic OH radicals in the surface. • Effectivity for distances up to 5 cm allows for treating irregular surfaces. • Ageing in air due to the disappearance of OH radicals has been reported. - Abstract: The use of the remote plasma (postdischarge) of argon and argon-nitrogen microwave plasmas for cleaning and activating the surface of metallic commercial aluminum samples has been studied. The influence of the nitrogen content and the distance between the treated samples and the end of the discharge on the hydrophilicity and the surface energy has been analyzed by means of the sessile drop technique and the Owens-Wendt method. A significant increase in the hydrophilicity has been noted in the treated samples, together with an increase in the surface energy from values around 37 mJ/m{sup 2} to 77 mJ/m{sup 2}. Such increase weakly depends on the nitrogen content of the discharge, and the effectivity of the treatment extends to distances up to 5 cm from the end of the discharge, much longer than those reported in other plasma-based treatments. The analysis of the treated samples using X-ray photoelectron spectroscopy reveals that such increase in the surface energy takes place due to a reduction of the carbon content and an increase in the amount of OH radicals in the surface. These radicals tend to disappear within 24–48 h after the treatment when the samples are stored in contact with ambient air, resulting in the ageing of the treated surface and a partial retrieval of the hydrophobicity of the surface.

  2. Microwave and plasma-assisted modification of composite fiber surface topography

    Science.gov (United States)

    Paulauskas, Felix L [Knoxville, TN; White, Terry L [Knoxville, TN; Bigelow, Timothy S [Knoxville, TN

    2003-02-04

    The present invention introduces a novel method for producing an undulated surface on composite fibers using plasma technology and microwave radiation. The undulated surface improves the mechanical interlocking of the fibers to composite resins and enhances the mechanical strength and interfacial sheer strength of the composites in which they are introduced.

  3. Nearly Perfect Durable Superhydrophobic Surfaces Fabricated by a Simple One-Step Plasma Treatment.

    Science.gov (United States)

    Ryu, Jeongeun; Kim, Kiwoong; Park, JooYoung; Hwang, Bae Geun; Ko, YoungChul; Kim, HyunJoo; Han, JeongSu; Seo, EungRyeol; Park, YongJong; Lee, Sang Joon

    2017-05-16

    Fabrication of superhydrophobic surfaces is an area of great interest because it can be applicable to various engineering fields. A simple, safe and inexpensive fabrication process is required to fabricate applicable superhydrophobic surfaces. In this study, we developed a facile fabrication method of nearly perfect superhydrophobic surfaces through plasma treatment with argon and oxygen gases. A polytetrafluoroethylene (PTFE) sheet was selected as a substrate material. We optimized the fabrication parameters to produce superhydrophobic surfaces of superior performance using the Taguchi method. The contact angle of the pristine PTFE surface is approximately 111.0° ± 2.4°, with a sliding angle of 12.3° ± 6.4°. After the plasma treatment, nano-sized spherical tips, which looked like crown-structures, were created. This PTFE sheet exhibits the maximum contact angle of 178.9°, with a sliding angle less than 1°. As a result, this superhydrophobic surface requires a small external force to detach water droplets dripped on the surface. The contact angle of the fabricated superhydrophobic surface is almost retained, even after performing an air-aging test for 80 days and a droplet impacting test for 6 h. This fabrication method can provide superb superhydrophobic surface using simple one-step plasma etching.

  4. Dentin surface treatment using a non-thermal argon plasma brush for interfacial bonding improvement in composite restoration

    Science.gov (United States)

    Ritts, Andy Charles; Li, Hao; Yu, Qingsong; Xu, Changqi; Yao, Xiaomei; Hong, Liang; Wang, Yong

    2010-01-01

    The objective of this study is to investigate the treatment effects of non-thermal atmospheric gas plasmas on dentin surfaces for composite restoration. Extracted unerupted human third molars were used by removing the crowns and etching the exposed dentin surfaces with 35% phosphoric acid gel. The dentin surfaces were treated by using a non-thermal atmospheric argon plasma brush for various durations. The molecular changes of the dentin surfaces were analyzed using FTIR/ATR and an increase in carbonyl groups on dentin surfaces was detected with plasma treated dentin. Adper Single Bond Plus adhesive and Filtek Z250 dental composite were applied as directed. To evaluate the dentin/composite interfacial bonding, the teeth thus prepared were sectioned into micro-bars as the specimens for tensile test. Student Newman Keuls tests showed that the bonding strength of the composite restoration to peripheral dentin was significantly increased (by 64%) after 30 s plasma treatment. However, the bonding strength to plasma treated inner dentin did not show any improvement. It was found that plasma treatment of peripheral dentin surface up to 100 s gave an increase in interfacial bonding strength, while a prolong plasma treatment of dentin surfaces, e.g., 5 min treatments, showed a decrease in interfacial bonding strength. PMID:20831586

  5. Solitary ionizing surface waves on low-temperature plasmas

    International Nuclear Information System (INIS)

    Vladimirov, S.V.; Yu, M.Y.

    1993-01-01

    It is demonstrated that at the boundary of semi-infinite low-temperature plasma new types of localized ionizing surface wave structures can propagate. The solitary waves are described by an evolution equation similar to the KdV equation, but the solutions differ considerably from that of the latter

  6. The effect of surface nanocrystallization on plasma nitriding behaviour of AISI 4140 steel

    International Nuclear Information System (INIS)

    Li Yang; Wang Liang; Zhang Dandan; Shen Lie

    2010-01-01

    A plastic deformation surface layer with nanocrystalline grains was produced on AISI 4140 steel by means of surface mechanical attrition treatment (SMAT). Plasma nitriding of SMAT and un-SMAT AISI 4140 steel was carried out by a low-frequency pulse excited plasma unit. A series of nitriding experiments has been conducted at temperatures ranging from 380 to 500 deg. C for 8 h in an NH 3 gas. The samples were characterized using X-ray diffraction, scanning electron microscopy, optical microscopy and Vickers microhardness tester. The results showed that a much thicker compound layer with higher hardness was obtained for the SMAT samples when compared with un-SMAT samples after nitriding at the low temperature. In particular, plasma nitriding SMAT AISI 4140 steel at 380 deg. C for 8 h can produced a compound layer of 2.5 μm thickness with very high hardness on the surface, which is similar to un-SMAT samples were plasma nitrided at approximately 430 deg. C within the same time.

  7. The effect of surface nanocrystallization on plasma nitriding behaviour of AISI 4140 steel

    Science.gov (United States)

    Li, Yang; Wang, Liang; Zhang, Dandan; Shen, Lie

    2010-11-01

    A plastic deformation surface layer with nanocrystalline grains was produced on AISI 4140 steel by means of surface mechanical attrition treatment (SMAT). Plasma nitriding of SMAT and un-SMAT AISI 4140 steel was carried out by a low-frequency pulse excited plasma unit. A series of nitriding experiments has been conducted at temperatures ranging from 380 to 500 °C for 8 h in an NH 3 gas. The samples were characterized using X-ray diffraction, scanning electron microscopy, optical microscopy and Vickers microhardness tester. The results showed that a much thicker compound layer with higher hardness was obtained for the SMAT samples when compared with un-SMAT samples after nitriding at the low temperature. In particular, plasma nitriding SMAT AISI 4140 steel at 380 °C for 8 h can produced a compound layer of 2.5 μm thickness with very high hardness on the surface, which is similar to un-SMAT samples were plasma nitrided at approximately 430 °C within the same time.

  8. Elastic–plastic adhesive impacts of tungsten dust with metal surfaces in plasma environments

    NARCIS (Netherlands)

    Ratynskaia, S.; Tolias, P.; Shalpegin, A.; Vignitchouk, L.; de Angeli, M.; I. Bykov,; Bystrov, K.; Bardin, S.; Brochard, F.; Ripamonti, D.; N. den Harder,; De Temmerman, G.

    2015-01-01

    Dust-surface collisions impose size selectivity on the ability of dust grains to migrate in scrape-off layer and divertor plasmas and to adhere to plasma-facing components. Here, we report first experimental evidence of dust impact phenomena in plasma environments concerning low-speed collisions of

  9. Planarization of the diamond film surface by using the hydrogen plasma etching with carbon diffusion process

    International Nuclear Information System (INIS)

    Kim, Sung Hoon

    2001-01-01

    Planarization of the free-standing diamond film surface as smooth as possible could be obtained by using the hydrogen plasma etching with the diffusion of the carbon species into the metal alloy (Fe, Cr, Ni). For this process, we placed the free-standing diamond film between the metal alloy and the Mo substrate like a metal-diamond-molybdenum (MDM) sandwich. We set the sandwich-type MDM in a microwave-plasma-enhanced chemical vapor deposition (MPECVD) system. The sandwich-type MDM was heated over ca. 1000 .deg. C by using the hydrogen plasma. We call this process as the hydrogen plasma etching with carbon diffusion process. After etching the free-standing diamond film surface, we investigated surface roughness, morphologies, and the incorporated impurities on the etched diamond film surface. Finally, we suggest that the hydrogen plasma etching with carbon diffusion process is an adequate etching technique for the fabrication of the diamond film surface applicable to electronic devices

  10. Study on effect of plasma surface treatments for diamond deposition by DC arc plasmatron.

    Science.gov (United States)

    Kang, In-Je; Joa, Sang-Beom; Lee, Heon-Ju

    2013-11-01

    To improve the thermal conductivity and wear resistance of ceramic materials in the field of renewable energy technologies, diamond coating by plasma processing has been carried out in recent years. This study's goal is to improve diamond deposition on Al2O3 ceramic substrates by plasma surface treatments. Before diamond deposition was carried out in a vacuum, plasma surface treatments using Ar gas were conducted to improve conditions for deposition. We also conducted plasma processing for diamond deposition on Al2O3 ceramic substrates using a DC arc Plasmatron. The Al2O3 ceramic substrates with diamond film (5 x 15 mm2), were investigated by SEM (Scanning Electron Microscopy), AFM (Atomic Force Microscopy) and XRD (X-ray Diffractometer). Then, the C-H stretching of synthetic diamond films by FTIR (Fourier Transform Infrared Spectroscopy) was studied. We identified nanocrystalline diamond films on the Al2O3 ceramic substrates. The results showed us that the deposition rate of diamond films was 2.3 microm/h after plasma surface treatments. Comparing the above result with untreated ceramic substrates, the deposition rate improved with the surface roughness of the deposited diamond films.

  11. MAGNUM-PSI, a plasma generator for plasma-surface interaction research in ITER-like conditions

    International Nuclear Information System (INIS)

    Goedheer, W.J.; Rooij, G.J. van; Veremiyenko, V.; Ahmad, Z.; Barth, C.J.; Eck, H.J.N. van; Groot, B. de; Hellermann, M.G. von; Kruijtzer, G.L.; Wolff, J.C.; Brezinsek, S.; Philipps, V.; Pospieszczyk, A.; Samm, U.; Schweer, B.; Dahiya, R.P.; Engeln, R.A.H.; Schram, D.C.; Fantz, U.; Kleyn, A.W.; Lopes Cardozo, N.J.

    2005-01-01

    The FOM-Institute for Plasma Physics - together with its TEC partners - is preparing the construction of Magnum-psi, a magnetized (3 T), steady-state, large area (100 cm 2 ), high-flux (up to 10 24 H + ions m -2 s -1 ) plasma generator. The research programme of Magnum-psi will address the questions for the ITER divertor: erosion, redeposition and hydrogen retention with carbon substrates, melting of metal surfaces, erosion and redeposition with mixed materials. In order to explore and develop the techniques to be applied in Magnum-psi, a pilot experiment (Pilot-psi), operating at a magnetic field up to 1.6 Tesla, has been constructed. Pilot-psi produces a hydrogen plasma beam with the required parameters (T e ≤ 1eV and flux ≥ 10 23 m -2 s -1 ) over an area of 1 cm 2 . In this paper the results of extensive diagnostic measurements on Pilot-psi (a.o., Thomson Scattering and high-resolution spectroscopy), combined with numerical studies of the source and the expansion of the plasma will be presented to demonstrate the feasibility of the large Magnum-psi plasma generator. (author)

  12. Effects of plasma on polyethylene fiber surface for prosthodontic application

    Directory of Open Access Journals (Sweden)

    Silvana Marques Miranda SPYRIDES

    2015-12-01

    Full Text Available ABSTRACT Plasma technology has the potential to improve the adherence of fibers to polymeric matrices, and there are prospects for its application in dentistry to reinforce the dental particulate composite. Objectives This study aimed to investigate the effect of oxygen or argon plasma treatment on polyethylene fibers. Material and Methods Connect, Construct, InFibra, and InFibra treated with oxygen or argon plasma were topographically evaluated by scanning electron microscopy (SEM, and chemically by X-ray photoelectron spectroscopy (XPS. For bending analysis, one indirect composite (Signum was reinforced with polyethylene fiber (Connect, Construct, or InFibra. The InFibra fiber was subjected to three different treatments: (1 single application of silane, (2 oxygen or argon plasma for 1 or 3 min, (3 oxygen or argon plasma and subsequent application of silane. The samples (25x2x2 mm, 6 unreinforced and 60 reinforced with fibers, were subjected to three-point loading tests to obtain their flexural strength and deflection. The results were statistically analyzed with ANOVA and the Bonferroni correction for multiple comparison tests. Results SEM analysis showed that oxygen and argon plasma treatments promote roughness on the polyethylene fiber surface. X-ray photoelectron spectroscopy (XPS analysis shows that both plasmas were effective in incorporating oxygenated functional groups. Argon or oxygen plasma treatment affected the flexural strength and deflection of a fiber reinforced composite. The application of silane does not promote an increase in the flexural strength of the reinforced composites. Conclusions Oxygen and argon plasma treatments were effective in incorporating oxygenated functional groups and surface roughness. The highest strength values were obtained in the group reinforced with polyethylene fibers treated with oxygen plasma for 3 min.

  13. Preparation of poly(2-chloroaniline) membrane and plasma surface modification

    International Nuclear Information System (INIS)

    Kir, E.; Oksuz, L.; Helhel, S.

    2006-01-01

    P2ClAn membranes were obtained from chemically synthesized poly(2-chloroaniline) (P2ClAn) by casting method. These membranes were cast from dimethyl formamide (DMF) and were in the undoped state. P2ClAn membranes were characterized by Fourier infrared spectroscopy and scanning electron microscopy. Measurements of water content capacity, membrane thickness and ion-exchange capacity of the cast membranes were carried out. P2ClAn membranes were treated by electron cylotron resonance (ECR) plasma for surface modification. Plasma treatment has been successfully utilized for improving the surface properties of P2ClAn membranes such as increasing pore diameters and number of pores for better anion or molecule transportation

  14. Vapor shielding effects on energy transfer from plasma-gun generated ELM-like transient loads to material surfaces

    Science.gov (United States)

    Kikuchi, Y.; Sakuma, I.; Asai, Y.; Onishi, K.; Isono, W.; Nakazono, T.; Nakane, M.; Fukumoto, N.; Nagata, M.

    2016-02-01

    Energy transfer processes from ELM-like pulsed helium (He) plasmas with a pulse duration of ˜0.1 ms to aluminum (Al) and tungsten (W) surfaces were experimentally investigated by the use of a magnetized coaxial plasma gun device. The surface absorbed energy density of the He pulsed plasma on the W surface measured with a calorimeter was ˜0.44 MJ m-2, whereas it was ˜0.15 MJ m-2 on the Al surface. A vapor layer in front of the Al surface exposed to the He pulsed plasma was clearly identified by Al neutral emission line (Al i) measured with a high time resolution spectrometer, and fast imaging with a high-speed visible camera filtered around the Al i emission line. On the other hand, no clear evaporation in front of the W surface exposed to the He pulsed plasma was observed in the present condition. Discussions on the reduction in the surface absorbed energy density on the Al surface are provided by considering the latent heat of vaporization and radiation cooling due to the Al vapor cloud.

  15. Laser-induced plasma spectrometry: truly a surface analytical tool

    International Nuclear Information System (INIS)

    Vadillo, Jose M.; Laserna, J.

    2004-01-01

    For a long period, analytical applications of laser induced plasma spectrometry (LIPS) have been mainly restricted to overall and quantitative determination of elemental composition in bulk, solid samples. However, introduction of new compact and reliable solid state lasers and technological development in multidimensional intensified detectors have made possible the seeking of new analytical niches for LIPS where its analytical advantages (direct sampling from any material irrespective of its conductive status without sample preparation and with sensitivity adequate for many elements in different matrices) could be fully exploited. In this sense, the field of surface analysis could take advantage from the cited advantages taking into account in addition, the capability of LIPS for spot analysis, line scan, depth-profiling, area analysis and compositional mapping with a single instrument in air at atmospheric pressure. This review paper outlines the fundamental principles of laser-induced plasma emission relevant to sample surface studies, discusses the experimental parameters governing the spatial (lateral and in-depth) resolution in LIPS analysis and presents the applications concerning surface examination

  16. Bohm potential effect on the propagation of electrostatic surface wave in semi-bounded quantum plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Myoung-Jae [Department of Physics, Hanyang University, Seoul 04763 (Korea, Republic of); Research Institute for Natural Sciences, Hanyang University, Seoul 04763 (Korea, Republic of); Jung, Young-Dae, E-mail: ydjung@hanyang.ac.kr [Department of Applied Physics and Department of Bionanotechnology, Hanyang University, Ansan, Kyunggi-Do 15588 (Korea, Republic of); Department of Electrical and Computer Engineering, MC 0407, University of California, San Diego, 9500 Gilman Drive, La Jolla, CA 92093-0407 (United States)

    2017-02-12

    High frequency electrostatic wave propagation in a dense and semi-bounded electron quantum plasma is investigated with consideration of the Bohm potential. The dispersion relation for the surface mode of quantum plasma is derived and numerically analyzed. We found that the quantum effect enhances the frequency of the wave especially in the high wave number regime. However, the frequency of surface wave is found to be always lower than that of the bulk wave for the same quantum wave number. The group velocity of the surface wave for various quantum wave number is also obtained. - Highlights: • High frequency electrostatic wave propagation is investigated in a dense semi-bounded quantum plasma. • The dispersion relation for the surface mode of quantum plasma is derived and numerically analyzed. • The quantum effect enhances the frequency of the wave especially in the high wave number regime. • The frequency of surface wave is found to be always lower than that of the bulk wave. • The group velocity of the surface wave for various quantum wave number is also obtained.

  17. Preparation and surface characterization of plasma-treated and biomolecular-micropatterned polymer substrates

    Science.gov (United States)

    Langowski, Bryan Alfred

    A micropatterning process creates distinct microscale domains on substrate surfaces that differ from the surfaces' original chemical/physical properties. Numerous micropatterning methods exist, each having relative advantages and disadvantages in terms of cost, ease, reproducibility, and versatility. Polymeric surfaces micropatterned with biomolecules have many applications, but are specifically utilized in tissue engineering as cell scaffolds that attempt to controlled tissue generation in vivo and ex vivo. As the physical and chemical cues presented by micropatterned substrates control resulting cellular behavior, characterization of these cues via surface-sensitive analytical techniques is essential in developing cell scaffolds that mimic complex in vivo physicochemical environments. The initial focus of this thesis is the chemical and physical characterization of plasma-treated, microcontact-printed (muCP) polymeric substrates used to direct nerve cell behavior. Unmodified and oxygen plasma-treated poly(methyl methacrylate) (PMMA) substrates were analyzed by surface sensitive techniques to monitor plasma-induced chemical and physical modifications. Additionally, protein-micropattern homogeneity and size were microscopically evaluated. Lastly, poly(dimethylsiloxane) (PDMS) stamps and contaminated PMMA substrates were characterized by spectroscopic and microscopic methods to identify a contamination source during microcontact printing. The final focus of this thesis is the development of microscale plasma-initiated patterning (muPIP) as a versatile, reproducible micropatterning method. Using muPIP, polymeric substrates were micropatterned with several biologically relevant inks. Polymeric substrates were characterized following muPIP by surface-sensitive techniques to identify the technique's underlying physical and chemical bases. In addition, neural stem cell response to muPIP-generated laminin micropatterns was microscopically and biologically evaluated

  18. Surface DBD for deposition of the PEO-like plasma polymers

    Czech Academy of Sciences Publication Activity Database

    Gordeev, Ivan; Šimek, Milan; Prukner, Václav; Choukourov, A.; Biederman, H.

    2012-01-01

    Roč. 9, č. 1 (2012), s. 83-89 ISSN 1612-8850 R&D Projects: GA ČR(CZ) GD104/09/H080 Institutional research plan: CEZ:AV0Z20430508 Keywords : AC barrier discharges * surface discharges * plasma polymers * poly(ethylene oxide) (PEO) * UV-vis spectroscopy Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 3.730, year: 2012 http://onlinelibrary.wiley.com/doi/10.1002/ppap.201100051/pdf

  19. Sterilization of Surfaces with a Handheld Atmospheric Pressure Plasma

    Science.gov (United States)

    Hicks, Robert; Habib, Sara; Chan, Wai; Gonzalez, Eleazar; Tijerina, A.; Sloan, Mark

    2009-10-01

    Low temperature, atmospheric pressure plasmas have shown great promise for decontaminating the surfaces of materials and equipment. In this study, an atmospheric pressure, oxygen and argon plasma was investigated for the destruction of viruses, bacteria, and spores. The plasma was operated at an argon flow rate of 30 L/min, an oxygen flow rate of 20 mL/min, a power density of 101.0 W/cm^3 (beam area = 5.1 cm^2), and at a distance from the surface of 7.1 mm. An average 6log10 reduction of viable spores was obtained after only 45 seconds of exposure to the reactive gas. By contrast, it takes more than 35 minutes at 121^oC to sterilize anthrax in an autoclave. The plasma properties were investigated by numerical modeling and chemical titration with nitric oxide. The numerical model included a detailed reaction mechanism for the discharge as well as for the afterglow. It was predicted that at a delivered power density of 29.3 W/cm^3, 30 L/min argon, and 0.01 volume% O2, the plasma generated 1.9 x 10^14 cm-3 O atoms, 1.6 x 10^12 cm-3 ozone, 9.3 x 10^13 cm-3 O2(^1δg), and 2.9 x 10^12 cm-3 O2(^1σ^+g) at 1 cm downstream of the source. The O atom density measured by chemical titration with NO was 6.0 x 10^14 cm-3 at the same conditions. It is believe that the oxygen atoms and the O2(^1δg) metastables were responsible for killing the anthrax and other microorganisms.

  20. Polydiagnostic calibration performed on a low pressure surface wave sustained argon plasma

    NARCIS (Netherlands)

    Vries, de N.; Palomares, J.M.; Iordanova, E.I.; Veldhuizen, van E.M.; Mullen, van der J.J.A.M.

    2008-01-01

    The electron density and electron temperature of a low pressure surface wave sustained argon plasma have been determined using passive and active (laser) spectroscopic methods simultaneously. In this way the validity of the various techniques is established while the plasma properties are determined

  1. Plasma-surface interaction

    International Nuclear Information System (INIS)

    Kurnaev, V.A.

    1996-01-01

    The latest experimental results characterizing plasma interaction with walls in thermonuclear facilities are presented. The main attention is paid to the mode of plasma ejection from the tokamak divertor receiving elements to study the properties of the so-called gas divertor. A sharp reduction of load on the receiving plates is provided in the mode at the expense of over-radiation of a substantial share of power in a layer of peripheral removed plasma. However, the sharp reduction of load on the current-receiving plates is accompanied by an increase of the main plasma charge up to an unacceptably high level. An alternative variant of solving the problem of heat and impurity removal in the form of a concept of capillary lithium divertor is described. Besides, the latest results of experiments in simulator devices are presented. 46 refs., 15 figs

  2. RF atmospheric plasma jet surface treatment of paper

    Science.gov (United States)

    Pawlat, Joanna; Terebun, Piotr; Kwiatkowski, Michał; Diatczyk, Jaroslaw

    2016-09-01

    A radio frequency RF atmospheric pressure plasma jet was used to enhance the wettability of cellulose-based paper of 90 g m-2 and 160 g m-2 grammage as a perspective platform for antibiotic sensitivity tests. Helium and argon were the carrier gases for oxygen and nitrogen; pure water and rapeseed oil were used for goniometric tests. The influence of the flow rate and gas type, the power of the discharge, and distance from the nozzle was examined. The surface structure was observed using an optical microscope. Attenuated total reflection Fourier transform infrared (ATR-FTIR) spectra were investigated in order to determine whether cellulose degradation processes occurred. The RF plasma jet allowed us to decrease the surface contact angle without drastic changes in other features of the tested material. Experiments confirmed the significant influence of the distance between the treated sample and reactor nozzle, especially for treatment times longer than 15 s due to the greater concentration of reactive species at the surface of the sample, which decreases with distance—and their accumulation effect with time. The increase of discharge power plays an important role in decreasing the surface contact angle for times longer than 10 s. Higher power had a positive effect on the amount of generated active particles and facilitated the ignition of discharge. However, a too high value can cause a rise in temperature of the material and heat-caused damage.

  3. Improved ion acceleration via laser surface plasma waves excitation

    Energy Technology Data Exchange (ETDEWEB)

    Bigongiari, A. [CEA/DSM/LSI, CNRS, Ecole Polytechnique, 91128 Palaiseau Cedex (France); TIPS/LULI, Université Paris 6, CNRS, CEA, Ecole Polytechnique, 3, rue Galilée, 94200 Ivry-sur-Seine (France); Raynaud, M. [CEA/DSM/LSI, CNRS, Ecole Polytechnique, 91128 Palaiseau Cedex (France); Riconda, C. [TIPS/LULI, Université Paris 6, CNRS, CEA, Ecole Polytechnique, 3, rue Galilée, 94200 Ivry-sur-Seine (France); Héron, A. [CPHT, CNRS, Ecole Polytechnique, 91128 Palaiseau Cedex (France)

    2013-05-15

    The possibility of enhancing the emission of the ions accelerated in the interaction of a high intensity ultra-short (<100 fs) laser pulse with a thin target (<10λ{sub 0}), via surface plasma wave excitation is investigated. Two-dimensional particle-in-cell simulations are performed for laser intensities ranging from 10{sup 19} to 10{sup 20} Wcm{sup −2}μm{sup 2}. The surface wave is resonantly excited by the laser via the coupling with a modulation at the target surface. In the cases where the surface wave is excited, we find an enhancement of the maximum ion energy of a factor ∼2 compared to the cases where the target surface is flat.

  4. Modelling vacuum arcs : from plasma initiation to surface interactions

    International Nuclear Information System (INIS)

    Timko, H.

    2011-01-01

    A better understanding of vacuum arcs is desirable in many of today's 'big science' projects including linear colliders, fusion devices, and satellite systems. For the Compact Linear Collider (CLIC) design, radio-frequency (RF) breakdowns occurring in accelerating cavities influence efficiency optimisation and cost reduction issues. Studying vacuum arcs both theoretically as well as experimentally under well-defined and reproducible direct-current (DC) conditions is the first step towards exploring RF breakdowns. In this thesis, we have studied Cu DC vacuum arcs with a combination of experiments, a particle-in-cell (PIC) model of the arc plasma, and molecular dynamics (MD) simulations of the subsequent surface damaging mechanism. We have also developed the 2D Arc-PIC code and the physics model incorporated in it, especially for the purpose of modelling the plasma initiation in vacuum arcs. Assuming the presence of a field emitter at the cathode initially, we have identified the conditions for plasma formation and have studied the transitions from field emission stage to a fully developed arc. The 'footing' of the plasma is the cathode spot that supplies the arc continuously with particles; the high-density core of the plasma is located above this cathode spot. Our results have shown that once an arc plasma is initiated, and as long as energy is available, the arc is self-maintaining due to the plasma sheath that ensures enhanced field emission and sputtering.The plasma model can already give an estimate on how the time-to-breakdown changes with the neutral evaporation rate, which is yet to be determined by atomistic simulations. Due to the non-linearity of the problem, we have also performed a code-to-code comparison. The reproducibility of plasma behaviour and time-to-breakdown with independent codes increased confidence in the results presented here. Our MD simulations identified high-flux, high-energy ion bombardment as a possible mechanism forming the early

  5. Application of electrochemical plasma techniques in surface engineering of iron based structural materials

    International Nuclear Information System (INIS)

    Coaca, E.; Rusu, O.; Mihalache, M.; Minca, M.; Tacica, M.; Florea, S.; Oncioiu, G.; Andrei, V.

    2013-01-01

    The surface of austenitic stainless steels 304 L and 316 L was modified by various complex surface treatments: - plasma electrolytic carbo-nitriding by means of Plasma electrolytic saturation (PES); the saturation of cathodic surfaces with C, N was performed using suitable electrolytes (aqueous solutions of inorganic acids, appropriate salts containing the desired elements and certain organic compounds); -electrodeposition of Al from ChCl based Ionic Liquid. The coatings obtained in various experimental conditions have been investigated by means of electron spectroscopy, scanning electron microscopy, energy dispersion x-ray spectrometry, electrochemical techniques, and the properties of the thin films have been correlated with the microstructure and the composition of the surface layers which are strongly dependents of the different regimes of diffusion treatments. The preliminary results on Electrochemical Plasma Technology (EPT) treatments demonstrate that we can select the processing parameters for essential improvement of corrosion behaviour in some aggressive medium and high values of microhardness. (authors)

  6. Improvement of Polytetrafluoroethylene Surface Energy by Repetitive Pulse Non-Thermal Plasma Treatment in Atmospheric Air

    International Nuclear Information System (INIS)

    Yang Guoqing; Zhang Guanjun; Zhang Wenyuan

    2011-01-01

    Improvement of polytetrafluoroethylene surface energy by non-thermal plasma treatment is presented, using a nanosecond-positive-edge repetitive pulsed dielectric barrier discharge generator in atmospheric air. The electrical parameters including discharging power, peak and density of micro-discharge current were calculated, and the electron energy was estimated. Surface treatment experiments of polytetrafluoroethylene films were conducted for both different applied voltages and different treating durations. Results show that the surface energy of polytetrafluoroethylene film could be improved to 40 mJ/m 2 or more by plasma treatment. Surface roughness measurement and surface X-ray photoelectron spectroscopy analysis indicate that there are chemical etching and implantation of polar oxygen groups in the sample surface treating process, resulting in the improvement of the sample surface energy. Compared with an AC source of 50 Hz, the dielectric barrier discharges generated by a repetitive pulsed source could provide higher peak power, lower mean power, larger micro-discharge current density and higher electron energy. Therefore, with the same applied peak voltage and treating duration, the improvement of polytetrafluoroethylene surface energy using repetitive pulsed plasma is more effective, and the plasma treatment process based on repetitive pulsed dielectric barrier discharges in air is thus feasible and applicable.

  7. Surface interactions in a cold plasma atmosphere

    International Nuclear Information System (INIS)

    Inspector, A.

    1981-10-01

    The formation of pyrocoating on conmercial grade graphite in a cold plasma atmosphere of argon and propylene mixtures was investigated. The experiments were performed in an evacuated glass tube at low pressure ( 6 Hz), and in some experiments by micro-wave frequency irradiation (2.45x10 6 Hz) through an external antenna that was located around the middle of the tube. The research was performed in four complementary directions: (a) Characterization of the plasma. The effect of various experimental parameters on the composition of the plasma was investigated; the density of the positive ions; the temperature of the electrons. The following parameters were investigated: the concentration of the hydrocarbon in the feed mixture; the total gas pressure in the tube; the induced power; the location of the sampling position in relation to the location of the antenna and the direction of the gas flow. (b) Measurements of the deposition rate as a function of the concentration of the propylene in the feed mixture and of the total gas pressure in the tube. (c) Characterization of the coating. The characterization included structure and morphology analysis, and measurements of microporosity, composition, optical anisotropy and density. (d) Development of a theoretical model of the deposition process which is based on the plasma-surface interactions, and relates the characteristics of the plasma to those of the deposited coating. The values for the composition of the coating and its rate of deposition that were calculated using the model agree well with those that were measured experimentally

  8. Plasma surface treatment to improve surface charge accumulation and dissipation of epoxy resin exposed to DC and nanosecond-pulse voltages

    Science.gov (United States)

    Zhang, Cheng; Lin, Haofan; Zhang, Shuai; Xie, Qin; Ren, Chengyan; Shao, Tao

    2017-10-01

    In this paper, deposition by non-thermal plasma is used as a surface modification technique to change the surface characteristics of epoxy resin exposed to DC and nanosecond-pulse voltages. The corresponding surface characteristics in both cases of DC and nanosecond-pulse voltages before and after the modification are compared and investigated. The measurement of the surface potential provides the surface charge distribution, which is used to show the accumulation and dissipation process of the surface charges. Morphology observations, chemical composition and electrical parameters measurements are used to evaluate the treatment effects. The experimental results show that, before the plasma treatment, the accumulated surface charges in the case of the DC voltage are more than that in the case of the nanosecond-pulse voltage. Moreover, the decay rate of the surface charges for the DC voltage is higher than that for the nanosecond-pulse voltage. However, the decay rate is no more than 41% after 1800 s for both types of voltages. After the plasma treatment, the maximum surface potentials decrease to 57.33% and 32.57% of their values before treatment for the DC and nanosecond-pulse voltages, respectively, indicating a decrease in the accumulated surface charges. The decay rate exceeds 90% for both types of voltages. These changes are mainly attributed to a change in the surface nanostructure, an increase in conductivity, and a decrease in the depth of energy level.

  9. Plasma surface treatment to improve surface charge accumulation and dissipation of epoxy resin exposed to DC and nanosecond-pulse voltages

    International Nuclear Information System (INIS)

    Zhang, Cheng; Lin, Haofan; Zhang, Shuai; Ren, Chengyan; Shao, Tao; Xie, Qin

    2017-01-01

    In this paper, deposition by non-thermal plasma is used as a surface modification technique to change the surface characteristics of epoxy resin exposed to DC and nanosecond-pulse voltages. The corresponding surface characteristics in both cases of DC and nanosecond-pulse voltages before and after the modification are compared and investigated. The measurement of the surface potential provides the surface charge distribution, which is used to show the accumulation and dissipation process of the surface charges. Morphology observations, chemical composition and electrical parameters measurements are used to evaluate the treatment effects. The experimental results show that, before the plasma treatment, the accumulated surface charges in the case of the DC voltage are more than that in the case of the nanosecond-pulse voltage. Moreover, the decay rate of the surface charges for the DC voltage is higher than that for the nanosecond-pulse voltage. However, the decay rate is no more than 41% after 1800 s for both types of voltages. After the plasma treatment, the maximum surface potentials decrease to 57.33% and 32.57% of their values before treatment for the DC and nanosecond-pulse voltages, respectively, indicating a decrease in the accumulated surface charges. The decay rate exceeds 90% for both types of voltages. These changes are mainly attributed to a change in the surface nanostructure, an increase in conductivity, and a decrease in the depth of energy level. (paper)

  10. Surface modification of polyimide (PI) film using water cathode atmospheric pressure glow discharge plasma

    International Nuclear Information System (INIS)

    Zheng Peichao; Liu Keming; Wang Jinmei; Dai Yu; Yu Bin; Zhou Xianju; Hao Honggang; Luo Yuan

    2012-01-01

    Highlights: ► Equipment called water cathode atmospheric pressure glow discharge was used to improve the hydrophilicity of polyimide films. ► The data shows good homogeneity and the variation trends of contact angles are different for polar and non-polar testing liquids. ► The thickness of liquid layer plays an important role in plasma processing and directly affects the treatment effect. ► Surface hydrophilicity after plasma treatment is improved partly due to the increase in the roughness. ► The hydrophilicity of polyimide films is still better than untreated ones after long-term storage. - Abstract: The industrial use of polyimide film is limited because of undesirable properties such as poor wettability. In the present paper, a new kind of equipment called water cathode atmospheric pressure glow discharge was used to improve the surface properties of polyimide films and made them useful to technical applications. The changes in hydrophilicity of modified polyimide film surfaces were investigated by contact angle, surface energy and water content measurements as a function of treatment time. The results obtained show good treatment homogeneity and that the variation trends of contact angles are different for polar and non-polar testing liquids, while surface energy and water content are significantly enhanced with the increase of treatment time until they achieve saturated values after 60 s plasma treatment. Also, the thickness of liquid layer plays an important role in plasma processing and directly affects the treatment effect. Changes in morphology of polyimide films were analyzed by atomic force microscope and the results indicate that surface hydrophilicity after plasma treatment are improved partly due to the increase in the roughness. In addition, polyimide films treated by plasma are subjected to an ageing process to determine the durability of plasma treatment. It is found that the hydrophilicity is still better than untreated ones though the

  11. Shukla-Spatschek diffusion effects on surface plasma waves in astrophysical turbulent plasmas

    Science.gov (United States)

    Lee, Myoung-Jae; Jung, Young-Dae

    2017-02-01

    The effects of Shukla-Spatschek turbulent diffusion on a temporal mode of surface waves propagating at the interface of an astrophysical turbulent plasma are investigated. The damping rates for high and low modes of surface wave are kinetically derived by employing the Vlasov-Poisson equation and the specular reflection boundary condition. We found that the diffusion caused by the fluctuating electric fields leads to damping for both high and low modes of surface waves. The high-mode damping is enhanced with an increase of the wavenumber and the diffusion coefficient, but suppressed by an increase of electron thermal energy. By contrast, the low-mode damping is suppressed as the wavenumber and the thermal energy increase although it is enhanced as the diffusion increases. The variation of the damping rate due to the Shukla-Spatschek turbulent diffusion is also discussed.

  12. Influence of non-thermal plasma forming gases on improvement of surface properties of low density polyethylene (LDPE)

    Energy Technology Data Exchange (ETDEWEB)

    Pandiyaraj, K. Navaneetha, E-mail: dr.knpr@gmail.com [Surface Engineering Laboratory, Department of Physics, Sri Shakthi Institute of Engineering and Technology, L and T by pass, Chinniyam Palayam (post), Coimbatore 641062 (India); Deshmukh, R.R. [Department of Physics, Institute of Chemical Technology, Matunga, Mumbai 400 019 (India); Ruzybayev, Inci; Shah, S. Ismat [Department of Physics and Astronomy, Department of Materials Science and Engineering, University of Delaware, 208 Dupont Hall, Newark, NJ (United States); Su, Pi-Guey [Department of Chemistry, Chinese Culture University, Taipei 111, Taiwan (China); Halleluyah, Jr. mercy; Halim, Ahmad Sukari [School of Medical Sciences, Health Campus Universiti Sains Malaysia, 16150 Kubang Kerian, Kelantan (Malaysia)

    2014-07-01

    Owing to the superior physico-chemical properties, the low density polyethylene (LDPE) has been widely used in the various industrial applications; especially in biomedical field for artificial organs, medical devices and disposable clinical apparatus. However, the poor anticoagulation property is one of the main drawbacks of the LDPE due to its poor surface properties. Therefore, in this paper we present the effect of plasma forming gases such as argon (Ar), oxygen (O{sub 2}), air and argon-oxygen (Ar + O{sub 2}) mixture on improvement of the surfaces properties of LDPE film using direct current (dc) excited glow discharge plasma. Contact angle with evaluation of surface energy, X-ray photo electron spectroscopy (XPS), atomic force microscopy (AFM) techniques were used to examine the change in surface properties such as hydrophilicity, chemical composition and surface topography, respectively. Furthermore, the hydrophobic recovery of the plasma treated LDPE was analyzed using ageing effect under different storage condition i.e. in air and water. The adhesive strength of the LDPE films was determined using T-peel test. In vitro tests were used to examine the blood compatibility of the surface modified LDPE films. It has been found that the hydrophilicity of the various plasma treated LDPE films was improved significantly due to the formation of oxygen containing polar groups such as OH, COO, C-O, C=O as confirmed by contact angle and XPS analysis. AFM revealed the changes in surface topography of plasma processed films. The gas mixture Ar + O{sub 2} plasma influenced the remarkable improvement on the surface properties of a LDPE film compared with other gaseous plasmas. These physiochemical changes induced by the plasma on the surface facilitate to improve the adhesive strength and blood compatibility.

  13. Influence of non-thermal plasma forming gases on improvement of surface properties of low density polyethylene (LDPE)

    International Nuclear Information System (INIS)

    Pandiyaraj, K. Navaneetha; Deshmukh, R.R.; Ruzybayev, Inci; Shah, S. Ismat; Su, Pi-Guey; Halleluyah, Jr. mercy; Halim, Ahmad Sukari

    2014-01-01

    Owing to the superior physico-chemical properties, the low density polyethylene (LDPE) has been widely used in the various industrial applications; especially in biomedical field for artificial organs, medical devices and disposable clinical apparatus. However, the poor anticoagulation property is one of the main drawbacks of the LDPE due to its poor surface properties. Therefore, in this paper we present the effect of plasma forming gases such as argon (Ar), oxygen (O 2 ), air and argon-oxygen (Ar + O 2 ) mixture on improvement of the surfaces properties of LDPE film using direct current (dc) excited glow discharge plasma. Contact angle with evaluation of surface energy, X-ray photo electron spectroscopy (XPS), atomic force microscopy (AFM) techniques were used to examine the change in surface properties such as hydrophilicity, chemical composition and surface topography, respectively. Furthermore, the hydrophobic recovery of the plasma treated LDPE was analyzed using ageing effect under different storage condition i.e. in air and water. The adhesive strength of the LDPE films was determined using T-peel test. In vitro tests were used to examine the blood compatibility of the surface modified LDPE films. It has been found that the hydrophilicity of the various plasma treated LDPE films was improved significantly due to the formation of oxygen containing polar groups such as OH, COO, C-O, C=O as confirmed by contact angle and XPS analysis. AFM revealed the changes in surface topography of plasma processed films. The gas mixture Ar + O 2 plasma influenced the remarkable improvement on the surface properties of a LDPE film compared with other gaseous plasmas. These physiochemical changes induced by the plasma on the surface facilitate to improve the adhesive strength and blood compatibility.

  14. Dynamics of Plasma-Surface Interactions using In-situ Ion Beam Analysis

    International Nuclear Information System (INIS)

    Whyte, D.G.

    2009-01-01

    The overall goal of this proposal was to develop an innovative experimental facility that would allow for the measurement of real-time response of a material surface to plasma bombardment by employing in-situ high-energy ion beam analysis. This facility was successfully developed and deployed at U. Wisconsin-Madison and was named DIONISOS (Dynamics of IONic Implantation and Sputtering on Surfaces). There were several major highlights to the DIONISOS research which we will briefly highlight below. The full technical details of the DIONISOS development, deployment and research results are contained in the Appendices which contain several peer-reviewed publications and a PhD thesis devoted to DIONISOS. The DIONISOS results on deuterium retention in molybdenum were chosen as an invited talk at the 2008 International Conference on Plasma-Surface Interactions in Toledo, Spain.

  15. Improving wettability of photo-resistive film surface with plasma surface modification for coplanar copper pillar plating of IC substrates

    International Nuclear Information System (INIS)

    Xiang, Jing; Wang, Chong; Chen, Yuanming; Wang, Shouxu; Hong, Yan; Zhang, Huaiwu; Gong, Lijun; He, Wei

    2017-01-01

    Highlights: • Air atmosphere plasmacould generatehydrophilic groups of photo-resistive film. • Better wettability of photo-resistive filmled tohigher plating uniformity of copper pillars. • New flow isreduced cost, simplified process and elevated productivity. - Abstract: The wettability of the photo-resistive film (PF) surfaces undergoing different pretreatments including the O_2−CF_4 low-pressure plasma (OCLP) and air plasma (AP), is investigated by water contact angle measurement instrument (WCAMI) before the bottom-up copper pillar plating. Chemical groups analysis performed by attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR) and X-ray photoelectron spectra (XPS) shows that after the OCLP and wash treatment, the wettability of PF surface is attenuated, because embedded fluorine and decreased oxygen content both enhance hydrophobicity. Compared with OCLP treatment, the PF surface treatment by non-toxic air plasma displays features of C−O, O−C=O, C=O and −NO_2 by AIR-FTIR and XPS, and a promoted wettability by WCAM. Under the identical electroplating condition, the surface with a better wettability allows electrolyte to spontaneously soak all the places of vias, resulting in improved copper pillar uniformity. Statistical analysis of metallographic data shows that more coplanar and flat copper pillars are achieved with the PF treatment of air plasma. Such modified copper-pillar-plating technology meets the requirement of accurate impedance, the high density interconnection for IC substrates.

  16. Effect of Energetic Plasma Flux on Flowing Liquid Lithium Surfaces

    Science.gov (United States)

    Kalathiparambil, Kishor; Jung, Soonwook; Christenson, Michael; Fiflis, Peter; Xu, Wenyu; Szott, Mathew; Ruzic, David

    2014-10-01

    An operational liquid lithium system with steady state flow driven by thermo-electric magneto-hydrodynamic force and capable of constantly refreshing the plasma exposed surface have been demonstrated at U of I. To evaluate the system performance in reactor relevant conditions, specifically to understand the effect of disruptive plasma events on the performance of the liquid metal PFCs, the setup was integrated to a pulsed plasma generator. A coaxial plasma generator drives the plasma towards a theta pinch which preferentially heats the ions, simulating ELM like flux, and the plasma is further guided towards the target chamber which houses the flowing lithium system. The effect of the incident flux is examined using diagnostic tools including triple Langmuir probe, calorimeter, rogowski coils, Ion energy analyzers, and fast frame spectral image acquisition with specific optical filters. The plasma have been well characterized and a density of ~1021 m-3, with electron temperature ~10 - 20 eV is measured, and final plasma velocities of 34 - 74 kms-1 have been observed. Calorimetric measurements using planar molybdenum targets indicate a maximum plasma energy (with 6 kV plasma gun and 20 kV theta pinch) of 0.08 MJm-2 with plasma divergence effects resulting in marginal reduction of 40 +/- 23 J in plasma energy. Further results from the other diagnostic tools, using the flowing lithium targets and the planar targets coated with lithium will be presented. DOE DE-SC0008587.

  17. Elementary processes in plasma-surface interactions with emphasis on ions

    International Nuclear Information System (INIS)

    Zalm, P.C.

    1985-01-01

    Elementary processes occurring at solid surfaces immersed in low pressure plasmas are reviewed. In particular mechanisms leading to anisotropic or directional etching are discussed. The crucial role of ion bombardment is emphasized. First a brief summary of the interaction of (excited) neutrals, ions and electrons with targets is given. Next various aspects of sputter-etching with noble gas and reactive ions are surveyed. Finally it will be argued that synergistic effects, invoked by ion bombardment of a surface under simultaneous exposure to a reactive gas flux, are foremost important in explaining anisotropic plasma etching. It is shown that the role of the ions is not merely to stimulate the chemical reaction path but rather that the active gas flow chemically enhances the sputtering. (author)

  18. Investigations of plasma induced effects on the surface properties of lignocellulosic natural coir fibres

    Energy Technology Data Exchange (ETDEWEB)

    Praveen, K.M., E-mail: praveenkmiiucnn@gmail.com [International and Inter University Centre for Nano Science and Nanotechnology (IIUCNN), Mahatma Gandhi University, Kottayam, Kerala (India); Centre de Recherche C.Huygens, LIMATB (Laboratoired’Ingénierie des Matériaux de Bretagne), Université De Bretagne-Sud, Rue stMaudé – BP 92116, Cedex Lorient 56321 Lorient (France); Thomas, Sabu [International and Inter University Centre for Nano Science and Nanotechnology (IIUCNN), Mahatma Gandhi University, Kottayam, Kerala (India); Grohens, Yves [Centre de Recherche C.Huygens, LIMATB (Laboratoired’Ingénierie des Matériaux de Bretagne), Université De Bretagne-Sud, Rue stMaudé – BP 92116, Cedex Lorient 56321 Lorient (France); Mozetič, Miran; Junkar, Ita; Primc, Gregor [Department of Surface Engineering, Jozef Stefan Institute, Jamovacesta 39, Ljubljana 1000 (Slovenia); Gorjanc, Marija [Faculty of Natural Sciences and Engineering, University of Ljubljana, Aškerčeva 12, Ljubljana 1000 (Slovenia)

    2016-04-15

    Graphical abstract: Plasma induced changes on the morphology of coir fibres (Viewed and Analysed using scanning electron microscopy, Jeol JSM 7600 FEG). The O{sub 2} plasma treated fibre possessed increased hydrophilicity due to the chemical and physical changes induced by plasma. - Highlights: • Plasma-induced effects on the surface properties of lignocellulosic natural coir fibres were investigated. • The morphological study using SEM analysis also confirmed the surface changes which were observed after plasma treatment. • The water absorption studies show an increase of water absorption from 39% to around 100%. • The topographic measurements done using atomic force microscopy (AFM) showed etching of fibre wall, and this is responsible for higher water absorption. • XPS analysis reveals that the oxygen content measured for samples treated at 50 Pa increased from initial 18 at% to about 32 at%. - Abstract: The development of lignocellulosic natural-fibre-reinforced polymers composites are constrained by two limitations: the upper temperature at which the fibre can be processed and the significant differences between the surface energy of the fibre and the polymer matrix. Since the fibres and matrices are chemically different, strong adhesion at their interface is needed for the effective transfer of stress and bond distribution throughout the interface. The present study investigated the plasma induced effects on the surface properties of natural coir fibres. Weakly ionized oxygen plasma was created in two different discharge chambers by an inductively coupled radiofrequency (RF) discharge. The water absorption studies showed an increase of water sorption from 39% to 100%. The morphological study using scanning electron microscopy (SEM) analysis also confirmed the surface changes which were observed after the plasma treatment. The topographic measurements and phase imaging done using atomic force microscopy (AFM) indicated difference in topographic

  19. Recovery of Tungsten Surface with Fiber-Form Nanostructure by Plasmas Exposures

    International Nuclear Information System (INIS)

    Miyamoto, Takanori; Takamura, Shuichi; Kurishita, Hiroaki

    2013-01-01

    One of the serious concerns for tungsten materials in fusion devices is the radiation defects caused by helium plasma irradiation since helium is a fusion product. The fiber-formed nanostructure is thought to have a possible weakness against the plasma heat flux on the plasma-facing component and also may destroy the reflectivity of optical mirrors. In this paper an interesting method for the recovery of such tungsten surfaces is shown. The recovery process depends on the grade and manufacturing process of tungsten materials. (fusion engineering)

  20. Surface chemical structure of poly(ethylene naphthalate) films during degradation in low-pressure high-frequency plasma treatments

    Science.gov (United States)

    Kamata, Noritsugu; Yuji, Toshifumi; Thungsuk, Nuttee; Arunrungrusmi, Somchai; Chansri, Pakpoom; Kinoshita, Hiroyuki; Mungkung, Narong

    2018-06-01

    The surface chemical structure of poly(ethylene naphthalate) (PEN) films treated with a low-pressure, high-frequency plasma was investigated by storing in a box at room temperature to protect the PEN film surface from dust. The functional groups on the PEN film surface changed over time. The functional groups of –C=O, –COH, and –COOH were abundant in the Ar + O2 mixture gas plasma-treated PEN samples as compared with those in untreated PEN samples. The changes occurred rapidly after 2 d following the plasma treatment, reaching steady states 8 d after the treatment. Hydrophobicity had an inverse relationship with the concentration of these functional groups on the surface. Thus, the effect of the low-pressure high-frequency plasma treatment on PEN varies as a function of storage time. This means that radical oxygen and oxygen molecules are clearly generated in the plasma, and this is one index to confirm that radical reaction has definitely occurred between the gas and the PEN film surface with a low-pressure high-frequency plasma.

  1. Plasma Surface Modification for Immobilization of Bone Morphogenic Protein-2 on Polycaprolactone Scaffolds

    Science.gov (United States)

    Kim, Byung Hoon; Myung, Sung Woon; Jung, Sang Chul; Ko, Yeong Mu

    2013-11-01

    The immobilization of recombinant human bone formation protein-2 (rhBMP-2) on polycaprolactone (PCL) scaffolds was performed by plasma polymerization. RhBMP-2, which induces osteoblast differentiation in various cell types, is a growth factor that plays an important role in bone formation and repair. The surface of the PCL scaffold was functionalized with the carboxyl groups of plasma-polymerized acrylic acid (PPAA) thin films. Plasma polymerization was carried out at a discharge power of 60 W at an acrylic acid flow rate of 7 sccm for 5 min. The PPAA thin film exhibited moderate hydrophilic properties and possessed a high density of carboxyl groups. Carboxyl groups and rhBMP-2 on the PCL scaffolds surface were identified by attenuated total reflection Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy, respectively. The alkaline phosphatase activity assay showed that the rhBMP-2 immobilized PCL scaffold increased the level of MG-63 cell differentiation. Plasma surface modification for the preparation of biomaterials, such as biofunctionalized polymer scaffolds, can be used for the binding of bioactive molecules in tissue engineering.

  2. The effect of surface nanocrystallization on plasma nitriding behaviour of AISI 4140 steel

    Energy Technology Data Exchange (ETDEWEB)

    Li Yang [Department of Materials Science and Engineering, Dalian Maritime University, Institute of Metals and Technology, 1 Linghai Street, Dalian 116026 (China); Wang Liang, E-mail: wlimt@yahoo.com [Department of Materials Science and Engineering, Dalian Maritime University, Institute of Metals and Technology, 1 Linghai Street, Dalian 116026 (China); Zhang Dandan; Shen Lie [Department of Materials Science and Engineering, Dalian Maritime University, Institute of Metals and Technology, 1 Linghai Street, Dalian 116026 (China)

    2010-11-15

    A plastic deformation surface layer with nanocrystalline grains was produced on AISI 4140 steel by means of surface mechanical attrition treatment (SMAT). Plasma nitriding of SMAT and un-SMAT AISI 4140 steel was carried out by a low-frequency pulse excited plasma unit. A series of nitriding experiments has been conducted at temperatures ranging from 380 to 500 deg. C for 8 h in an NH{sub 3} gas. The samples were characterized using X-ray diffraction, scanning electron microscopy, optical microscopy and Vickers microhardness tester. The results showed that a much thicker compound layer with higher hardness was obtained for the SMAT samples when compared with un-SMAT samples after nitriding at the low temperature. In particular, plasma nitriding SMAT AISI 4140 steel at 380 deg. C for 8 h can produced a compound layer of 2.5 {mu}m thickness with very high hardness on the surface, which is similar to un-SMAT samples were plasma nitrided at approximately 430 deg. C within the same time.

  3. Impact of initial surface parameters on the final quality of laser micro-polished surfaces

    Science.gov (United States)

    Chow, Michael; Bordatchev, Evgueni V.; Knopf, George K.

    2012-03-01

    Laser micro-polishing (LμP) is a new laser-based microfabrication technology for improving surface quality during a finishing operation and for producing parts and surfaces with near-optical surface quality. The LμP process uses low power laser energy to melt a thin layer of material on the previously machined surface. The polishing effect is achieved as the molten material in the laser-material interaction zone flows from the elevated regions to the local minimum due to surface tension. This flow of molten material then forms a thin ultra-smooth layer on the top surface. The LμP is a complex thermo-dynamic process where the melting, flow and redistribution of molten material is significantly influenced by a variety of process parameters related to the laser, the travel motions and the material. The goal of this study is to analyze the impact of initial surface parameters on the final surface quality. Ball-end micromilling was used for preparing initial surface of samples from H13 tool steel that were polished using a Q-switched Nd:YAG laser. The height and width of micromilled scallops (waviness) were identified as dominant parameter affecting the quality of the LμPed surface. By adjusting process parameters, the Ra value of a surface, having a waviness period of 33 μm and a peak-to-valley value of 5.9 μm, was reduced from 499 nm to 301 nm, improving the final surface quality by 39.7%.

  4. XPS and surface resistivity measurements of plasma - treated FEP co-polymer

    International Nuclear Information System (INIS)

    Pitrus, R.K.; Brack, N.; Liesegang, J.; Pigram, P.J.

    2002-01-01

    Full text: Fluorinated polymers such as fluorinated ethylene propylene (FEP) and poly(tetrafluoroethylene) (PTFE) play an important role in many applications due to their many desirable properties such as chemical resistivity, inertness, electrical stability and low dielectric constant; however, one disadvantage of fluorinated polymers is their extreme surface hydrophobicity. Previous studies show that plasma treatment will modify the surface by increasing the surface free energy and also offer a rapid and convenient method for pre-treating the polymers for many purposes. This paper, through resistivity and XPS (x-ray photoelectron spectroscopy) measurements, attempts to discover basic effects of such plasma treatment. Fluorinated ethylene propylene (FEP) co-polymer film of (0.05) mm thickness (obtained commercially) and with the following structure (CF 2 -CF 2 )-(CF(CF 3 )CF 2 )- was used. A suitable cleaning procedure was used to remove adventitious carbon from the surface. XPS has been used to study FEP film properties. The spectra of XPS were analyzed with the main focus on carbon and fluorine as they compose the elemental component of FEP film. A value of 2.05 was obtained for the F/C ratio, which is slightly higher than the theoretical F/C value estimated from the chemical structure of FEP (F/C 2). The clean film was then air plasma treated (pressure 10 -1 torr and power 30W) for various treatment times to produce a higher energy fluoropolymer surface. XPS studies investigated changes to the polymer surface and determined that oxidation occurs on the FEP surface. The oxidation reactions on the FEP surface form oxygen functional groups such as C-O and C=O groups. The results also show that the percentage of CF 2 and CF 3 in the co-polymer surface decreased with exposure time and the percentage of CF, C-C, C-O and C=O increased. There is a sharp decrease in F/C ratio and increase in O/C ratio. In addition to XPS, the resistivity of FEP-film was measured by a

  5. Enhancement of the Laser Transmission Weldability between Polyethylene and Polyoxymethylene by Plasma Surface Treatment

    Directory of Open Access Journals (Sweden)

    Huixia Liu

    2017-12-01

    Full Text Available Due to their large compatibility difference, polyethylene (PE and polyoxymethylene (POM cannot be welded together by laser transmission welding. In this study, PE and POM are pretreated using plasma that significantly enhances their laser transmission welding strength. To understand the mechanism underlying the laser welding strength enhancement, surface modification is analyzed using contact angle measurements, atomic force microscopy (AFM, optical microscopy, and X-ray photoelectron spectroscopy (XPS. Characterization results show that the plasma surface treatment improves the surface free energy, significantly enhancing the wettability of the materials. The increase in surface roughness and the generation of homogeneous bubbles contribute to the formation of mechanical micro-interlocking. The oxygen-containing groups introduced by the oxygen plasma treatment improve the compatibility of PE and POM, and facilitate the diffusion and entanglement of molecular chains and the formation of van der Waals force.

  6. Low-temperature oxidizing plasma surface modification and composite polymer thin-film fabrication techniques for tailoring the composition and behavior of polymer surfaces

    Science.gov (United States)

    Tompkins, Brendan D.

    This dissertation examines methods for modifying the composition and behavior of polymer material surfaces. This is accomplished using (1) low-temperature low-density oxidizing plasmas to etch and implant new functionality on polymers, and (2) plasma enhanced chemical vapor deposition (PECVD) techniques to fabricate composite polymer materials. Emphases are placed on the structure of modified polymer surfaces, the evolution of polymer surfaces after treatment, and the species responsible for modifying polymers during plasma processing. H2O vapor plasma modification of high-density polyethylene (HDPE), low-density polyethylene (LDPE), polypropylene (PP), polystyrene (PS), polycarbonate (PC), and 75A polyurethane (PU) was examined to further our understanding of polymer surface reorganization leading to hydrophobic recovery. Water contact angles (wCA) measurements showed that PP and PS were the most susceptible to hydrophobic recovery, while PC and HDPE were the most stable. X-ray photoelectron spectroscopy (XPS) revealed a significant quantity of polar functional groups on the surface of all treated polymer samples. Shifts in the C1s binding energies (BE) with sample age were measured on PP and PS, revealing that surface reorganization was responsible for hydrophobic recovery on these materials. Differential scanning calorimetry (DSC) was used to rule out the intrinsic thermal properties as the cause of reorganization and hydrophobic recovery on HDPE, LDPE, and PP. The different contributions that polymer cross-linking and chain scission mechanisms make to polymer aging effects are considered. The H2O plasma treatment technique was extended to the modification of 0.2 microm and 3.0 microm track-etched polycarbonate (PC-TE) and track-etched polyethylene terephthalate (PET-TE) membranes with the goal of permanently increasing the hydrophilicity of the membrane surfaces. Contact angle measurements on freshly treated and aged samples confirmed the wettability of the

  7. Three-dimensional rotational plasma flows near solid surfaces in an axial magnetic field

    Energy Technology Data Exchange (ETDEWEB)

    Gorshunov, N. M., E-mail: gorshunov-nm@nrcki.ru; Potanin, E. P., E-mail: potanin45@yandex.ru [National Research Center Kurchatov Institute (Russian Federation)

    2016-11-15

    A rotational flow of a conducting viscous medium near an extended dielectric disk in a uniform axial magnetic field is analyzed in the magnetohydrodynamic (MHD) approach. An analytical solution to the system of nonlinear differential MHD equations of motion in the boundary layer for the general case of different rotation velocities of the disk and medium is obtained using a modified Slezkin–Targ method. A particular case of a medium rotating near a stationary disk imitating the end surface of a laboratory device is considered. The characteristics of a hydrodynamic flow near the disk surface are calculated within the model of a finite-thickness boundary layer. The influence of the magnetic field on the intensity of the secondary flow is studied. Calculations are performed for a weakly ionized dense plasma flow without allowance for the Hall effect and plasma compressibility. An MHD flow in a rotating cylinder bounded from above by a retarding cap is considered. The results obtained can be used to estimate the influence of the end surfaces on the main azimuthal flow, as well as the intensities of circulating flows in various devices with rotating plasmas, in particular, in plasma centrifuges and laboratory devices designed to study instabilities of rotating plasmas.

  8. Surface chemical changes of atmospheric pressure plasma treated rabbit fibres important for felting process

    Energy Technology Data Exchange (ETDEWEB)

    Štěpánová, Vlasta, E-mail: vstepanova@mail.muni.cz [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Slavíček, Pavel; Stupavská, Monika; Jurmanová, Jana [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Černák, Mirko [Department of Physical Electronics, Faculty of Science Masaryk University, Kotlářská 2, 611 37 Brno (Czech Republic); Department of Experimental Physics, Faculty of Mathematics, Physics and Informatics, Comenius University, Mlynská dolina F2, 842 48 Bratislava (Slovakia)

    2015-11-15

    Graphical abstract: - Highlights: • Rabbit fibres plasma treatment is an effective method for fibres modification. • Atmospheric pressure plasma treatment is able to affect fibres properties. • Surface changes on fibres after plasma treatment were analysed via SEM, ATR-FTIR, XPS. • Significant increase of fibres wettability after plasma treatment was observed. • Plasma treatment at atmospheric pressure can replace the chemical treatment of fibres. - Abstract: We introduce the atmospheric pressure plasma treatment as a suitable procedure for in-line industrial application of rabbit fibres pre-treatment. Changes of rabbit fibre properties due to the plasma treatment were studied in order to develop new technology of plasma-based treatment before felting. Diffuse Coplanar Surface Barrier Discharge (DCSBD) in ambient air at atmospheric pressure was used for plasma treatment. Scanning electron microscopy was used for determination of the fibres morphology before and after plasma treatment. X-ray photoelectron spectroscopy and attenuated total reflectance-Fourier transform infrared spectroscopy were used for evaluation of reactive groups. The concentration of carbon decreased and conversely the concentration of nitrogen and oxygen increased after plasma treatment. Aging effect of plasma treated fibres was also investigated. Using Washburn method the significant increase of fibres wettability was observed after plasma treatment. New approach of pre-treatment of fibres before felting using plasma was developed. Plasma treatment of fibres at atmospheric pressure can replace the chemical method which consists of application of strong acids on fibres.

  9. Reversible switching of wetting properties and erasable patterning of polymer surfaces using plasma oxidation and thermal treatment

    Science.gov (United States)

    Rashid, Zeeshan; Atay, Ipek; Soydan, Seren; Yagci, M. Baris; Jonáš, Alexandr; Yilgor, Emel; Kiraz, Alper; Yilgor, Iskender

    2018-05-01

    Polymer surfaces reversibly switchable from superhydrophobic to superhydrophilic by exposure to oxygen plasma and subsequent thermal treatment are demonstrated. Two inherently different polymers, hydrophobic segmented polydimethylsiloxane-urea copolymer (TPSC) and hydrophilic poly(methyl methacrylate) (PMMA) are modified with fumed silica nanoparticles to prepare superhydrophobic surfaces with roughness on nanometer to micrometer scale. Smooth TPSC and PMMA surfaces are also used as control samples. Regardless of their chemical structure and surface topography, all surfaces display completely reversible wetting behavior changing from hydrophobic to hydrophilic and back for many cycles upon plasma oxidation followed by thermal annealing. Influence of plasma power, plasma exposure time, annealing temperature and annealing time on the wetting behavior of polymeric surfaces are investigated. Surface compositions, textures and topographies are characterized by X-ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM) and white light interferometry (WLI), before and after oxidation and thermal annealing. Wetting properties of the surfaces are determined by measuring their static, advancing and receding water contact angle. We conclude that the chemical structure and surface topography of the polymers play a relatively minor role in reversible wetting behavior, where the essential factors are surface oxidation and migration of polymer molecules to the surface upon thermal annealing. Reconfigurable water channels on polymer surfaces are produced by plasma treatment using a mask and thermal annealing cycles. Such patterned reconfigurable hydrophilic regions can find use in surface microfluidics and optofluidics applications.

  10. Modification of the surface properties of glass-ceramic materials at low-pressure RF plasma stream

    Science.gov (United States)

    Tovstopyat, Alexander; Gafarov, Ildar; Galeev, Vadim; Azarova, Valentina; Golyaeva, Anastasia

    2018-05-01

    The surface roughness has a huge effect on the mechanical, optical, and electronic properties of materials. In modern optical systems, the specifications for the surface accuracy and smoothness of substrates are becoming even more stringent. Commercially available pre-polished glass-ceramic substrates were treated with the radio frequency (RF) inductively coupled (13.56 MHz) low-pressure plasma to clean the surface of the samples and decrease the roughness. Optical emission spectroscopy was used to investigate the plasma stream parameters and phase-shifted interferometry to investigate the surface of the specimen. In this work, the dependence of RF inductively coupled plasma on macroscopic parameters was investigated with the focus on improving the surfaces. The ion energy, sputtering rate, and homogeneity were investigated. The improvements of the glass-ceramic surfaces from 2.6 to 2.2 Å root mean square by removing the "waste" after the previous operations had been achieved.

  11. Lactotransferrin in Asian elephant (Elephas maximus seminal plasma correlates with semen quality.

    Directory of Open Access Journals (Sweden)

    Wendy K Kiso

    Full Text Available Asian elephants (Elephas maximus have highly variable ejaculate quality within individuals, greatly reducing the efficacy of artificial insemination and making it difficult to devise a sperm cryopreservation protocol for this endangered species. Because seminal plasma influences sperm function and physiology, including sperm motility, the objectives of this study were to characterize the chemistry and protein profiles of Asian elephant seminal plasma and to determine the relationships between seminal plasma components and semen quality. Ejaculates exhibiting good sperm motility (≥65% expressed higher percentages of spermatozoa with normal morphology (80.3±13.0 vs. 44.9±30.8% and positive Spermac staining (51.9±14.5 vs. 7.5±14.4%, in addition to higher total volume (135.1±89.6 vs. 88.8±73.1 ml and lower sperm concentration (473.0±511.2 vs. 1313.8±764.7×10⁶ cells ml⁻¹ compared to ejaculates exhibiting poor sperm motility (≤10%; P<0.05. Comparison of seminal plasma from ejaculates with good versus poor sperm motility revealed significant differences in concentrations of creatine phosphokinase, alanine aminotransferase, phosphorus, sodium, chloride, magnesium, and glucose. These observations suggest seminal plasma influences semen quality in elephants. One- and two-dimensional (2D gel electrophoresis revealed largely similar compositional profiles of seminal plasma proteins between good and poor motility ejaculates. However, a protein of ∼80 kDa was abundant in 85% of ejaculates with good motility, and was absent in 90% of poor motility ejaculates (P<0.05. We used mass spectrometry to identify this protein as lactotransferrin, and immunoblot analysis to confirm this identification. Together, these findings lay a functional foundation for understanding the contributions of seminal plasma in the regulation of Asian elephant sperm motility, and for improving semen collection and storage in this endangered species.

  12. The relationship between cellular adhesion and surface roughness for polyurethane modified by microwave plasma radiation

    Directory of Open Access Journals (Sweden)

    Heidari S

    2011-04-01

    Full Text Available Saeed Heidari Keshel1, S Neda Kh Azhdadi2, Azadeh Asefnezhad2, Mohammad Sadraeian3, Mohamad Montazeri4, Esmaeil Biazar51Stem Cell Preparation Unit, Eye Research Center, Farabi Eye Hospital, Tehran University of Medical Sciences; 2Department of Biomaterial Engineering, Faculty of Biomedical Engineering, Science and Research Branch - Islamic Azad University; 3Young Researchers Club, Islamic Azad University, North Tehran Branch, Tehran; 4Faculty of Medical Sciences, Babol University of Medical Sciences, Babol; 5Department of Chemistry, Islamic Azad University, Tonekabon, IranAbstract: Surface modification of medical polymers is carried out to improve biocompatibility. In this study, conventional polyurethane was exposed to microwave plasma treatment with oxygen and argon gases for 30 seconds and 60 seconds. Attenuated total reflection Fourier transform infrared spectra investigations of irradiated samples indicated the presence of functional groups. Atomic force microscope images of samples irradiated with inert and active gases indicated the nanometric topography of the sample surfaces. Samples irradiated by oxygen plasma indicated high roughness compared with those irradiated by inert plasma for the different lengths of time. In addition, surface roughness increased with time, which can be due to a reduction of contact angle of samples irradiated by oxygen plasma. Contact angle analysis indicated a reduction in samples irradiated with both types of plasma. However, samples irradiated with oxygen plasma indicated lower contact angle compared with those irradiated by argon plasma. Cellular investigations with unrestricted somatic stem cells showed better adhesion, cell growth, and proliferation among samples radiated by oxygen plasma for longer than for normal samples.Keywords: surface topography, polyurethane, plasma treatment, cellular investigation

  13. Surface type conversion of CuInSe2 with H2S plasma exposure: A photoemission investigation

    International Nuclear Information System (INIS)

    Nelson, A.J.; Frigo, S.P.; Rosenberg, R.

    1995-01-01

    Surface type conversion of CuInSe 2 by H 2 S plasma exposure was studied by synchrotron radiation soft x-ray photoemission spectroscopy. The low power H 2 S plasma was generated with a commercial electron cyclotron resonance plasma source using pure H 2 S with the plasma exposure being performed at 400 degree C. In situ photoemission measurements were acquired after each plasma exposure in order to observe changes in the valence band electronic structure as well as changes in the In 4d and Se 3d core lines. The results were correlated in order to relate changes in surface chemistry to the electronic structure. These measurements indicate that the H 2 S plasma exposure type converts the n-type CuInSe 2 surface to a p-type surface at this elevated temperature and that the magnitude of the band bending is 0.5 eV, resulting in a homojunction interface. copyright 1995 American Vacuum Society

  14. Application of powerful quasi-steady-state plasma accelerators for simulation of ITER transient heat loads on divertor surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Tereshin, V I [Institute of Plasma Physics of the NSC KIPT, Kharkov 61108 (Ukraine); Bandura, A N [Institute of Plasma Physics of the NSC KIPT, Kharkov 61108 (Ukraine); Byrka, O V [Institute of Plasma Physics of the NSC KIPT, Kharkov 61108 (Ukraine); Chebotarev, V V [Institute of Plasma Physics of the NSC KIPT, Kharkov 61108 (Ukraine); Garkusha, I E [Institute of Plasma Physics of the NSC KIPT, Kharkov 61108 (Ukraine); Landman, I [Forschungszentrum Karlsruhe, IHM, Karlsruhe 76021 (Germany); Makhlaj, V A [Institute of Plasma Physics of the NSC KIPT, Kharkov 61108 (Ukraine); Neklyudov, I M [Institute of Plasma Physics of the NSC KIPT, Kharkov 61108 (Ukraine); Solyakov, D G [Institute of Plasma Physics of the NSC KIPT, Kharkov 61108 (Ukraine); Tsarenko, A V [Institute of Plasma Physics of the NSC KIPT, Kharkov 61108 (Ukraine)

    2007-05-15

    The paper presents the investigations of high power plasma interaction with material surfaces under conditions simulating the ITER disruptions and type I ELMs. Different materials were exposed to plasma with repetitive pulses of 250 {mu}s duration, the ion energy of up to 0.6 keV, and the heat loads varying in the 0.5-25 MJ m{sup -2} range. The plasma energy transfer to the material surface versus impact load has been analysed. The fraction of plasma energy that is absorbed by the target surface is rapidly decreased with the achievement of the evaporation onset for exposed targets. The distributions of evaporated material in front of the target surface and the thickness of the shielding layer are found to be strongly dependent on the target atomic mass. The surface analysis of tungsten targets exposed to quasi-steady-state plasma accelerators plasma streams is presented together with measurements of the melting onset load and evaporation threshold, and also of erosion patterns with increasing heat load and the number of plasma pulses.

  15. Zerodur polishing process for high surface quality and high efficiency

    International Nuclear Information System (INIS)

    Tesar, A.; Fuchs, B.

    1992-08-01

    Zerodur is a glass-ceramic composite importance in applications where temperature instabilities influence optical and mechanical performance, such as in earthbound and spaceborne telescope mirror substrates. Polished Zerodur surfaces of high quality have been required for laser gyro mirrors. Polished surface quality of substrates affects performance of high reflection coatings. Thus, the interest in improving Zerodur polished surface quality has become more general. Beyond eliminating subsurface damage, high quality surfaces are produced by reducing the amount of hydrated material redeposited on the surface during polishing. With the proper control of polishing parameters, such surfaces exhibit roughnesses of < l Angstrom rms. Zerodur polishing was studied to recommend a high surface quality polishing process which could be easily adapted to standard planetary continuous polishing machines and spindles. This summary contains information on a polishing process developed at LLNL which reproducibly provides high quality polished Zerodur surfaces at very high polishing efficiencies

  16. Study of Pulsed vs. RF Plasma Properties for Surface Processing Applications

    Science.gov (United States)

    Tang, Ricky; Hopkins, Matthew; Barnat, Edward; Miller, Paul

    2015-09-01

    The ability to manipulate the plasma parameters (density, E/N) was previously demonstrated using a double-pulsed column discharge. Experiments extending this to large-surface plasmas of interest to the plasma processing community were conducted. Differences between an audio-frequency pulsed plasma and a radio-frequency (rf) discharge, both prevalent in plasma processing applications, were studied. Optical emission spectroscopy shows higher-intensity emission in the UV/visible range for the pulsed plasma comparing to the rf plasma at comparable powers. Data suggest that the electron energy is higher for the pulsed plasma leading to higher ionization, resulting in increased ion density and ion flux. Diode laser absorption measurements of the concentration of the 1S5 metastable and 1S4 resonance states of argon (correlated with the plasma E/N) provide comparisons between the excitation/ionization states of the two plasmas. Preliminary modeling efforts suggest that the low-frequency polarity switch causes a much more abrupt potential variation to support interesting transport phenomena, generating a ``wave'' of higher temperature electrons leading to more ionization, as well as ``sheath capture'' of a higher density bolus of ions that are then accelerated during polarity switch.

  17. Atmospheric-Pressure Plasma Cleaning of Contaminated Surfaces

    International Nuclear Information System (INIS)

    Hicks, Robert F.; Selwyn, Gary S.

    2001-01-01

    Project was to develop a low-cost, environmentally benign technology for the decontamination and decommissioning of transuranic waste. With the invention of the atmospheric-pressure plasma jet the goal was achieved. This device selectively etches heavy metals from surfaces, rendering objects radiation free and suitable for decommissioning. The volatile reaction products are captured on filters, which yields a tremendous reduction in the volume of the waste. Studies on tantalum, a surrogate material for plutonium, have shown that etch rate of 6.0 microns per minute can be achieved under mild conditions. Over the past three years, we have made numerous improvements in the design of the plasma jet. It may now be operated for hundreds of hours and not undergo any degradation in performance. Furthermore, small compact units have been developed, which are easily deployed in the field

  18. Atmospheric-Pressure Plasma Cleaning of Contaminated Surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Robert F. Hicks; Gary S. Selwyn

    2001-01-09

    Project was to develop a low-cost, environmentally benign technology for the decontamination and decommissioning of transuranic waste. With the invention of the atmospheric-pressure plasma jet the goal was achieved. This device selectively etches heavy metals from surfaces, rendering objects radiation free and suitable for decommissioning. The volatile reaction products are captured on filters, which yields a tremendous reduction in the volume of the waste. Studies on tantalum, a surrogate material for plutonium, have shown that etch rate of 6.0 microns per minute can be achieved under mild conditions. Over the past three years, we have made numerous improvements in the design of the plasma jet. It may now be operated for hundreds of hours and not undergo any degradation in performance. Furthermore, small compact units have been developed, which are easily deployed in the field.

  19. High efficiency GaN-based LEDs using plasma selective treatment of p-GaN surface

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Young-Bae; Naoi, Yoshiki; Sakai, Shiro [Department of Electrical and Electronic Engineering, University of Tokushima, 2-1 Minami-josanjima, Tokushima 770-8506 (Japan); Takaki, Ryohei; Sato, Hisao [Nitride Semiconductor Co., Ltd., 115-7 Itayajima, Akinokami, Seto-cho, Naruto, Tokushima 771-0360 (Japan)

    2003-11-01

    We have studied a new method of increasing the extraction efficiency of a GaN-based light-emitting diode (LED) using a plasma surface treatment. In this method, prior to the evaporation of a semitransparent p-metal, the surface of a p-GaN located beneath a p-pad is selectively exposed to a nitrogen plasma in a reactive ion etching (RIE) chamber. The electrical characteristics of the plasma treated p-GaN remarkably changes its resistivity into semi-insulator without any parasitic damage. Since the LEDs with a new method have no light absorption in a p-pad region, a higher optical power can be extracted compared to a conventional LEDs without plasma selective treatment on the p-GaN surface. (copyright 2003 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Effects of O2 and H2O plasma immersion ion implantation on surface chemical composition and surface energy of poly vinyl chloride

    International Nuclear Information System (INIS)

    Zhang Wei; Chu, Paul K.; Ji Junhui; Zhang, Yihe; Jiang Zhimin

    2006-01-01

    Oxygen and water plasma immersion ion implantation (PIII) was used to modify poly vinyl chloride (PVC) to enhance oxygen-containing surface functional groups for more effective grafting. The modified surfaces were characterized by X-ray photoelectron spectroscopy (XPS), attenuated total reflection Fourier transform infrared spectroscopy (ATR-FTIR), and contact angle measurements. Our experimental results show that both oxygen and water PIII can greatly improve the O to C ratios on the surface. The optimal plasma processing conditions differ for the two treatments. The hydrophilicity and surface energy of the plasma-implanted PVC are also improved significantly. Our results indicate that O 2 and H 2 O PIII increase both the polar and dispersion interactions and consequently the surface energy. It can be explained by the large amount of oxygen introduced to the surface and that many C-C bonds are transformed into more polar oxygen containing functional groups

  1. Characterization of an atmospheric pressure air plasma source for polymer surface modification

    Science.gov (United States)

    Yang, Shujun; Tang, Jiansheng

    2013-10-01

    An atmospheric pressure air plasma source was generated through dielectric barrier discharge (DBD). It was used to modify polyethyleneterephthalate (PET) surfaces with very high throughput. An equivalent circuit model was used to calculate the peak average electron density. The emission spectrum from the plasma was taken and the main peaks in the spectrum were identified. The ozone density in the down plasma region was estimated by Absorption Spectroscopy. NSF and ARC-ODU

  2. Plasma Surface Interactions Common to Advanced Fusion Wall Materials and EUV Lithography - Lithium and Tin

    Science.gov (United States)

    Ruzic, D. N.; Alman, D. A.; Jurczyk, B. E.; Stubbers, R.; Coventry, M. D.; Neumann, M. J.; Olczak, W.; Qiu, H.

    2004-09-01

    Advanced plasma facing components (PFCs) are needed to protect walls in future high power fusion devices. In the semiconductor industry, extreme ultraviolet (EUV) sources are needed for next generation lithography. Lithium and tin are candidate materials in both areas, with liquid Li and Sn plasma material interactions being critical. The Plasma Material Interaction Group at the University of Illinois is leveraging liquid metal experimental and computational facilities to benefit both fields. The Ion surface InterAction eXperiment (IIAX) has measured liquid Li and Sn sputtering, showing an enhancement in erosion with temperature for light ion bombardment. Surface Cleaning of Optics by Plasma Exposure (SCOPE) measures erosion and damage of EUV mirror samples, and tests cleaning recipes with a helicon plasma. The Flowing LIquid surface Retention Experiment (FLIRE) measures the He and H retention in flowing liquid metals, with retention coefficients varying between 0.001 at 500 eV to 0.01 at 4000 eV.

  3. Formation of surface nano-structures by plasma expansion induced by highly charged ions

    Energy Technology Data Exchange (ETDEWEB)

    Moslem, W. M. [Department of Physics, Faculty of Science, Port Said University, Port Said (Egypt); Centre for Theoretical Physics, The British University in Egypt (BUE), El-Shorouk City, Cairo (Egypt) and International Centre for Advanced Studies in Physical Sciences, Faculty of Physics and Astronomy, Ruhr University Bochum, D-44780 Bochum (Germany); El-Said, A. S. [Physics Department, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Nuclear and Radiation Physics Laboratory, Physics Department, Faculty of Science, Mansoura University, 35516 Mansoura (Egypt) and Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf (HZDR), Bautzner Landstr. 128, 01328 Dresden (Germany)

    2012-12-15

    Slow highly charged ions (HCIs) create surface nano-structures (nano-hillocks) on the quartz surface. The formation of hillocks was only possible by surpassing a potential energy threshold. By using the plasma expansion approach with suitable hydrodynamic equations, the creation mechanism of the nano-hillocks induced by HCIs is explained. Numerical analysis reveal that within the nanoscale created plasma region, the increase of the temperature causes an increase of the self-similar solution validity domain, and consequently the surface nano-hillocks become taller. Furthermore, the presence of the negative (positive) nano-dust particles would lead to increase (decrease) the nano-hillocks height.

  4. High-quality electron beam generation in a proton-driven hollow plasma wakefield accelerator

    Science.gov (United States)

    Li, Y.; Xia, G.; Lotov, K. V.; Sosedkin, A. P.; Hanahoe, K.; Mete-Apsimon, O.

    2017-10-01

    Simulations of proton-driven plasma wakefield accelerators have demonstrated substantially higher accelerating gradients compared to conventional accelerators and the viability of accelerating electrons to the energy frontier in a single plasma stage. However, due to the strong intrinsic transverse fields varying both radially and in time, the witness beam quality is still far from suitable for practical application in future colliders. Here we demonstrate the efficient acceleration of electrons in proton-driven wakefields in a hollow plasma channel. In this regime, the witness bunch is positioned in the region with a strong accelerating field, free from plasma electrons and ions. We show that the electron beam carrying the charge of about 10% of 1 TeV proton driver charge can be accelerated to 0.6 TeV with a preserved normalized emittance in a single channel of 700 m. This high-quality and high-charge beam may pave the way for the development of future plasma-based energy frontier colliders.

  5. Lunar dusty plasma: A result of interaction of the solar wind flux and ultraviolet radiation with the lunar surface

    International Nuclear Information System (INIS)

    Lisin, E A; Tarakanov, V P; Petrov, O F; Popel, S I

    2015-01-01

    One of the main problems of future missions to the Moon is associated with lunar dust. Solar wind flux and ultraviolet radiation interact with the lunar surface. As a result, there is a substantial surface change and a near-surface plasma sheath. Dust particles from the lunar regolith, which turned in this plasma because of any mechanical processes, can levitate above the surface, forming dust clouds. In preparing of the space experiments “Luna-Glob” and “Luna-Resource” particle-in-cell calculations of the near-surface plasma sheath parameters are carried out. Here we present some new results of particle-in-cell simulation of the plasma sheath formed near the surface of the moon as a result of interaction of the solar wind and ultraviolet radiation with the lunar surface. The conditions of charging and stable levitation of dust particles in plasma above the lunar surface are also considered. (paper)

  6. Plasma arc cutting: speed and cut quality

    International Nuclear Information System (INIS)

    Nemchinsky, V A; Severance, W S

    2009-01-01

    When cutting metal with plasma arc cutting, the walls of the cut are narrower at the bottom than at the top. This lack of squareness increases as the cutting speed increases. A model of this phenomenon, affecting cut quality, is suggested. A thin liquid layer, which separates the plasma from the solid metal to be melted, plays a key role in the suggested model. This layer decreases heat transfer from the plasma to the solid metal; the decrease is more pronounced the higher the speed and the thicker the liquid metal layer. Since the layer is thicker at the bottom of the cut, the heat transfer effectiveness is lower at the bottom. The decrease in heat transfer effectiveness is compensated by the narrowness of the cut. The suggested model allows one to calculate the profile of the cut. The result of the calculations of the cutting speeds for plates of various thicknesses, at which the squareness of the cut is acceptable, agrees well with the speeds recommended by manufacturers. The second effect considered in the paper is the deflection of the plasma jet from the vertical at a high cutting speed. A qualitative explanation of this phenomenon is given. We believe the considerations of this paper are pertinent to other types of cutting with moving heat sources.

  7. Surface wave propagation in an ideal Hall-magnetohydrodynamic plasma jet in flowing environment

    International Nuclear Information System (INIS)

    Sikka, Himanshu; Kumar, Nagendra; Zhelyazkov, Ivan

    2004-01-01

    The behavior of the Hall-magnetohydrodynamic (Hall-MHD) sausage and kink waves is studied in the presence of steady flow. The influence of the flow both inside and outside the plasma slab is taken into account. The plasma in the environment is considered to be cold and moves with the different flow velocity outside the slab. In the limit of parallel propagation, dispersion relation is derived to discuss the propagation of both the modes. Numerical results for the propagation characteristics are obtained for different Alfvenic Mach number ratios inside and outside the slab. It is found that the dispersion curves for both surface modes, namely, the sausage and kink ones in cold plasma show complexities in their behavior in terms of multivalued portions of the curves. These multivalued portions correspond to the different normalized phase velocities for the same value of Alfvenic Mach number. In contrast to the conventional MHD surface waves which are assumed to be pure surface waves or pseudosurface waves, surface waves are obtained which are bulk waves for very small dimensionless wave numbers, then turn to leaky waves and finally transform to pure surface waves for values of dimensionless wave number greater than one

  8. Surface Flux Modeling for Air Quality Applications

    Directory of Open Access Journals (Sweden)

    Limei Ran

    2011-08-01

    Full Text Available For many gasses and aerosols, dry deposition is an important sink of atmospheric mass. Dry deposition fluxes are also important sources of pollutants to terrestrial and aquatic ecosystems. The surface fluxes of some gases, such as ammonia, mercury, and certain volatile organic compounds, can be upward into the air as well as downward to the surface and therefore should be modeled as bi-directional fluxes. Model parameterizations of dry deposition in air quality models have been represented by simple electrical resistance analogs for almost 30 years. Uncertainties in surface flux modeling in global to mesoscale models are being slowly reduced as more field measurements provide constraints on parameterizations. However, at the same time, more chemical species are being added to surface flux models as air quality models are expanded to include more complex chemistry and are being applied to a wider array of environmental issues. Since surface flux measurements of many of these chemicals are still lacking, resistances are usually parameterized using simple scaling by water or lipid solubility and reactivity. Advances in recent years have included bi-directional flux algorithms that require a shift from pre-computation of deposition velocities to fully integrated surface flux calculations within air quality models. Improved modeling of the stomatal component of chemical surface fluxes has resulted from improved evapotranspiration modeling in land surface models and closer integration between meteorology and air quality models. Satellite-derived land use characterization and vegetation products and indices are improving model representation of spatial and temporal variations in surface flux processes. This review describes the current state of chemical dry deposition modeling, recent progress in bi-directional flux modeling, synergistic model development research with field measurements, and coupling with meteorological land surface models.

  9. Plasma surface oxidation of 316L stainless steel for improving adhesion strength of silicone rubber coating to metal substrate

    Energy Technology Data Exchange (ETDEWEB)

    Latifi, Afrooz, E-mail: afroozlatifi@yahoo.com [Department of Biomaterials, Biomedical Engineering Faculty, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Imani, Mohammad [Novel Drug Delivery Systems Dept., Iran Polymer and Petrochemical Institute, P.O. Box 14965/115, Tehran (Iran, Islamic Republic of); Khorasani, Mohammad Taghi [Biomaterials Dept., Iran Polymer and Petrochemical Institute, P.O. Box 14965/159, Tehran (Iran, Islamic Republic of); Daliri Joupari, Morteza [Animal and Marine Biotechnology Dept., National Institute of Genetic Engineering and Biotechnology, P.O. Box 14965/161, Tehran (Iran, Islamic Republic of)

    2014-11-30

    Highlights: • Stainless steel 316L was surface modified by plasma surface oxidation (PSO) and silicone rubber (SR) coating. • On the PSO substrates, concentration of oxide species was increased ca. 2.5 times comparing to non-PSO substrates. • The surface wettability was improved to 12.5°, in terms of water contact angle, after PSO. • Adhesion strength of SR coating on the PSO substrates was improved by more than two times comparing to non-PSO ones. • After pull-off test, the fractured area patterns for SR coating were dependent on the type of surface modifications received. - Abstract: Stainless steel 316L is one of the most widely used materials for fabricating of biomedical devices hence, improving its surface properties is still of great interest and challenging in biomaterial sciences. Plasma oxidation, in comparison to the conventional chemical or mechanical methods, is one of the most efficient methods recently used for surface treatment of biomaterials. Here, stainless steel specimens were surface oxidized by radio-frequency plasma irradiation operating at 34 MHz under pure oxygen atmosphere. Surface chemical composition of the samples was significantly changed after plasma oxidation by appearance of the chromium and iron oxides on the plasma-oxidized surface. A wettable surface, possessing high surface energy (83.19 mN m{sup −1}), was observed after plasma oxidation. Upon completion of the surface modification process, silicone rubber was spray coated on the plasma-treated stainless steel surface. Morphology of the silicone rubber coating was investigated by scanning electron microscopy (SEM). A uniform coating was formed on the oxidized surface with no delamination at polymer–metal interface. Pull-off tests showed the lowest adhesion strength of coating to substrate (0.12 MPa) for untreated specimens and the highest (0.89 MPa) for plasma-oxidized ones.

  10. Modification of SrTiO3 single-crystalline surface after plasma flow treatment

    Energy Technology Data Exchange (ETDEWEB)

    Levin, Alexandr A.; Weissbach, Torsten; Leisegang, Tilmann; Meyer, Dirk C. [Institut fuer Strukturphysik, Technische Universitaet Dresden, 01062 Dresden (Germany); Kulagin, Nikolay A. [Kharkiv National University for Radioelectronics, av. Shakespeare 6-48, 61045 Kharkiv (Ukraine); Langer, Enrico [Institut fuer Festkoerperphysik, Technische Universitaet Dresden, 01062 Dresden (Germany)

    2009-07-01

    Surface of pure and transition metal-doped SrTiO3(STO) single crystals before and after hydrogen plasma-flow treatment (energy of 5..20 J/cm2) is investigated by wide-angle X-ray diffraction (WAXRD), fluorescence X-ray absorption near edge structure (XANES) and scanning electron microscopy (SEM) techniques. Plasma treatment results in the formation of a textured polycrystalline layer at the surface of the single-crystalline samples with different orientation. The formation of the quasi-ordered structures consisting of nanoscale-sized pyramids is observed by SEM. XANES evidences the change of the valency of the part of Ti4+ to Ti3+ due to the plasma treatment. The data obtained together with results of X-ray spectroscopy measurements gives evidences of the change of stoichiometry of the STO samples resulting in a change of their physical properties after plasma treatment.

  11. Hydrophilic property of 316L stainless steel after treatment by atmospheric pressure corona streamer plasma using surface-sensitive analyses

    Energy Technology Data Exchange (ETDEWEB)

    Al-Hamarneh, Ibrahim, E-mail: hamarnehibrahim@yahoo.com [Department of Physics, Faculty of Science, Al-Balqa Applied University, Salt 19117 (Jordan); Pedrow, Patrick [School of Electrical Engineering and Computer Science, Washington State University, Pullman, WA 99164 (United States); Eskhan, Asma; Abu-Lail, Nehal [Gene and Linda Voiland School of Chemical Engineering and Bioengineering, Washington State University, Pullman, WA 99164 (United States)

    2012-10-15

    Highlights: Black-Right-Pointing-Pointer Surface hydrophilic property of surgical-grade 316L stainless steel was enhanced by Ar-O{sub 2} corona streamer plasma treatment. Black-Right-Pointing-Pointer Hydrophilicity, surface morphology, roughness, and chemical composition before and after plasma treatment were evaluated. Black-Right-Pointing-Pointer Contact angle measurements and surface-sensitive analyses techniques, including XPS and AFM, were carried out. Black-Right-Pointing-Pointer Optimum plasma treatment conditions of the SS 316L surface were determined. - Abstract: Surgical-grade 316L stainless steel (SS 316L) had its surface hydrophilic property enhanced by processing in a corona streamer plasma reactor using O{sub 2} gas mixed with Ar at atmospheric pressure. Reactor excitation was 60 Hz ac high-voltage (0-10 kV{sub RMS}) applied to a multi-needle-to-grounded screen electrode configuration. The treated surface was characterized with a contact angle tester. Surface free energy (SFE) for the treated stainless steel increased measurably compared to the untreated surface. The Ar-O{sub 2} plasma was more effective in enhancing the SFE than Ar-only plasma. Optimum conditions for the plasma treatment system used in this study were obtained. X-ray photoelectron spectroscopy (XPS) characterization of the chemical composition of the treated surfaces confirms the existence of new oxygen-containing functional groups contributing to the change in the hydrophilic nature of the surface. These new functional groups were generated by surface reactions caused by reactive oxidation of substrate species. Atomic force microscopy (AFM) images were generated to investigate morphological and roughness changes on the plasma treated surfaces. The aging effect in air after treatment was also studied.

  12. Impact of plasma treatment under atmospheric pressure on surface chemistry and surface morphology of extruded and injection-molded wood-polymer composites (WPC)

    Science.gov (United States)

    Hünnekens, Benedikt; Avramidis, Georg; Ohms, Gisela; Krause, Andreas; Viöl, Wolfgang; Militz, Holger

    2018-05-01

    The influence of plasma treatment performed at atmospheric pressure and ambient air as process gas by a dielectric barrier discharge (DBD) on the morphological and chemical surface characteristics of wood-polymer composites (WPC) was investigated by applying several surface-sensitive analytical methods. The surface free energy showed a distinct increase after plasma treatment for all tested materials. The analyzing methods for surface topography-laser scanning microscopy (LSM) and atomic force microscopy (AFM)-revealed a roughening induced by the treatment which is likely due to a degradation of the polymeric surface. This was accompanied by the formation of low-molecular-weight oxidized materials (LMWOMs), appearing as small globular structures. With increasing discharge time, the nodules increase in size and the material degradation proceeds. The surface degradation seems to be more serious for injection-molded samples, whereas the formation of nodules became more apparent and were evenly distributed on extruded surfaces. These phenomena could also be confirmed by scanning electron microscopy (SEM). In addition, differences between extruded and injection-molded surfaces could be observed. Besides the morphological changes, the chemical composition of the substrates' surfaces was affected by the plasma discharge. Infrared spectroscopy (ATR-FTIR) and X-ray photoelectron spectroscopy (XPS) indicated the formation of new oxygen containing polar groups on the modified surfaces.

  13. Ion accumulation in an electron plasma confined on magnetic surfaces

    International Nuclear Information System (INIS)

    Berkery, John W.; Marksteiner, Quinn R.; Pedersen, Thomas Sunn; Kremer, Jason P.

    2007-01-01

    Accumulation of ions can alter and may destabilize the equilibrium of an electron plasma confined on magnetic surfaces. An analysis of ion sources and ion content in the Columbia Non-neutral Torus (CNT) [T.S. Pedersen, J.P. Kremer, R.G. Lefrancois, Q. Marksteiner, N. Pomphrey, W. Reiersen, F. Dahlgreen, and X. Sarasola, Fusion Sci. Technol. 50, 372 (2006)] is presented. In CNT ions are created preferentially at locations of high electron temperature, near the outer magnetic surfaces. A volumetric integral of n e ν iz gives an ion creation rate of 2.8x10 11 ions/s. This rate of accumulation would cause neutralization of a plasma with 10 11 electrons in about half a second. This is not observed experimentally, however, because currently in CNT ions are lost through recombination on insulated rods. From a steady-state balance between the calculated ion creation and loss rates, the equilibrium ion density in a 2x10 -8 Torr neutral pressure, 7.5x10 11 m -3 electron density plasma in CNT is calculated to be n i =6.2x10 9 m -3 , or 0.8%. The ion density is experimentally measured through the measurement of the ion saturation current on a large area probe to be about 6.0x10 9 m -3 for these plasmas, which is in good agreement with the predicted value

  14. High quality ceramic coatings sprayed by high efficiency hypersonic plasma spraying gun

    International Nuclear Information System (INIS)

    Zhu Sheng; Xu Binshi; Yao JiuKun

    2005-01-01

    This paper introduced the structure of the high efficiency hypersonic plasma spraying gun and the effects of hypersonic plasma jet on the sprayed particles. The optimised spraying process parameters for several ceramic powders such as Al 2 O 3 , Cr 2 O 3 , ZrO 2 , Cr 3 C 2 and Co-WC were listed. The properties and microstructure of the sprayed ceramic coatings were investigated. Nano Al 2 O 3 -TiO 2 ceramic coating sprayed by using the high efficiency hypersonic plasma spraying was also studied. Compared with the conventional air plasma spraying, high efficiency hypersonic plasma spraying improves greatly the ceramic coatings quality but at low cost. (orig.)

  15. Improving wettability of photo-resistive film surface with plasma surface modification for coplanar copper pillar plating of IC substrates

    Energy Technology Data Exchange (ETDEWEB)

    Xiang, Jing; Wang, Chong; Chen, Yuanming; Wang, Shouxu; Hong, Yan; Zhang, Huaiwu [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Gong, Lijun [Research and Development Department, Guangzhou Fastprint Circuit Tech Co., Ltd., Guangzhou 510663 (China); He, Wei, E-mail: heweiz@uestc.edu.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Research and Development Department, Guangdong Guanghua Sci-Tech Co., Ltd., Shantou 515000 (China)

    2017-07-31

    Highlights: • Air atmosphere plasmacould generatehydrophilic groups of photo-resistive film. • Better wettability of photo-resistive filmled tohigher plating uniformity of copper pillars. • New flow isreduced cost, simplified process and elevated productivity. - Abstract: The wettability of the photo-resistive film (PF) surfaces undergoing different pretreatments including the O{sub 2}−CF{sub 4} low-pressure plasma (OCLP) and air plasma (AP), is investigated by water contact angle measurement instrument (WCAMI) before the bottom-up copper pillar plating. Chemical groups analysis performed by attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR) and X-ray photoelectron spectra (XPS) shows that after the OCLP and wash treatment, the wettability of PF surface is attenuated, because embedded fluorine and decreased oxygen content both enhance hydrophobicity. Compared with OCLP treatment, the PF surface treatment by non-toxic air plasma displays features of C−O, O−C=O, C=O and −NO{sub 2} by AIR-FTIR and XPS, and a promoted wettability by WCAM. Under the identical electroplating condition, the surface with a better wettability allows electrolyte to spontaneously soak all the places of vias, resulting in improved copper pillar uniformity. Statistical analysis of metallographic data shows that more coplanar and flat copper pillars are achieved with the PF treatment of air plasma. Such modified copper-pillar-plating technology meets the requirement of accurate impedance, the high density interconnection for IC substrates.

  16. The interplay between surface charging and microscale roughness during plasma etching of polymeric substrates

    Science.gov (United States)

    Memos, George; Lidorikis, Elefterios; Kokkoris, George

    2018-02-01

    The surface roughness developed during plasma etching of polymeric substrates is critical for a variety of applications related to the wetting behavior and the interaction of surfaces with cells. Toward the understanding and, ultimately, the manipulation of plasma induced surface roughness, the interplay between surface charging and microscale roughness of polymeric substrates is investigated by a modeling framework consisting of a surface charging module, a surface etching model, and a profile evolution module. The evolution of initially rough profiles during plasma etching is calculated by taking into account as well as by neglecting charging. It is revealed, on the one hand, that the surface charging contributes to the suppression of root mean square roughness and, on the other hand, that the decrease of the surface roughness induces a decrease of the charging potential. The effect of charging on roughness is intense when the etching yield depends solely on the ion energy, and it is mitigated when the etching yield additionally depends on the angle of ion incidence. The charging time, i.e., the time required for reaching a steady state charging potential, is found to depend on the thickness of the polymeric substrate, and it is calculated in the order of milliseconds.

  17. Surface modification of polyester fabric with plasma pretreatment and carbon nanotube coating for antistatic property improvement

    Energy Technology Data Exchange (ETDEWEB)

    Wang, C.X., E-mail: cxwang@mail.dhu.edu.cn [College of Textiles and Clothing, Yancheng Institute of Technology, Jiangsu 224051 (China); Collaborative Innovation Center for Ecological Building Materials and Environmental Protection Equipments, Jiangsu 224051 (China); Key Laboratory for Advanced Technology in Environmental Protection, Jiangsu 224051 (China); Lv, J.C. [College of Textiles and Clothing, Yancheng Institute of Technology, Jiangsu 224051 (China); Ren, Y. [School of Textile and Clothing, Nantong University, Jiangsu 226019 (China); Zhi, T.; Chen, J.Y.; Zhou, Q.Q. [College of Textiles and Clothing, Yancheng Institute of Technology, Jiangsu 224051 (China); Lu, Z.Q.; Gao, D.W. [College of Textiles and Clothing, Yancheng Institute of Technology, Jiangsu 224051 (China); Collaborative Innovation Center for Ecological Building Materials and Environmental Protection Equipments, Jiangsu 224051 (China); Key Laboratory for Advanced Technology in Environmental Protection, Jiangsu 224051 (China); Jin, L.M. [Shanghai Institute of Applied Physics, Chinese Academy of Sciences, Shanghai 201204 (China)

    2015-12-30

    Graphical abstract: - Highlights: • PET was finished by plasma treatment and SWCNT coating to improve antistatic property. • Plasma modification had a positive effect on SWCNT coating on PET fiber surface. • O{sub 2} plasma was more effective in SWCNT coating than Ar plasma in the shorter time. • Antistatic enhanced and then declined with enhancing treatment time and output power. • Antistatic increased with increasing concentration, curing time, curing temperature. - Abstract: This study introduced a green method to prepare antistatic polyester (PET) fabrics by plasma pretreatment and single-walled carbon nanotube (SWCNT) coating. The influences of plasma conditions and SWCNT coating parameters on antistatic property of PET fabrics were investigated. PET fabrics were pretreated under various plasma conditions such as different treatment times, output powers and working gases, and then SWCNT coating on the plasma treated PET fabrics was carried out by coating-dry-cure using various coating parameters including different SWCNT concentrations, curing times and curing temperatures. PET fabrics were characterized by scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS) and volume resistivity. SEM and XPS analysis of the plasma treated PET fabrics revealed the increase in surface roughness and oxygen/nitrogen containing groups on the PET fiber surface. SEM and XPS analysis of the plasma treated and SWCNT coated PET fabrics indicated the SWCNT coating on PET fiber surface. The plasma treated and SWCNT coated PET fabrics exhibited a good antistatic property, which increased and then decreased with the increasing plasma treatment time and output power. The antistatic property of the O{sub 2} plasma treated and SWCNT coated PET fabric was better and worse than that of N{sub 2} or Ar plasma treated and SWCNT coated PET fabric in the shorter treatment time and the longer treatment time, respectively. In addition, the antistatic property of the

  18. Surface modification of polyester fabric with plasma pretreatment and carbon nanotube coating for antistatic property improvement

    International Nuclear Information System (INIS)

    Wang, C.X.; Lv, J.C.; Ren, Y.; Zhi, T.; Chen, J.Y.; Zhou, Q.Q.; Lu, Z.Q.; Gao, D.W.; Jin, L.M.

    2015-01-01

    Graphical abstract: - Highlights: • PET was finished by plasma treatment and SWCNT coating to improve antistatic property. • Plasma modification had a positive effect on SWCNT coating on PET fiber surface. • O 2 plasma was more effective in SWCNT coating than Ar plasma in the shorter time. • Antistatic enhanced and then declined with enhancing treatment time and output power. • Antistatic increased with increasing concentration, curing time, curing temperature. - Abstract: This study introduced a green method to prepare antistatic polyester (PET) fabrics by plasma pretreatment and single-walled carbon nanotube (SWCNT) coating. The influences of plasma conditions and SWCNT coating parameters on antistatic property of PET fabrics were investigated. PET fabrics were pretreated under various plasma conditions such as different treatment times, output powers and working gases, and then SWCNT coating on the plasma treated PET fabrics was carried out by coating-dry-cure using various coating parameters including different SWCNT concentrations, curing times and curing temperatures. PET fabrics were characterized by scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS) and volume resistivity. SEM and XPS analysis of the plasma treated PET fabrics revealed the increase in surface roughness and oxygen/nitrogen containing groups on the PET fiber surface. SEM and XPS analysis of the plasma treated and SWCNT coated PET fabrics indicated the SWCNT coating on PET fiber surface. The plasma treated and SWCNT coated PET fabrics exhibited a good antistatic property, which increased and then decreased with the increasing plasma treatment time and output power. The antistatic property of the O 2 plasma treated and SWCNT coated PET fabric was better and worse than that of N 2 or Ar plasma treated and SWCNT coated PET fabric in the shorter treatment time and the longer treatment time, respectively. In addition, the antistatic property of the plasma treated

  19. O2 Plasma Etching and Antistatic Gun Surface Modifications for CNT Yarn Microelectrode Improve Sensitivity and Antifouling Properties.

    Science.gov (United States)

    Yang, Cheng; Wang, Ying; Jacobs, Christopher B; Ivanov, Ilia N; Venton, B Jill

    2017-05-16

    Carbon nanotube (CNT) based microelectrodes exhibit rapid and selective detection of neurotransmitters. While different fabrication strategies and geometries of CNT microelectrodes have been characterized, relatively little research has investigated ways to selectively enhance their electrochemical properties. In this work, we introduce two simple, reproducible, low-cost, and efficient surface modification methods for carbon nanotube yarn microelectrodes (CNTYMEs): O 2 plasma etching and antistatic gun treatment. O 2 plasma etching was performed by a microwave plasma system with oxygen gas flow and the optimized time for treatment was 1 min. The antistatic gun treatment flows ions by the electrode surface; two triggers of the antistatic gun was the optimized number on the CNTYME surface. Current for dopamine at CNTYMEs increased 3-fold after O 2 plasma etching and 4-fold after antistatic gun treatment. When the two treatments were combined, the current increased 12-fold, showing the two effects are due to independent mechanisms that tune the surface properties. O 2 plasma etching increased the sensitivity due to increased surface oxygen content but did not affect surface roughness while the antistatic gun treatment increased surface roughness but not oxygen content. The effect of tissue fouling on CNT yarns was studied for the first time, and the relatively hydrophilic surface after O 2 plasma etching provided better resistance to fouling than unmodified or antistatic gun treated CNTYMEs. Overall, O 2 plasma etching and antistatic gun treatment improve the sensitivity of CNTYMEs by different mechanisms, providing the possibility to tune the CNTYME surface and enhance sensitivity.

  20. Surface modification of polyethylene by diffuse barrier discharge plasma

    Czech Academy of Sciences Publication Activity Database

    Novák, I.; Števiar, M.; Popelka, A.; Chodák, I.; Mosnáček, J.; Špírková, Milena; Janigová, I.; Kleinová, A.; Sedliačik, J.; Šlouf, Miroslav

    2013-01-01

    Roč. 53, č. 3 (2013), s. 516-523 ISSN 0032-3888 R&D Projects: GA AV ČR(CZ) IAAX08240901 Institutional research plan: CEZ:AV0Z40500505 Keywords : low-density polyethylene * plasma discharge * surface modification Subject RIV: JI - Composite Materials Impact factor: 1.441, year: 2013

  1. Experimental demonstration of Martian soil simulant removal from a surface using a pulsed plasma jet

    Science.gov (United States)

    Ticoş, C. M.; Scurtu, A.; Toader, D.; Banu, N.

    2015-03-01

    A plasma jet produced in a small coaxial plasma gun operated at voltages up to 2 kV and working in pure carbon dioxide (CO2) at a few Torr is used to remove Martian soil simulant from a surface. A capacitor with 0.5 mF is charged up from a high voltage source and supplies the power to the coaxial electrodes. The muzzle of the coaxial plasma gun is placed at a few millimeters near the dusty surface and the jet is fired parallel with the surface. Removal of dust is imaged in real time with a high speed camera. Mars regolith simulant JSC-Mars-1A with particle sizes up to 5 mm is used on different types of surfaces made of aluminium, cotton fabric, polyethylene, cardboard, and phenolic.

  2. Experimental demonstration of Martian soil simulant removal from a surface using a pulsed plasma jet.

    Science.gov (United States)

    Ticoş, C M; Scurtu, A; Toader, D; Banu, N

    2015-03-01

    A plasma jet produced in a small coaxial plasma gun operated at voltages up to 2 kV and working in pure carbon dioxide (CO2) at a few Torr is used to remove Martian soil simulant from a surface. A capacitor with 0.5 mF is charged up from a high voltage source and supplies the power to the coaxial electrodes. The muzzle of the coaxial plasma gun is placed at a few millimeters near the dusty surface and the jet is fired parallel with the surface. Removal of dust is imaged in real time with a high speed camera. Mars regolith simulant JSC-Mars-1A with particle sizes up to 5 mm is used on different types of surfaces made of aluminium, cotton fabric, polyethylene, cardboard, and phenolic.

  3. The hidden radiation chemistry in plasma modification and XPS analysis of polymer surfaces

    International Nuclear Information System (INIS)

    George, G.A.; Le, T.T.; Elms, F.M.; Wood, B.J.

    1996-01-01

    Full text: The surface modification of polymers using plasma treatments is being widely researched to achieve changes in the surface energetics and consequent wetting and reactivity for a range of applications. These include i) adhesion for polymer bonding and composite material fabrication and ii) biocompatibility of polymers when used as orthopedic implants, catheters and prosthetics. A low pressure rf plasma produces a variety of species from the introduced gas which may react with the surface of a hydrocarbon polymer, such as polyethylene. In the case of 0 2 and H 2 0, these species include oxygen atoms, singlet molecular oxygen and hydroxyl radicals, all of which may oxidise and, depending on their energy, ablate the polymer surface. In order to better understand the reactive species formed both in and downstream from a plasma and the relative contributions of oxidation and ablation, self-assembled monolayers of n-alkane thiols on gold are being used as well characterised substrates for quantitative X-ray photoelectron spectroscopy (XPS). The identification and quantification of oxidised carbon species on plasma treated polymers from broad, asymmetric XPS signals is difficult, so derivatisation is often used to enhance sensitivity and specificity. For example, trifluoroacetic anhydride (TFAA) selectively labels hydroxyl functionality. The surface analysis of a modified polymer surface may be confounded by high energy radiation chemistry which may occur during XPS analysis. Examples include scission of carbon-halogen bonds (as in TFM adducts), decarboxylation and main-chain polyene formation. The extent of free-radical chemistry occurring in polyethylene while undergoing XPS analysis may be seen by both ESR and FT-IR analysis

  4. Deposition and surface treatment of Ag-embedded indium tin oxide by plasma processing

    International Nuclear Information System (INIS)

    Kim, Jun Young; Kim, Jae-Kwan; Kim, Ja-Yeon; Kwon, Min-Ki; Yoon, Jae-Sik; Lee, Ji-Myon

    2013-01-01

    Ag-embedded indium tin oxide (ITO) films were deposited on Corning 1737 glass by radio-frequency magnetron sputtering under an Ar or Ar/O 2 mixed gas ambient with a combination of ITO and Ag targets that were sputtered alternately by switching on and off the shutter of the sputter gun. The effects of a subsequent surface treatment using H 2 and H 2 + O 2 mixed gas plasma were also examined. The specific resistance of the as-deposited Ag-embedded ITO sample was lower than that of normal ITO. The transmittance was quenched when Ag was incorporated in ITO. To enhance the specific resistance of Ag-embedded ITO, a surface treatment was conducted using H 2 or H 2 + O 2 mixed gas plasma. Although all samples showed improved specific resistance after the H 2 plasma treatment, the transmittance was quenched due to the formation of agglomerated metals on the surface. The specific resistance of the film was improved without any deterioration of the transmittance after a H 2 + O 2 mixed gas plasma treatment. - Highlights: • Ag-embedded indium tin oxide was deposited. • The contact resistivity was decreased by H 2 + O 2 plasma treatment. • The process was carried out at room temperature without thermal treatment. • The mechanism of enhancing the contact resistance was clarified

  5. Numerical investigation of aerodynamic flow actuation produced by surface plasma actuator on 2D oscillating airfoil

    Directory of Open Access Journals (Sweden)

    Minh Khang Phan

    2016-08-01

    Full Text Available Numerical simulation of unsteady flow control over an oscillating NACA0012 airfoil is investigated. Flow actuation of a turbulent flow over the airfoil is provided by low current DC surface glow discharge plasma actuator which is analytically modeled as an ion pressure force produced in the cathode sheath region. The modeled plasma actuator has an induced pressure force of about 2 kPa under a typical experiment condition and is placed on the airfoil surface at 0% chord length and/or at 10% chord length. The plasma actuator at deep-stall angles (from 5° to 25° is able to slightly delay a dynamic stall and to weaken a pressure fluctuation in down-stroke motion. As a result, the wake region is reduced. The actuation effect varies with different plasma pulse frequencies, actuator locations and reduced frequencies. A lift coefficient can increase up to 70% by a selective operation of the plasma actuator with various plasma frequencies and locations as the angle of attack changes. Active flow control which is a key advantageous feature of the plasma actuator reveals that a dynamic stall phenomenon can be controlled by the surface plasma actuator with less power consumption if a careful control scheme of the plasma actuator is employed with the optimized plasma pulse frequency and actuator location corresponding to a dynamic change in reduced frequency.

  6. Atmospheric plasma surface modifications of electrospun PCL/chitosan/PCL hybrid scaffolds by nozzle type plasma jets for usage of cell cultivation

    Science.gov (United States)

    Surucu, Seda; Masur, Kai; Turkoglu Sasmazel, Hilal; Von Woedtke, Thomas; Weltmann, Klaus Dieter

    2016-11-01

    This paper reports Ar gas, Ar + O2, Ar + O2 + N2 gas mixtures and dry air plasma modifications by atmospheric pressure argon driven kINPen and air driven Diener (PlasmaBeam) plasma jets to alter surface properties of three dimensional (3D), electrospun PCL/Chitosan/PCL layer by layer hybrid scaffolds to improve human fibroblast (MRC5) cell attachment and growth. The characterizations of the samples were done by contact angle (CA) measurements, scanning electron microscopy (SEM), X-Ray Photoelectron spectroscopy (XPS) analysis. The results showed that the plasma modification carried out under dry air and Ar + O2 + N2 gas mixtures were altered effectively the nanotopography and the functionality of the material surfaces. It was found that the samples treated with Ar + O2 + N2 gas mixtures for 1 min and dry air for 9 min have better hydrophilicity 78.9° ± 1.0 and 75.6° ± 0.1, respectively compared to the untreated samples (126.5°). Biocompatibility performance of the scaffolds was determined with alamarBlue (aB) assay and MTT assay methods, Giemsa staining, fluorescence microscope, confocal laser scanning microscope (CLSM) and scanning electron microscope (SEM) analyses. The results showed that plasma treated samples increased the hydrophilicity and oxygen functionality and topography of the surfaces significantly, thus affecting the cell viability and proliferation on/within scaffolds.

  7. Enhancing Plasma Surface Modification using high Intensity and high Power Ultrasonic Acoustic Waves

    DEFF Research Database (Denmark)

    2010-01-01

    high intensity and high power acoustic waves (102) by at least one ultrasonic high intensity and high power acoustic wave generator (101 ), wherein the ultrasonic acoustic waves are directed to propagate towards said surface (314) of the object (100) so that a laminar boundary layer (313) of a gas...... or a mixture of gases (500) flow in contact with said solid object (100) is thinned or destructed for at least a part of said surface (314). In this way, the plasma can more efficiently access and influence the surface of the solid object to be treated by the plasma, which speeds the process time up...

  8. Surface modification effects of fluorine-doped tin dioxide by oxygen plasma ion implantation

    Science.gov (United States)

    Tang, Peng; Liu, Cai; Zhang, Jingquan; Wu, Lili; Li, Wei; Feng, Lianghuan; Zeng, Guanggen; Wang, Wenwu

    2018-04-01

    SnO2:F (FTO), as a kind of transparent conductive oxide (TCO), exhibits excellent transmittance and conductivity and is widely used as transparency electrodes in solar cells. It's very important to modifying the surface of FTO for it plays a critical role in CdTe solar cells. In this study, modifying effects of oxygen plasma on FTO was investigated systematically. Oxygen plasma treatment on FTO surface with ion accelerating voltage ranged from 0.4 kV to 1.6 kV has been processed. The O proportion of surface was increased after ion implantation. The Fermi level of surface measurement by XPS valance band spectra was lowered as the ion accelerating voltage increased to 1.2 kV and then raised as accelerating voltage was elevated to 1.6 kV. The work function measured by Kelvin probe force microscopy increased after ion implanting, and it was consistent with the variation of Fermi level. The change of energy band structure of FTO surface mainly originated from the surface composition variation. As FTO conduction was primarily due to oxyanion hole, the carrier was electron and its concentration was reduced while O proportion was elevated at the surface of FTO, as a result, the Fermi level lowered and the work function was enlarged. It was proved that oxygen plasma treatment is an effective method to modulate the energy band structure of the surface as well as other properties of FTO, which provides much more space for interface and surface modification and then photoelectric device performance promotion.

  9. Theoretical and numerical studies on the transport of transverse beam quality in plasma-based accelerators

    International Nuclear Information System (INIS)

    Mehrling, Timon Johannes

    2014-11-01

    This work examines effects, which impact the transverse quality of electron-beams in plasma-based accelerators, by means of theoretical and numerical methods. Plasma-based acceleration is a promising candidate for future particle accelerator technologies. In plasma-based acceleration, highly intense laser beams or high-current relativistic particle beams are focused into a plasma to excite plasma-waves with extreme transverse and longitudinal electric fields. The amplitude of these fields exceed with 10-100 GV/m the ones in today's radio-frequency accelerators by several orders of magnitude, hence, in principle allowing for accordingly shorter and cheaper accelerators based on plasma. Despite the tremendous progress in the recent decade, beams from plasma accelerators are not yet achieving the quality as demanded for pivotal applications of relativistic electron-beams, e.g. free-electron lasers (FELs).Studies within this work examine how the quality can be optimized in the production of the beams and preserved during the acceleration and transport to the interaction region. Such studies cannot be approached purely analytical but necessitate numerical methods, such as the Particle-In-Cell (PIC) method, which can model kinetic, electrodynamic and relativistic plasma phenomena. However, this method is computationally too expensive for parameter-scans in three-dimensional geometries. Hence, a quasi-static PIC code was developed in connection with this work, which is significantly more effective than the full PIC method for a class of problems in plasma-based acceleration.The evolution of the emittance of beams which are injected into plasma modules was studied in this work by means of theoretical and the above numerical methods. It was shown that the beam parameters need to be matched accurately into the focusing plasma-channel in order to allow for beam-quality preservation. This suggested that new extraction and injection-techniques are required in staged plasma

  10. Orienting Block Copolymer Thin Films via Entropy and Surface Plasma Treatment

    Science.gov (United States)

    Ho, Rong-Ming; Lu, Kai-Yuan; Lo, Ting-Ya; Dehghan, Ashkan; Shi, An-Chang; Prokopios, Georgopanos; Avgeropoulos, Apostolos

    Controlling the orientation of nanostructured thin films of block copolymers (BCPs) is essential for next generation lithography. In the thin-film state, how to achieve the perpendicular orientation of the nanostructured microdomains remains challenging due to the interfacial effects from the air and also the substrate, especially for the blocks with silicon containing segments which usually have different surface energies, favoring parallel microdomain orientation. Here, we show that entropic effect can be used to control the orientation of BCP thin films. Specifically, we used the architecture of star-block copolymers consisting of polystyrene (PS) and poly(dimethylsiloxane) (PDMS) blocks to regulate the entropic contribution to the self-assembled nanostructures. Moreover, we aim to achieve the formation of perpendicular orientation from the air surface via surface plasma treatment to neutralize the interfacial energy difference. By combining the architecture effect (entropy effect) on BCP self-assembly and the surface plasma treatment (enthalpy effect), well-defined perpendicular PDMS microdomains in the PS-b-PDMS thin film can be formed from the bottom of non-neutral substrate and the top of the thin film surface, giving great potential for lithographic applications.

  11. Surface nanostructuring in the carbon–silicon(100) system upon microwave plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Yafarov, R. K., E-mail: pirpc@yandex.ru; Shanygin, V. Ya. [Russian Academy of Sciences, Kotel’nikov Institute of Radio Engineering and Electronics, Saratov Branch (Russian Federation)

    2017-04-15

    The study is concerned with the physical and chemical processes and the mechanisms of the effect of plasma preparation of a surface on the systematic features of condensation and surface phase transformations during the formation of Si–C mask domains on p-Si(100) crystals by the deposition of submonolayer C coatings in the microwave plasma of low-pressure ethanol vapors. It is shown that, at short durations of the deposition of carbon onto silicon wafers with a natural-oxide coating at a temperature of 100°C, the formation of domains is observed. The lateral dimensions of the domains lie in the range from 10–15 to 200 nm, and the heights of ridges produced by the plasma chemical etching of silicon through the mask domain coatings vary in the range from 40 to 80 nm.

  12. Plasma polymerization surface modification of Carbon black and its effect in elastomers

    NARCIS (Netherlands)

    Mathew, T.; Datta, Rabin; Dierkes, Wilma K.; Talma, Auke; Ooij, W.J.; Noordermeer, Jacobus W.M.

    2011-01-01

    Surface modification of carbon black by plasma polymerization was aimed to reduce its surface energy in order to compatibilize the filler with various elastomers. A fullerenic carbon black was used for the modification process. Thermogravimetric analysis, wetting behavior with liquids of known

  13. EFFECTS OF LASER RADIATION ON MATTER. LASER PLASMA: Thresholds of surface plasma formation by the interaction of laser pulses with a metal

    Science.gov (United States)

    Borets-Pervak, I. Yu; Vorob'ev, V. S.

    1995-04-01

    An analysis is made of a model of the formation of a surface laser plasma which takes account of the heating and vaporisation of thermally insulated surface microdefects. This model is used in an interpretation of experiments in which such a plasma has been formed by irradiation of a titanium target with microsecond CO2 laser pulses. A comparison with the experimental breakdown intensities is used to calculate the average sizes of microdefects and their concentration: the results are in agreement with the published data. The dependence of the delay time of plasma formation on the total energy in a laser pulse is calculated.

  14. Simulation calculations of physical sputtering and reflection coefficient of plasma-irradiated carbon surface

    International Nuclear Information System (INIS)

    Kawamura, T.; Ono, T.; Yamamura, Y.

    1994-08-01

    Physical sputtering yields from the carbon surface irradiated by the boundary plasma are obtained with the use of a Monte Carlo simulation code ACAT. The yields are calculated for many random initial energy and angle values of incident protons or deuterons with a Maxwellian velocity distribution, and then averaged. Here the temperature of the boundary plasma, the sheath potential and the angle δ between the magnetic field line and the surface normal are taken into account. A new fitting formula for an arrangement of the numerical data of sputtering yield is introduced, in which six fitting parameters are determined from the numerical results and listed. These results provide a way to estimate the erosion of carbon materials irradiated by boundary plasma. The particle reflection coefficients for deuterons and their neutrals from a carbon surface are also calculated by the same code and presented together with, for comparison, that for the case of monoenergetic normal incidence. (author)

  15. Effect of plasma surface functionalization on preosteoblast cells spreading and adhesion on a biomimetic hydroxyapatite layer formed on a titanium surface

    International Nuclear Information System (INIS)

    Myung, Sung Woon; Ko, Yeong Mu; Kim, Byung Hoon

    2013-01-01

    This study examined the plasma surface modification of biomimetic hydroxyapatite (HAp) formed on a titanium (Ti) surface as well as its influence on the behavior of preosteoblast cells. Ti substrates pre-treated with a plasma-polymerized thin film rich in carboxyl groups were subjected to a biomimetic process in a simulated body fluid solution to synthesize the HAp. The HAp layer grown on Ti substrate was then coated with two types of plasma polymerized acrylic acid and allyl amine thin film. The different types of Ti substrates were characterized by attenuated total reflection Fourier transform infrared spectroscopy, energy dispersive spectroscopy and X-ray diffraction. HAp with a Ca/P ratio from 1.25 to 1.38 was obtained on the Ti substrate and hydrophilic carboxyl (-COOH) and amine (-NH 2 ) functional groups were introduced to its surface. Scanning electron microscopy was used to observe the surface of the HAp coatings and the morphology of MC3T3-E1 cells. These results showed that the -COOH-modified HAp surfaces promoted the cell spreading synergistically by changing the surface morphology and chemical state.-NH 2 modified HAp had the lowest cell spreading and proliferation compared to HAp and -COOH-modified HAp. These results correspond to fluorescein analysis, which showed many more cell spreading of COOH/HAp/Ti surface compared to HAp and NH 2 modified HAp. A MTT assay was used to evaluate cell proliferation. The results showed that the proliferation of MC3T3-E1 cells increased in the order of COOH/HAp/Ti > HAp/Ti > NH 2 /Ti > Ti, corresponding to the effect of cell spreading for 6 days. The change in morphology and the chemical surface properties of the biomaterial via plasma polymerization can affect the behavior of MC3T3-E1 cells.

  16. Effect of plasma surface functionalization on preosteoblast cells spreading and adhesion on a biomimetic hydroxyapatite layer formed on a titanium surface

    Energy Technology Data Exchange (ETDEWEB)

    Myung, Sung Woon; Ko, Yeong Mu; Kim, Byung Hoon, E-mail: kim5055@chosun.ac.kr

    2013-12-15

    This study examined the plasma surface modification of biomimetic hydroxyapatite (HAp) formed on a titanium (Ti) surface as well as its influence on the behavior of preosteoblast cells. Ti substrates pre-treated with a plasma-polymerized thin film rich in carboxyl groups were subjected to a biomimetic process in a simulated body fluid solution to synthesize the HAp. The HAp layer grown on Ti substrate was then coated with two types of plasma polymerized acrylic acid and allyl amine thin film. The different types of Ti substrates were characterized by attenuated total reflection Fourier transform infrared spectroscopy, energy dispersive spectroscopy and X-ray diffraction. HAp with a Ca/P ratio from 1.25 to 1.38 was obtained on the Ti substrate and hydrophilic carboxyl (-COOH) and amine (-NH{sub 2}) functional groups were introduced to its surface. Scanning electron microscopy was used to observe the surface of the HAp coatings and the morphology of MC3T3-E1 cells. These results showed that the -COOH-modified HAp surfaces promoted the cell spreading synergistically by changing the surface morphology and chemical state.-NH{sub 2} modified HAp had the lowest cell spreading and proliferation compared to HAp and -COOH-modified HAp. These results correspond to fluorescein analysis, which showed many more cell spreading of COOH/HAp/Ti surface compared to HAp and NH{sub 2} modified HAp. A MTT assay was used to evaluate cell proliferation. The results showed that the proliferation of MC3T3-E1 cells increased in the order of COOH/HAp/Ti > HAp/Ti > NH{sub 2}/Ti > Ti, corresponding to the effect of cell spreading for 6 days. The change in morphology and the chemical surface properties of the biomaterial via plasma polymerization can affect the behavior of MC3T3-E1 cells.

  17. PEO-like Plasma Polymers Prepared by Atmospheric Pressure Surface Dielectric Barrier Discharge

    Czech Academy of Sciences Publication Activity Database

    Gordeev, I.; Choukourov, A.; Šimek, Milan; Prukner, Václav; Biederman, H.

    2012-01-01

    Roč. 9, č. 8 (2012), s. 782-791 ISSN 1612-8850 R&D Projects: GA ČR(CZ) GD104/09/H080 Institutional research plan: CEZ:AV0Z20430508 Keywords : fibrinogen * non-fouling properties * PEO * plasma polymerization * surface dielectric barrier discharge Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 3.730, year: 2012

  18. Influences of the cold atmospheric plasma jet treatment on the properties of the demineralized dentin surfaces

    Science.gov (United States)

    Xiaoming, ZHU; Heng, GUO; Jianfeng, ZHOU; Xiaofei, ZHANG; Jian, CHEN; Jing, LI; Heping, LI; Jianguo, TAN

    2018-04-01

    Improvement of the bonding strength and durability between the dentin surface and the composite resin is a challenging job in dentistry. In this paper, a radio-frequency atmospheric-pressure glow discharge (RF-APGD) plasma jet is employed for the treatment of the acid-etched dentin surfaces used for the composite restoration. The properties of the plasma treated dentin surfaces and the resin-dentin interfaces are analyzed using the x-ray photoemission spectroscopy, contact angle goniometer, scanning electron microscope and microtensile tester. The experimental results show that, due to the abundant chemically reactive species existing in the RF-APGD plasma jet under a stable and low energy input operating mode, the contact angle of the plasma-treated dentin surfaces decreases to a stable level with the increase of the atomic percentage of oxygen in the specimens; the formation of the long resin tags in the scattered clusters and the hybrid layers at the resin-dentin interfaces significantly improve the bonding strength and durability. These results indicate that the RF-APGD plasma jet is an effective tool for modifying the chemical properties of the dentin surfaces, and for improving the immediate bonding strength and the durability of the resin-dentin bonding in dentistry.

  19. Measurements and Simulations of Surface Dielectric Barrier Discharges Used as Plasma Actuators

    Science.gov (United States)

    Hoskinson, Alan R.

    2012-01-01

    This report is a Ph.D. dissertation performed under NRA cooperative agreement and submitted as part of the final report. Asymmetric surface dielectric barrier discharges (DBDs) have shown promise for use as aerodynamic actuators for active flow control. In this project we studied DBD actuators experimentally and numerically. Our DBDs used a symmetric triangular high voltage waveform to generate plasma in atmospheric pressure air. Time-averaged measurements indicated that the induced force of a single barrier actuator design (one electrode insulated from the plasma) can be increased exponentially above the results of previous studies by decreasing both the length and thickness of the electrode exposed to the plasma. This increased force may allow these devices to control flow separation in a wider range of flow environments. Experiments using an intensified digital camera to examine the plasma on time scales of a few nanoseconds showed that, in addition to the previously-observed filamentary and jet-like plasma structures, discharges with very thin exposed electrodes exhibited a weak but constant plasma immediately adjacent to those electrodes. In double-barrier actuators (both electrodes insulated), decreasing the diameter of the narrower electrode lead to increasing forces, and recorded images showed the simultaneous existence of both filamentary and jet-like plasma structures. The development and application of a time-dependent, two-dimensional computational fluid plasma model has aided in understanding the detailed physics of surface DBDs at all-time scales. For simulated single-barrier discharges, the model qualitatively reproduced the filamentary and jet-like micro-discharge structures. The model was somewhat successful in reproducing the observed characteristics of double-barrier actuators. For both actuator geometries, the model indicated that the majority of the forces induced on the neutral gas occur in between micro-discharges as the plasmas decay.

  20. Quality-control design for surface-water sampling in the National Water-Quality Network

    Science.gov (United States)

    Riskin, Melissa L.; Reutter, David C.; Martin, Jeffrey D.; Mueller, David K.

    2018-04-10

    The data-quality objectives for samples collected at surface-water sites in the National Water-Quality Network include estimating the extent to which contamination, matrix effects, and measurement variability affect interpretation of environmental conditions. Quality-control samples provide insight into how well the samples collected at surface-water sites represent the true environmental conditions. Quality-control samples used in this program include field blanks, replicates, and field matrix spikes. This report describes the design for collection of these quality-control samples and the data management needed to properly identify these samples in the U.S. Geological Survey’s national database.

  1. Honeycomb surface-plasma negative-ion source

    International Nuclear Information System (INIS)

    Bel'chenko, Yu.I.

    1983-01-01

    A honeycomb surface-plasma source (SPS) of negative hydrogen ions the cathode of which consists of a great number of cells with spherical-concave surfaces, is described. Negative ions, knocked off the cathode by cesium-hydrogen discharge fast particles are accelerated in the near-cathode potential drop layer and focused geometrically on small emission apertures in the anode. Due to this, the gas and energy efficiency of the source is increased and the power density on the cathode is decreased. The H - yield is proportional to the number of celts. A pulse beam of negative ions with current up to 4 A is obtained and accelerated to 25 kV from the cathode effective area of 10.6 cm 2 through emission ports of 0.5 cm 2 total area. The honeycomb SPSs with a greater number of cells are promising as regards obtaining negative ion-beams with the current of scores of amperes

  2. The Diagnosis of Plasma Parameters in Surface Alloying Technique by Optical Emission Spectrometry

    International Nuclear Information System (INIS)

    Fu Yabo; Zhang Yuefei; Chen Qiang; Zhang Guangqiu; Gao Yuan; Wang Jianzhong; Kui Xiaoyun

    2006-01-01

    Electron density (Ne) in a glow discharge plasma for the surface alloying technique is diagnosed by optical emission spectrometry (OES). With CH 4 as the feeding gas, Ne is obtained by comparing the Hβ spectrum according to the Stark broadening effect. It is noticed that Ne varies with the working pressures (30 Pa to 70 Pa) and cathode voltages (500 V to 1000 V), respectively. Due to an abnormal glow discharge, Ne is between 1.71x10 15 /cm 3 to 6.64x10 15 /cm 3 and increases rapidly with working gas pressures and cathode voltages. The results show that OES is a useful method to measure the plasma parameters in a surface alloying glow discharge plasma

  3. Atmospheric cold plasma inactivation of aerobic microorganisms on blueberries and effects on quality attributes.

    Science.gov (United States)

    Lacombe, Alison; Niemira, Brendan A; Gurtler, Joshua B; Fan, Xuetong; Sites, Joseph; Boyd, Glenn; Chen, Haiqiang

    2015-04-01

    Cold plasma (CP) is a novel nonthermal technology, potentially useful in food processing settings. Berries were treated with atmospheric CP for 0, 15, 30, 45, 60, 90, or 120 s at a working distance of 7.5 cm with a mixture of 4 cubic feet/minute (cfm) of CP jet and 7 cfm of ambient air. Blueberries were sampled for total aerobic plate count (APC) and yeast/molds immediately after treatment and at 1, 2, and 7 days. Blueberries were also analyzed for compression firmness, surface color, and total anthocyanins immediately after each treatment. All treatments with CP significantly (P blueberries and could be optimized to improve the safety and quality of produce. Published by Elsevier Ltd.

  4. Surface plasmon resonance investigation of optical detection in plasma-modified phospholipid layers

    International Nuclear Information System (INIS)

    Park, Byoungchoo; Cho, Chanyoun; Choi, Kyoungho; Jeon, Honggoo

    2012-01-01

    We herein report on a study of surface plasmon resonance (SPR) in thin gold (Au) films coated with thin layers of phospholipid material, which had been exposed to an atmospheric pressure (AP) plasma containing both pure Ar and Ar mixed with O 2 (Ar/O 2 , 0.8%). The phospholipid material that we used for the SPR experiments was lecithin, and the AP plasma system was applied in air by means of a radio-frequency (RF) plasma generator. A thin (∼60 nm) film of Au and a thin (∼15 nm) layer of lecithin were deposited and attached to the face of a prism, and surface plasmon modes were excited along the interfaces of the prism-Au-lecithin-air system by means of prism coupling using a He-Ne Laser (632.8 nm). The experimental SPR reflectance curves of the Au-lecithin-air modes were found to be shifted towards those of the Au-air mode with increasing applications of AP RF plasma treatment. From the shifts in the SPR curves, we found that the estimated thickness of the lecithin layer treated with a pure Ar plasma showed a linear decrease with etching rate of about 3 nm per treatment while the thickness of the lecithin layer treated with a mixed Ar/O 2 plasma showed a tendency to saturate following a large initial decrease (ca. 14 nm). All these results demonstrate that the use of SPR sensing could facilitate the detection of extremely small variations in plasma-treated films of biomaterials.

  5. Experimentally obtained values of electric field of an atmospheric pressure plasma jet impinging on a dielectric surface

    NARCIS (Netherlands)

    Sobota, A.; Guaitella, O.; Garcia-Caurel, E.

    2013-01-01

    We report on experimentally obtained values of the electric field magnitude on a dielectric surface induced by an impinging atmospheric pressure plasma jet. The plasma plume was striking the dielectric surface at an angle of 45¿, at 5mm from the surface measured at the axis of the jet. The results

  6. Surface currents associated with external kink modes in tokamak plasmas during a major disruption

    Science.gov (United States)

    Ng, C. S.; Bhattacharjee, A.

    2017-10-01

    The surface current on the plasma-vacuum interface during a disruption event involving kink instability can play an important role in driving current into the vacuum vessel. However, there have been disagreements over the nature or even the sign of the surface current in recent theoretical calculations based on idealized step-function background plasma profiles. We revisit such calculations by replacing step-function profiles with more realistic profiles characterized by a strong but finite gradient along the radial direction. It is shown that the resulting surface current is no longer a delta-function current density, but a finite and smooth current density profile with an internal structure, concentrated within the region with a strong plasma pressure gradient. Moreover, this current density profile has peaks of both signs, unlike the delta-function case with a sign opposite to, or the same as the plasma current. We show analytically and numerically that such current density can be separated into two parts, with one of them, called the convective current density, describing the transport of the background plasma density by the displacement, and the other part that remains, called the residual current density. It is argued that consideration of both types of current density is important and can resolve past controversies.

  7. Surface cracking and melting of different tungsten grades under transient heat and particle loads in a magnetized coaxial plasma gun

    Science.gov (United States)

    Kikuchi, Y.; Sakuma, I.; Iwamoto, D.; Kitagawa, Y.; Fukumoto, N.; Nagata, M.; Ueda, Y.

    2013-07-01

    Surface damage of pure tungsten (W), W alloys with 2 wt.% tantalum (W-Ta) and vacuum plasma spray (VPS) W coating on a reduced activation material of ferritic steel (F82H) due to repetitive ELM-like pulsed (˜0.3 ms) deuterium plasma irradiation has been investigated by using a magnetized coaxial plasma gun. Surface cracks appeared on a pure W sample exposed to 10 plasma pulses of ˜0.3 MJ m-2, while a W-Ta sample did not show surface cracks with similar pulsed plasma irradiation. The energy density threshold for surface cracking was significantly increased by the existence of the alloying element of tantalum. No surface morphology change of a VPS W coated F82H sample was observed under 10 plasma pulses of ˜0.3 MJ m-2, although surface melting and cracks in the resolidification layer occurred at higher energy density of ˜0.9 MJ m-2. There was no indication of exfoliation of the W coating from the substrate of F82H after the pulsed plasma exposures.

  8. Removal of carbon contaminations by RF plasma generated reactive species and subsequent effects on optical surface

    Energy Technology Data Exchange (ETDEWEB)

    Yadav, P. K., E-mail: praveenyadav@rrcat.gov.in; Rai, S. K.; Modi, M. H.; Nayak, M.; Lodha, G. S. [Indus Synchrotron Utilization Division, Raja Ramanna Centre for Advanced Technology, Indore-452013 (India); Kumar, M.; Chakera, J. A.; Naik, P. A. [Laser Plasma Laboratory, Laser Plasma Division, Raja Ramanna Centre for Advanced Technology, Indore-452013 (India)

    2015-06-24

    Carbon contamination on optical elements is a serious issue in synchrotron beam lines for several decades. The basic mechanism of carbon deposition on optics and cleaning strategies are not fully understood. Carbon growth mechanism and optimized cleaning procedures are worldwide under development stage. Optimized RF plasma cleaning is considered an active remedy for the same. In present study carbon contaminated optical test surfaces (carbon capped tungsten thin film) are exposed for 30 minutes to four different gases, rf plasma at constant power and constant dynamic pressure. Structural characterization (thickness, roughness and density) of virgin samples and plasma exposed samples was done by soft x-ray (λ=80 Å) reflectivity measurements at Indus-1 reflectivity beam line. Different gas plasma removes carbon with different rate (0.4 to 0.65 nm /min). A thin layer 2 to 9 nm of different roughness and density is observed at the top surface of tungsten film. Ar gas plasma is found more suitable for cleaning of tungsten surface.

  9. Superhydrophobic nanostructured Kapton® surfaces fabricated through Ar + O2 plasma treatment: Effects of different environments on wetting behaviour

    Science.gov (United States)

    Barshilia, Harish C.; Ananth, A.; Gupta, Nitant; Anandan, C.

    2013-03-01

    Kapton® [poly (4,4'-oxy diphenylene pyromellitimide)] polyimides have widespread usage in semiconductor devices, solar arrays, protective coatings and space applications, due to their excellent chemical and physical properties. In addition to their inherent properties, imparting superhydrophobicity on these surfaces will be an added advantage. Present work describes the usage of Ar + O2 plasma treatment for the preparation of superhydrophobic Kapton® surfaces. Immediately after the plasma treatment, the surfaces showed superhydrophilicity as a result of high energy dangling bonds and polar group concentration. But the samples kept in low vacuum for 48 h exhibited superhydrophobicity with high water contact angles (>150°). It is found that the post plasma treatment process, called ageing, especially in low vacuum plays an important role in delivering superhydrophobic property to Kapton®. Field emission scanning electron microscopy and atomic force microscopy were used to probe the physical changes in the surface of the Kapton®. The surfaces showed formation of nano-feathers and nano-tussock microstructures with variation in surface roughness against plasma treatment time. A thorough chemical investigation was performed using Fourier transform infrared spectroscopy and micro-Raman spectroscopy, which revealed changes in the surface of the Ar + O2 plasma treated Kapton®. Surface chemical species of Kapton® were confirmed again by X-ray photoelectron spectroscopy spectra for untreated surfaces whereas Ar + O2 plasma treated samples showed the de-bonding and re-organization of structural elements. Creation of surface roughness plays a dominant role in the contribution of superhydrophobicity to Kapton® apart from the surface modifications due to Ar + O2 plasma treatment and ageing in low vacuum.

  10. Correlation between Fe–V–C alloys surface hardness and plasma temperature via LIBS technique

    Energy Technology Data Exchange (ETDEWEB)

    Messaoud Aberkane, S., E-mail: smessaoud@cdta.dz [Centre de Développement des Technologies Avancées, Baba Hassen, Alger (Algeria); Bendib, A. [Université des Sciences et de Technologie Houari Boumediene, Bab-Ezzouar, Alger (Algeria); Yahiaoui, K.; Boudjemai, S.; Abdelli-Messaci, S.; Kerdja, T. [Centre de Développement des Technologies Avancées, Baba Hassen, Alger (Algeria); Amara, S.E. [Université des Sciences et de Technologie Houari Boumediene, Bab-Ezzouar, Alger (Algeria); Harith, M.A. [National Institute of Laser Enhanced Science, Cairo University (Egypt)

    2014-05-01

    Highlights: • New application of LIBS in industry. • Hardness of metallic alloys estimation using LIBS calibration curves. • Linear correlation between the plasma temperature and the hardness of metallic alloys. • The shock wave is fast when the material is hard. - Abstract: Surface hardness is a very important characteristic of metals. Its monitoring plays a key role in industry. In the present paper, using laser induced breakdown spectroscopy (LIBS), Fe–V{sub 18%}–C{sub 1%} alloys with different heat treatments have been used for making the correlation between surface hardness and laser-induced plasma temperatures. All investigated samples were characterized by the same ferrite phase with different Vickers surface hardnesses. The differences in hardness values were attributed to the crystallite size changes. A linear relationship has been obtained between the Vickers surface hardness and the laser induced plasma temperature. For comparison the relation between surface hardness and the ratio of the vanadium ionic to atomic spectral lines intensities (VII/VI) provided good linear results too. However, adopting the proposed approach of using the plasma temperature, instead, is more reliable in view of the difficulties that could be encountered in choosing the proper ionic and atomic spectral lines. To validate this approach we have investigated the shock wave speed induced by laser interaction with the used samples. It was found that harder is the material faster is the shock wave. The determination of the surface hardness via measuring T{sub e} shows the feasibility of using LIBS as an easy and reliable method for in situ industrial application for production control.

  11. Comparison of some effects of modification of a polylactide surface layer by chemical, plasma, and laser methods

    Energy Technology Data Exchange (ETDEWEB)

    Moraczewski, Krzysztof, E-mail: kmm@ukw.edu.pl [Department of Materials Engineering, Kazimierz Wielki University, Department of Materials Engineering, ul. Chodkiewicza 30, 85-064 Bydgoszcz (Poland); Rytlewski, Piotr [Department of Materials Engineering, Kazimierz Wielki University, Department of Materials Engineering, ul. Chodkiewicza 30, 85-064 Bydgoszcz (Poland); Malinowski, Rafał [Institute for Engineering of Polymer Materials and Dyes, ul. M. Skłodowskiej–Curie 55, 87-100 Toruń (Poland); Żenkiewicz, Marian [Department of Materials Engineering, Kazimierz Wielki University, Department of Materials Engineering, ul. Chodkiewicza 30, 85-064 Bydgoszcz (Poland)

    2015-08-15

    Highlights: • We modified polylactide surface layer with chemical, plasma or laser methods. • We tested selected properties and surface structure of modified samples. • We stated that the plasma treatment appears to be the most beneficial. - Abstract: The article presents the results of studies and comparison of selected properties of the modified PLA surface layer. The modification was carried out with three methods. In the chemical method, a 0.25 M solution of sodium hydroxide in water and ethanol was utilized. In the plasma method, a 50 W generator was used, which produced plasma in the air atmosphere under reduced pressure. In the laser method, a pulsed ArF excimer laser with fluency of 60 mJ/cm{sup 2} was applied. Polylactide samples were examined by using the following techniques: scanning electron microscopy (SEM), atomic force microscopy (AFM), goniometry and X-ray photoelectron spectroscopy (XPS). Images of surfaces of the modified samples were recorded, contact angles were measured, and surface free energy was calculated. Qualitative and quantitative analyses of chemical composition of the PLA surface layer were performed as well. Based on the survey it was found that the best modification results are obtained using the plasma method.

  12. Surface and corrosion characteristics of carbon plasma implanted and deposited nickel-titanium alloy

    International Nuclear Information System (INIS)

    Poon, R.W.Y.; Liu, X.Y.; Chung, C.Y.; Chu, P.K.; Yeung, K.W.K.; Lu, W.W.; Cheung, K.M.C.

    2005-01-01

    Nickel-titanium shape memory alloys (NiTi) are potentially useful in orthopedic implants on account of their super-elastic and shape memory properties. However, the materials are prone to surface corrosion and the most common problem is out-diffusion of harmful Ni ions from the substrate into body tissues and fluids. In order to improve the corrosion resistance and related surface properties, we used the technique of plasma immersion ion implantation and deposition to deposit an amorphous hydrogenated carbon coating onto NiTi and implant carbon into NiTi. Both the deposited amorphous carbon film and carbon plasma implanted samples exhibit much improved corrosion resistances and surface mechanical properties and possible mechanisms are suggested

  13. Investigation of surface treatment of conductive wire in cylindrical atmospheric pressure plasmas

    International Nuclear Information System (INIS)

    Ye Rubin; Kagohashi, Tsutomu; Zheng Wei

    2009-01-01

    Polyethylene insulated electric wire was treated in He and Ar dielectric barrier discharge atmospheric pressure plasmas generated in a quartz tube wound with tubular electrodes. The wire was put penetrating through the high voltage and the grounded electrodes, improving the discharge and facilitating uniform surface treatment. In this work, the influences of conductivity of the wire on the effects of surface treatment and discharge behavior were investigated. Surface properties of the wire samples were analyzed by means of surface energy measurement and X-ray photoelectron spectroscopy. In order to reveal the mechanism for treating the conductive wire, I-V discharge waveforms were measured and time-resolved plasma images were taken. It was demonstrated that the conductive wire was involved in the discharge process, reducing the breakdown voltage significantly and enhancing the discharge. It shows that the discharge mode was strongly dependent on the conductivity of a wire. Intensive surface discharges developed along the conductive wire were found to be mainly responsible for noticeable improvement in the treatment effect.

  14. Product surface hardening in non-self-sustained glow discharge plasma before synthesis of superhard coatings

    International Nuclear Information System (INIS)

    Krasnov, P S; Metel, A S; Nay, H A

    2017-01-01

    Before the synthesis of superhard coating, the product surface is hardened by means of plasma nitriding, which prevents the surface deformations and the coating brittle rupture. The product heating by ions accelerated from plasma by applied to the product bias voltage leads to overheating and blunting of the product sharp edges. To prevent the blunting, it is proposed to heat the products with a broad beam of fast nitrogen molecules. The beam injection into a working vacuum chamber results in filling of the chamber with quite homogeneous plasma suitable for nitriding. Immersion in the plasma of the electrode and heightening of its potential up to 50–100 V initiate a non-self-sustained glow discharge between the electrode and the chamber. It enhances the plasma density by an order of magnitude and reduces its spatial nonuniformity down to 5–10%. When a cutting tool is isolated from the chamber, it is bombarded by plasma ions with an energy corresponding to its floating potential, which is lower than the sputtering threshold. Hence, the sharp edges are sputtered only by fast nitrogen molecules with the same rate as other parts of the tool surface. This leads to sharpening of the cutting tools instead of blunting. (paper)

  15. Luminescent, water-soluble silicon quantum dots via micro-plasma surface treatment

    International Nuclear Information System (INIS)

    Wu, Jeslin J; Siva Santosh Kumar Kondeti, Vighneswara; Bruggeman, Peter J; Kortshagen, Uwe R

    2016-01-01

    Silicon quantum dots (SiQDs), with their broad absorption, narrow and size-tunable emission, and potential biocompatibility are highly attractive materials in biological imaging applications. The inherent hydrophobicity and instability of hydrogen-terminated SiQDs are obstacles to their widespread implementation. In this work, we successfully produced highly luminescent, hydrophilic SiQDs with long-term stability in water using non-thermal plasma techniques. Hydrogen-terminated SiQDs were produced in a low-pressure plasma and subsequently treated in water using an atmospheric-pressure plasma jet for surface modification. Preliminary assessments of the chemical mechanism(s) involved in the creation of water-soluble SiQDs were performed using Fenton’s reaction and various plasma chemistries, suggesting both OH and O species play a key role in the oxidation of the SiQDs. (letter)

  16. Plasma flow to a surface using the iterative Monte Carlo method

    International Nuclear Information System (INIS)

    Pitcher, C.S.

    1994-01-01

    The iterative Monte Carlo (IMC) method is applied to a number of one-dimensional plasma flow problems, which encompass a wide range of conditions typical of those present in the boundary of magnetic fusion devices. The kinetic IMC method of solving plasma flow to a surface consists of launching and following particles within a grid of 'bins' into which weights are left according to the time a particle spends within a bin. The density and potential distributions within the plasma are iterated until the final solution is arrived at. The IMC results are compared with analytical treatments of these problems and, in general, good agreement is obtained. (author)

  17. Comparison of the effect of plasma treatment and gamma ray irradiation on PS-Cu nanocomposite films surface

    Science.gov (United States)

    Farag, O. F.

    2018-06-01

    Polystyrene-copper (PS-Cu) nanocomposite films were treated with DC N2 plasma and gamma rays irradiations. The plasma treatment of PS-Cu film surface was carried out at different treatment times, gas pressure 0.4 Torr and the applied power 3.5 W. On the other hand, the treatment with gamma rays irradiation were carried out at irradiation doses 10, 30 and 50 kGy. The induced changes in surface properties of PS-Cu films were investigated with UV-viss spectroscopy, scanning electron microscopy (SEM) and FTIR spectroscopy techniques. In addition, the wettability property, surface free energy, spreading coefficient and surface roughness of the treated samples were studied by measuring the contact angle. The UV-viss spectroscopy analysis revealed that the optical band gap decreases with increasing the treatment time and the irradiation dose for plasma and gamma treatments, respectively. SEM observations showed that the particle size of copper particles was increased with increasing the treatment time and the irradiation dose, but gamma treatment changes the copper particles size from nano scale to micro scale. The contact angle measurements showing that the wettability property, surface free energy, spreading coefficient and surface roughness of the treated PS-Cu samples were increased remarkably with increasing the treatment time and the irradiation dose for plasma and gamma treatments, respectively. The contact angle, surface free energy, spreading coefficient and surface roughness of the treated PS-Cu samples are more influenced by plasma treatment than gamma treatment.

  18. Interactions of Deuterium Plasma with Lithiated and Boronized Surfaces in NSTX-U

    Science.gov (United States)

    Krstic, Predrag

    2015-09-01

    The main research goal of the presented research has been to understand the changes in surface composition and chemistry at the nanoscopic temporal and spatial scales for long pulse Plasma Facing Components (PFCs) and link these to the overall machine performance of the National Spherical Torus Experiment Upgrade (NSTX-U). A study is presented of the lithium surface science, with atomic spatial and temporal resolutions. The dynamic surface responds and evolves in a mixed material environments (D, Li, C, B, O, Mo, W) with impingement of plasma particles in the energy range below 100 eV. The results, obtained by quantum-classical molecular dynamics, include microstructure changes, erosion, surface chemistry, deuterium implantation and permeation. Main objectives of the research are i) a comparison of Li and B deposition on carbon, ii) the role of oxygen and other impurities e.g. boron, carbon in the lithium performance, and iii) how this performance will change when lithium is applied to a high-Z refractory metal substrate (Mo, W). In addition to predicting and understanding the phenomenology of the processes, we will show plasma induced erosion of PFCs, including chemical and physical sputtering yields at various temperatures (300-700 K) as well as deuterium uptake/recycling. This work is supported by the U.S. Department of Energy Office of Science, Office of Fusion Energy Science, Award Number DE-SC0013752.

  19. Group velocity measurement from the propagation of the ionization front in a surface-wave-produced plasma

    International Nuclear Information System (INIS)

    Cotrino, J.; Gamero, A.; Sola, A.; Lao, C.

    1989-01-01

    During the first instant, previous to steady-state in a surface-wave-produced plasma, an ionization front advance front the launcher to the plasma column end. The velocity of the ionization front is much slower than the group velocity of the surface wave, this give a reflection of the incident signal on the moving ionization front. In this paper, the authors use this effect to calculate the surface wave group velocity

  20. Role of rational surfaces on fluctuations and transport in the plasma edge of the TJ-II stellarator

    International Nuclear Information System (INIS)

    Pedrosa, M.A.; Hidalgo, C.; Lopez-Fraguas, A.

    2000-01-01

    It has been shown that transport barriers in toroidal magnetically confined plasmas tend to be linked to regions of unique magnetic topology such as the location of a minimum in the safety factor, rational surfaces or the boundary between closed and open flux surfaces. In the absence of E x B sheared flows, fluctuations are expected to show maximum amplitude near rational surfaces, and plasma confinement might tend to deteriorate. On the other hand, if the generation of E x B sheared flows were linked to low order rational surfaces, these would be beneficial to confinement. Experimental evidence of E x B sheared flows linked to rational surfaces has been obtained in the plasma edge region of the TJ-II stellarator. (author)

  1. Electric field strength and plasma delay in silicon surface barrier detector

    International Nuclear Information System (INIS)

    Kanno, I.; Inbe, T.; Kanazawa, S.; Kimura, I.

    1994-01-01

    The resistivity change of a silicon irradiated by high energy neutrons became an interest of study associated with the large scale accelerator projects . The increase of the resistivity of the silicon of a silicon surface barrier detector (SSBD) was studied as a function of neutron fluence. The plasma delay, which was an interesting but not favorite timing property of the SSBD, was reported being dependent on the resistivity of silicon . The neutron irradiation brings the change of timing property as well as the resistivity change on the SSBD. The resistivity dependence of the plasma delay should be studied for the purpose of high energy accelerator experiments. Some empirical formulae of the plasma delay were reported, however, there were no discussions on the physical meanings of the resistivity dependence of the plasma delay. The plasma delay in a SSBD is discussed in the light of electric field strength in the depletion layer of the SSBD. The explanation of the plasma delay is presented taking into account of the competing two electric forces. The resistivity of the silicon affects the plasma delay through the electric forces. 3 figs, 3 refs. (author)

  2. Assessment Of Surface-Catalyzed Reaction Products From High Temperature Materials In Plasmas

    Science.gov (United States)

    Allen, Luke Daniel

    Current simulations of atmospheric entry into both Mars and Earth atmospheres for the design of thermal protections systems (TPS) typically invoke conservative assumptions regarding surface-catalyzed recombination and the amount of energy deposited on the surface. The need to invoke such assumptions derives in part from lack of adequate experimental data on gas-surface interactions at trajectory relevant conditions. Addressing this issue, the University of Vermont's Plasma Test and Diagnostics Laboratory has done extensive work to measure atomic specie consumption by measuring the concentration gradient over various material surfaces. This thesis extends this work by attempting to directly diagnose molecular species production in air plasmas. A series of spectral models for the A-X and B-X systems of nitric oxide (NO), and the B-X system of boron monoxide (BO) have been developed. These models aim to predict line positions and strengths for the respective molecules in a way that is best suited for the diagnostic needs of the UVM facility. From the NO models, laser induced fluorescence strategies have been adapted with the intent of characterizing the relative quantity and thermodynamic state of NO produced bysurface-catalyzed recombination, while the BO model adds a diagnostic tool for the testing of diboride-based TPS materials. Boundary layer surveys of atomic nitrogen and NO have been carried out over water-cooled copper and nickel surfaces in air/argon plasmas. Translation temperatures and relative number densities throughout the boundary layer are reported. Additional tests were also conducted over a water-cooled copper surface to detect evidence of highly non-equilibrium effects in the form of excess population in elevated vibrational levels of the A-X system of NO. The tests showed that near the sample surface there is a much greater population in the upsilon'' = 1ground state than is predicted by a Boltzmann distribution.

  3. Ion-surface interaction: simulation of plasma-wall interaction (ITER)

    International Nuclear Information System (INIS)

    Salou, Pierre

    2013-01-01

    The wall materials of magnetic confinement in fusion machines are exposed to an aggressive environment; the reactor blanket is bombarded with a high flux of particles extracted from the plasma, leading to the sputtering of surface material. This sputtering causes wall erosion as well as plasma contamination problems. In order to control fusion reactions in complex reactors, it is thus imperative to well understand the plasma-wall interactions. This work proposes the study of the sputtering of fusion relevant materials. We propose to simulate the charged particles influx by few keV single-charged ion beams. This study is based on the catcher method; to avoid any problem of pollution (especially in the case of carbon) we designed a new setup allowing an in situ Auger electron spectroscopy analysis. The results provide the evolution of the angular distribution of the sputtering yield as a function of the ion mass (from helium to xenon) and its energy (from 3 keV to 9 keV). (author) [fr

  4. Fast enhancement on hydrophobicity of poplar wood surface using low-pressure dielectric barrier discharges (DBD) plasma

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Weimin [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Nanjing Suman Plasma Technology Co., Ltd, Enterprise of Graduate Research Station of Jiangsu Province, No. 3 Youyihe Road, Nanjing 210001 (China); Zhou, Xiaoyan, E-mail: zhouxiaoyan@njfu.edu.cn [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Zhang, Xiaotao [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Bian, Jie [Nanjing Suman Plasma Technology Co., Ltd, Enterprise of Graduate Research Station of Jiangsu Province, No. 3 Youyihe Road, Nanjing 210001 (China); Shi, Shukai; Nguyen, Thiphuong; Chen, Minzhi [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Wan, Jinglin [Nanjing Suman Plasma Technology Co., Ltd, Enterprise of Graduate Research Station of Jiangsu Province, No. 3 Youyihe Road, Nanjing 210001 (China)

    2017-06-15

    Highlights: • Plasma working under low pressure is easy to realize industrialization. • Enhancing process finished within 75 s. • Plasma treatment leads to the increase in equilibrium contact angle by 330%. • Tinfoil film with simple chemical structure was used to reveal the mechanism. - Abstract: The hydrophilicity of woody products leads to deformation and cracks, which greatly limits its applications. Low-pressure dielectric barrier discharge (DBD) plasma using hexamethyldisiloxane was applied in poplar wood surface to enhance the hydrophobicity. The chemical properties, micro-morphology, and contact angles of poplar wood surface before and after plasma treatment were investigated by attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR), x-ray photoelectron spectroscopy (XPS), scanning electron microscope and energy dispersive analysis of X-ray (SEM-EDX), atomic force microscopy (AFM), and optical contact angle measurement (OCA). Moreover, tinfoil film was used as the base to reveal the enhancement mechanism. The results showed that hexamethyldisiloxane monomer is first broken into several fragments with active sites and hydrophobic chemical groups. Meanwhile, plasma treatment results in the formation of free radicals and active sites in the poplar wood surface. Then, the fragments are reacted with free radicals and incorporated into the active sites to form a network structure based on the linkages of Si-O-Si and Si−O−C. Plasma treatment also leads to the formation of acicular nano-structure in poplar wood surface. These facts synergistically enhance the hydrophobicity of poplar wood surface, demonstrating the dramatically increase in the equilibrium contact angle by 330%.

  5. Recovery of tungsten surface with fiber-form nanostructure by the argon plasma irradiation at a high surface temperature

    International Nuclear Information System (INIS)

    Takamura, Shuichi; Miyamoto, Takanori

    2011-01-01

    One of the serious concerns for tungsten materials in fusion devices is the radiation defects caused by helium plasma irradiation, while the helium is one of fusion products. Fiber-formed nanostructure is worried to have a possible weakness against the plasma heat flux and may destroy the reflectivity as an optical mirror. In this communication an interesting method for a recovery of such a tungsten surface is shown. (author)

  6. Plasma rotation effect on interaction of low frequency fields with plasmas at the rational surfaces in tokamaks

    International Nuclear Information System (INIS)

    Rondan, E.R.; Elfimov, A.G.; Galvao, R.M.O.; Pires, C.J.A.

    2006-01-01

    The effect of plasma rotation on low frequency (LF) field penetration, absorption and ponderomotive forces in TEXTOR and in Tokamak Chauffage Alfven Bresilien (TCABR) is investigated in the frequency band of 1-10 kHz. The LF fields are driven by the dynamic ergodic divertor in TEXTOR and the ergodic magnetic limiter in TCABR. Alfven wave mode conversion is responsible for the LF field absorption at the rational magnetic surface where q = -M/N is the integer. Analytical and numerical calculations show the maxima of the LF field absorption at the local Alfven wave resonance ω - k · U = k parallel c A , where ω and k are the frequency and the wave vector, respectively, and c A is the Alfven velocity at the rational magnetic surface q = 2, 3 in TEXTOR and TCABR. The rotation velocity U along the magnetic surfaces, taken into account in the dielectric tensor, can strongly modify the LF field and dissipated power profiles. The absorption in the local AW resonances begins to be non-symmetric in relation to the resonance surface. Calculations show that coil impedance has a maximum related to excitation of some stable (possibly Suydam) modes for waves travelling in the direction of plasma rotation

  7. Effects of plasma treatment time on surface characteristics of indium-tin-oxide film for resistive switching storage applications

    International Nuclear Information System (INIS)

    Chen, Po-Hsun; Chang, Ting-Chang; Chang, Kuan-Chang; Tsai, Tsung-Ming; Pan, Chih-Hung; Shih, Chih-Cheng; Wu, Cheng-Hsien; Yang, Chih-Cheng; Chen, Wen-Chung; Lin, Jiun-Chiu; Wang, Ming-Hui; Zheng, Hao-Xuan; Chen, Min-Chen; Sze, Simon M.

    2017-01-01

    In this paper, we implement a post-oxidation method to modify surface characteristics of indium tin oxide (ITO) films by using an O_2 inductively coupled plasma (ICP) treatment. Based on field emission-scanning electron microscope (FE-SEM) and atomic force microscope (AFM) analysis, we found that the surface morphologies of the ITO films become slightly flatter after the O_2 plasma treatment. The optical characteristics and X-ray diffraction (XRD) experiments of either pure ITO or O_2 plasma treated ITO films were also verified. Even though the XRD results showed no difference from bulk crystallizations, the oxygen concentrations increased at the film surface after O_2 plasma treatment, according to the XPS inspection results. Moreover, this study investigated the effects of two different plasma treatment times on oxygen concentration in the ITO films. The surface sheet resistance of the plasma treated ITO films became nearly non-conductive when measured with a 4-point probe. Finally, we applied the O_2 plasma treated ITO films as the insulator in resistive random access memory (RRAM) to examine their potential for use in resistive switching storage applications. Stable resistance switching characteristics were obtained by applying the O_2 plasma treatment to the ITO-based RRAM. We also confirmed the relationship between plasma treatment time and RRAM performance. These material analyses and electrical measurements suggest possible advantages in using this plasma treatment technique in device fabrication processes for RRAM applications.

  8. Effects of plasma treatment time on surface characteristics of indium-tin-oxide film for resistive switching storage applications

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Po-Hsun [Department of Physics, National Sun Yat-Sen University, Kaohsiung 804, Taiwan, ROC (China); Chang, Ting-Chang, E-mail: tcchang3708@gmail.com [Department of Physics, National Sun Yat-Sen University, Kaohsiung 804, Taiwan, ROC (China); Advanced Optoelectronics Technology Center, National Cheng Kung University, Tainan 701, Taiwan, ROC (China); Chang, Kuan-Chang, E-mail: kcchang@pkusz.edu.cn [Department of Materials and Optoelectronic Science, National Sun Yat-Sen University, Kaohsiung 804, Taiwan, ROC (China); School of Electronic and Computer Engineering, Peking University, Shenzhen 518055 (China); Tsai, Tsung-Ming; Pan, Chih-Hung; Shih, Chih-Cheng; Wu, Cheng-Hsien; Yang, Chih-Cheng; Chen, Wen-Chung; Lin, Jiun-Chiu; Wang, Ming-Hui [Department of Materials and Optoelectronic Science, National Sun Yat-Sen University, Kaohsiung 804, Taiwan, ROC (China); Zheng, Hao-Xuan; Chen, Min-Chen [Department of Physics, National Sun Yat-Sen University, Kaohsiung 804, Taiwan, ROC (China); Sze, Simon M. [Department of Electronics Engineering and Institute of Electronics, National Chiao Tung University, Hsinchu 300, Taiwan, ROC (China)

    2017-08-31

    In this paper, we implement a post-oxidation method to modify surface characteristics of indium tin oxide (ITO) films by using an O{sub 2} inductively coupled plasma (ICP) treatment. Based on field emission-scanning electron microscope (FE-SEM) and atomic force microscope (AFM) analysis, we found that the surface morphologies of the ITO films become slightly flatter after the O{sub 2} plasma treatment. The optical characteristics and X-ray diffraction (XRD) experiments of either pure ITO or O{sub 2} plasma treated ITO films were also verified. Even though the XRD results showed no difference from bulk crystallizations, the oxygen concentrations increased at the film surface after O{sub 2} plasma treatment, according to the XPS inspection results. Moreover, this study investigated the effects of two different plasma treatment times on oxygen concentration in the ITO films. The surface sheet resistance of the plasma treated ITO films became nearly non-conductive when measured with a 4-point probe. Finally, we applied the O{sub 2} plasma treated ITO films as the insulator in resistive random access memory (RRAM) to examine their potential for use in resistive switching storage applications. Stable resistance switching characteristics were obtained by applying the O{sub 2} plasma treatment to the ITO-based RRAM. We also confirmed the relationship between plasma treatment time and RRAM performance. These material analyses and electrical measurements suggest possible advantages in using this plasma treatment technique in device fabrication processes for RRAM applications.

  9. EFFECT OF PLASMA CUTTING PARAMETERS UPON SHAPES OF BEARING CURVE OF C45 STEEL SURFACE

    Directory of Open Access Journals (Sweden)

    Agnieszka Skoczylas

    2015-08-01

    Full Text Available The article presents the results of studies on the effect of plasma cutting technological parameters upon the shape of bearing curves and the parameters of the curve. The topography of surface formed by plasma cutting were analyzed. For measuring surface roughness and determining the bearing curve the appliance T8000 RC120 – 400 by Hommel-Etamic was used together with software.

  10. Changing the surface properties on naval steel as result of non-thermal plasma treatment

    Science.gov (United States)

    Hnatiuc, B.; Sabău, A.; Dumitrache, C. L.; Hnatiuc, M.; Crețu, M.; Astanei, D.

    2016-08-01

    The problem of corrosion, related to Biofouling formation, is an issue with very high importance in the maritime domain. According to new rules, the paints and all the technologies for the conditioning of naval materials must fulfil more restrictive environmental conditions. In order to solve this issue, different new clean technologies have been proposed. Among them, the use of non-thermal plasmas produced at atmospheric pressure plays a very important role. This study concerns the opportunity of plasma treatment for preparation or conditioning of naval steel OL36 type. The plasma reactors chosen for the experiments can operate at atmospheric pressure and are easy to use in industrial conditions. They are based on electrical discharges GlidArc and Spark, which already proved their efficiency for the surface activation or even for coatings of the surface. The non-thermal character of the plasma is ensured by a gas flow blown through the electrical discharges. One power supply has been used for reactors that provide a 5 kV voltage and a maximum current of 100 mA. The modifications of the surface properties and composition have been studied by XPS technique (X-ray Photoelectron Spectroscopy). There were taken into consideration 5 samples: 4 of them undergoing a Mini-torch plasma, a Gliding Spark, a GlidArc with dry air and a GlidArc with CO2, respectively the fifth sample which is the untreated witness. Before the plasma treatment, samples of naval steel were processed in order to obtain mechanical gloss. The time of treatment was chosen to 12 minutes. In the spectroscopic analysis, done on a ULVAC-PHI, Inc. PHI 5000 Versa Probe scanning XPS microprobe, a monocromated Al Kα X-ray source with a spot size of 100 μm2 was used to scan each sample while the photoelectrons were collected at a 45-degree take-off angle. Differences were found between atomic concentrations in each individual case, which proves that the active species produced by each type of plasma affects

  11. Kinetic computer modeling of microwave surface-wave plasma production

    International Nuclear Information System (INIS)

    Ganachev, Ivan P.

    2004-01-01

    Kinetic computer plasma modeling occupies an intermediate position between the time consuming rigorous particle dynamic simulation and the fast but rather rough cold- or warm-plasma fluid models. The present paper reviews the kinetic modeling of microwave surface-wave discharges with accent on recent kinetic self-consistent models, where the external input parameters are reduced to the necessary minimum (frequency and intensity of the applied microwave field and pressure and geometry of the discharge vessel). The presentation is limited to low pressures, so that Boltzmann equation is solved in non-local approximation and collisional electron heating is neglected. The numerical results reproduce correctly the bi-Maxwellian electron energy distribution functions observed experimentally. (author)

  12. Surface cracking and melting of different tungsten grades under transient heat and particle loads in a magnetized coaxial plasma gun

    Energy Technology Data Exchange (ETDEWEB)

    Kikuchi, Y., E-mail: ykikuchi@eng.u-hyogo.ac.jp [Graduate School of Engineering, University of Hyogo, 2167 Shosha, Himeji, Hyogo 671-2280 (Japan); Sakuma, I.; Iwamoto, D.; Kitagawa, Y.; Fukumoto, N.; Nagata, M. [Graduate School of Engineering, University of Hyogo, 2167 Shosha, Himeji, Hyogo 671-2280 (Japan); Ueda, Y. [Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan)

    2013-07-15

    Surface damage of pure tungsten (W), W alloys with 2 wt.% tantalum (W–Ta) and vacuum plasma spray (VPS) W coating on a reduced activation material of ferritic steel (F82H) due to repetitive ELM-like pulsed (∼0.3 ms) deuterium plasma irradiation has been investigated by using a magnetized coaxial plasma gun. Surface cracks appeared on a pure W sample exposed to 10 plasma pulses of ∼0.3 MJ m{sup −2}, while a W–Ta sample did not show surface cracks with similar pulsed plasma irradiation. The energy density threshold for surface cracking was significantly increased by the existence of the alloying element of tantalum. No surface morphology change of a VPS W coated F82H sample was observed under 10 plasma pulses of ∼0.3 MJ m{sup −2}, although surface melting and cracks in the resolidification layer occurred at higher energy density of ∼0.9 MJ m{sup −2}. There was no indication of exfoliation of the W coating from the substrate of F82H after the pulsed plasma exposures.

  13. Target surface structure effects on x-ray generation from laser produced plasma

    Energy Technology Data Exchange (ETDEWEB)

    Nishikawa, Tadashi; Nakano, Hidetoshi; Uesugi, Naoshi [NTT Basic Research Laboratories, Atsugi, Kanagawa (Japan)

    2000-03-01

    We demonstrated two different methods to increase the x-ray conversion efficiency of laser-produced plasma by modifying the target surface structure. One way is making a rectangular groove on a target surface and confining a laser-produced plasma in it. By the plasma collision process, a time and wavelength (4-10 nm) integrated soft x-ray fluence enhancement of 35 times was obtained at a groove width of 20 {mu}m and a groove depth of 100 {mu}m on a Nd-doped glass target. The other way is making an array of nanoholes on an alumina target and increasing the laser interaction depth with it. The x-ray fluence enhancement increases as the ionization level of Al becomes higher and the x-ray wavelength becomes shorter. Over 50-fold enhancement was obtained at a soft x-ray wavelength around 6 nm, which corresponds to the emission from Al{sup 8+,9+} ions. (author)

  14. Target surface structure effects on x-ray generation from laser produced plasma

    International Nuclear Information System (INIS)

    Nishikawa, Tadashi; Nakano, Hidetoshi; Uesugi, Naoshi

    2000-01-01

    We demonstrated two different methods to increase the x-ray conversion efficiency of laser-produced plasma by modifying the target surface structure. One way is making a rectangular groove on a target surface and confining a laser-produced plasma in it. By the plasma collision process, a time and wavelength (4-10 nm) integrated soft x-ray fluence enhancement of 35 times was obtained at a groove width of 20 μm and a groove depth of 100 μm on a Nd-doped glass target. The other way is making an array of nanoholes on an alumina target and increasing the laser interaction depth with it. The x-ray fluence enhancement increases as the ionization level of Al becomes higher and the x-ray wavelength becomes shorter. Over 50-fold enhancement was obtained at a soft x-ray wavelength around 6 nm, which corresponds to the emission from Al 8+,9+ ions. (author)

  15. Cold air plasma to decontaminate inanimate surfaces of the hospital environment.

    Science.gov (United States)

    Cahill, Orla J; Claro, Tânia; O'Connor, Niall; Cafolla, Anthony A; Stevens, Niall T; Daniels, Stephen; Humphreys, Hilary

    2014-03-01

    The hospital environment harbors bacteria that may cause health care-associated infections. Microorganisms, such as multiresistant bacteria, can spread around the patient's inanimate environment. Some recently introduced biodecontamination approaches in hospitals have significant limitations due to the toxic nature of the gases and the length of time required for aeration. This study evaluated the in vitro use of cold air plasma as an efficient alternative to traditional methods of biodecontamination of hospital surfaces. Cultures of methicillin-resistant Staphylococcus aureus (MRSA), vancomycin-resistant enterococci (VRE), extended-spectrum-β-lactamase (ESBL)-producing Escherichia coli, and Acinetobacter baumannii were applied to different materials similar to those found in the hospital environment. Artificially contaminated sections of marmoleum, mattress, polypropylene, powder-coated mild steel, and stainless steel were then exposed to a cold air pressure plasma single jet for 30 s, 60 s, and 90 s, operating at approximately 25 W and 12 liters/min flow rate. Direct plasma exposure successfully reduced the bacterial load by log 3 for MRSA, log 2.7 for VRE, log 2 for ESBL-producing E. coli, and log 1.7 for A. baumannii. The present report confirms the efficient antibacterial activity of a cold air plasma single-jet plume on nosocomial bacterially contaminated surfaces over a short period of time and highlights its potential for routine biodecontamination in the clinical environment.

  16. Surface protection during plasma hydrogenation for acceptor passivation in InP

    International Nuclear Information System (INIS)

    Lopata, J.; Dautremont-Smith, W.C.; Pearton, S.J.; Lee, J.W.; Ha, N.T.; Luftman, H.S.

    1990-01-01

    Various dielectric and metallic films were examined as H-permeable surface protection layers on InP during H 2 or D 2 plasma exposure for passivation of acceptors in the InP. Plasma deposited SiN x , SiO 2 , and a-Si(H) films ranging in thickness from 85 to 225 angstrom were used to protect p-InP during d 2 plasma exposure at 250 degrees C. Optimum protective layer thicknesses were determined by a trade-off between the effectiveness of the layer to prevent P loss from the wafer surface and the ability to diffuse atomic H or D at a rate greater than or equal to that in the underlying InP. SIMS and capacitance-voltage depth profiling were used to determine the extent of D in-diffusion and acceptor passivation respectively. Sputter deposited W and e-beam evaporated Ti films ∼100 Angstrom thick were also evaluated. The W coated sample yielded similar results to those with dielectric films in that acceptors in p-InP were passivated to a similar depth for the same plasma exposure. The 100 Angstrom Ti film, however, did not allow the D to diffuse into the InP substrate. It is surmised that the Ti film trapped the D, thus preventing diffusion into the substrate

  17. Effects O2 plasma surface treatment on the electrical properties of the ITO substrate

    International Nuclear Information System (INIS)

    Hong, Jin-Woong; Oh, Dong-Hoon; Shim, Sang-Min; Lee, Young-Sang; Kang, Yong-Gil; Shin, Jong-Yeol

    2012-01-01

    The indium-tin-oxide (ITO) substrate is used as a transparent electrode in organic light-emitting diodes (OLEDs) and organic photovoltaic cells. The effect of an O 2 plasma surface treatment on the electrical properties of the ITO substrate was examined. The four-point probe method, an atomic force microscope (AFM), a LCR meter, a Cole-Cole plot, and a conductive mechanism analysis were used to assess the properties of the treated ITO substrates. The four-point probe method and the AFM study revealed a lower ITO surface resistance of 17.6 Ω/sq and an average roughness of 2 nm, respectively, for a substrate treated by a plasma at 250 W for 40 s. The lower surface resistance of the ITO substrate treated at 250 W for 40 s was confirmed by using a LCR meter. An amorphous fluoropolymer (AF) was deposited on an ITO substrate treated under the optimal conditions and on a non-plasma treated ITO substrate as well. The potential barriers for charge injection in these devices were 0.25 eV and 0.15 eV, respectively, indicating a 0.1-eV decrease due to the plasma treatment.

  18. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires

    International Nuclear Information System (INIS)

    Hou, W C; Hong, Franklin Chau-Nan

    2009-01-01

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 deg. C.

  19. Comparison of the properties polyamide 6.6 surfaces treated by plasma and by ionizing radiation

    International Nuclear Information System (INIS)

    Irineu, Rosa Maria da Silva

    2010-01-01

    This study aims to compare the surface properties of polyamide 6.6 plasma treatment and ionizing radiation, as well as determine the best technique and condition of the surface activation, adhesion of the same order and polyacrylic rubber used in manufacturing of automotive retainers. Treatment of polyamide 6.6 plasma was performed using an equipment 'Electronic Diener - Plasma - Surface-Technology LFG40' with nitrogen gas at a pressure of 1.40 kg/cm 2 . Samples of polyamide 6.6 were also treated with ionizing radiation, atmospheric pressure and in vacuum, using an industrial electron accelerator, Dynamitron JOB 188 with radiation dose of 5, 10, 20, 40, 50, 100, 200, 300, 400 and 500kGy with a dose rate of 11.22 kGy/s for all doses and rate of 11.22 kGy/s and 22.38 kGy/s for a dose of 20kGy. After the processes of surface modification of polyamide 6.6, part of the untreated samples, treated by plasma and by ionizing radiation were incorporated into the polyacrylic rubber, and another part was designed to characterize the surface using the techniques of SEM / EDS, FT- IR, PIXE / RBS, AFM and contact angle. Untreated samples and the irradiated samples did not join the polyacrylic rubber. The samples treated by plasma joined the polyacrylic rubber efficiently and showed differences in roughness in SEM and AFM, and an increase in contact angle when compared with untreated samples. The irradiated samples showed no significant differences in the analysis of properties used in this study when compared with untreated samples. Ionizing radiation was not effective in surface modification of polyamide 6.6 for adherence with polyacrylic rubber. (author)

  20. Magnetic flux surface measurements at the Wendelstein 7-X stellarator

    Energy Technology Data Exchange (ETDEWEB)

    Otte, Matthias; Andreeva, Tamara; Biedermann, Christoph; Bozhenkov, Sergey; Geiger, Joachim; Sunn Pedersen, Thomas [Max-Planck-Institut fuer Plasmaphysik, Greifswald (Germany); Lazerson, Samuel [Princeton Plasma Physics Laboratory, Princeton (United States)

    2016-07-01

    Recently the first plasma operation phase of the Wendelstein 7-X stellarator has been started at IPP Greifswald. Wendelstein 7-X is an optimized stellarator with a complex superconducting magnet system consisting of 50 non-planar and 20 planar field coils and further 10 normal conducting control and 5 trim coils. The magnetic confinement and hence the expected plasma performance are decisively determined by the properties of the magnet system, especially by the existence and quality of the magnetic flux surfaces. Even small error fields may result in significant changes of the flux surface topology. Therefore, measurements of the vacuum magnetic flux surfaces have been performed before plasma operation. The first experimental results confirm the existence and quality of the flux surfaces to the full extend from low field up to the nominal field strength of B=2.5T. This includes the dedicated magnetic limiter configuration that is exclusively used for the first plasma operation. Furthermore, the measurements are indicating that the intrinsic error fields are within the tolerable range and can be controlled utilizing the trim coils as expected.

  1. Current Density and Plasma Displacement Near Perturbed Rational Surface

    International Nuclear Information System (INIS)

    Boozer, A.H.; Pomphrey, N.

    2010-01-01

    The current density in the vicinity of a rational surface of a force-free magnetic field subjected to an ideal perturbation is shown to be the sum of both a smooth and a delta-function distribution, which give comparable currents. The maximum perturbation to the smooth current density is comparable to a typical equilibrium current density and the width of the layer in which the current flows is shown to be proportional to the perturbation amplitude. In the standard linearized theory, the plasma displacement has an unphysical jump across the rational surface, but the full theory gives a continuous displacement.

  2. Heparainization of gas plasma-modified polystyrene surfaces and the interactions of these surfaces with proteins studied with surface plasmon resonance

    NARCIS (Netherlands)

    van Delden, C.J.; van Delden, C.J.; Lens, J.P.; Lens, J.P.; Kooyman, R.P.H.; Engbers, G.H.M.; Feijen, Jan

    1997-01-01

    Polystyrene surfaces obtained by spin-coating a solution of polystyrene in toluene on a gold layer were functionalized with carboxylic acid groups by preadsorption of the sodium salt of undecylenic acid, followed by an argon plasma treatment. A conjugate of albumin and heparin (alb-hep) was

  3. Surface modification by cold-plasma technique for dental implants—Bio-functionalization with binding pharmaceuticals

    Directory of Open Access Journals (Sweden)

    Masao Yoshinari

    2011-08-01

    At the bone tissue/implant interface, a thin calcium phosphate coating and rapid heating with infrared radiation were effective in controlling the dissolution without cracking the coating. These thin calcium phosphate coatings may directly promote osteogenisis, but also enable immobilization and subsequent drug delivery system (DDS of bisphosphonates. Simvastatin is also an effective candidate that is reported to increase the expression of BMP-2. The thin-film of hexamethyldisiloxane (HMDSO was plasma-polymerized onto titanium, and then HMDSO surface was activated by O2-plasma treatment. A quartz crystal microbalance (QCM-D technique demonstrated that simvastatin was immobilized on the plasma-treated surfaces due to introduction of O2-functional groups. At the soft tissue/implant interface, multi-grooved surface topographies and utilizing the adhesive proteins such as fibronectin or laminin-5 may help in providing a biological seal around the implant. At the oral fluid/implant interface, an alumina coating, F+-implantation and immobilization of anti-microbial peptides were responsible for inhibiting the biofilm accumulation.

  4. Surface modification of ultra-high molecular weight polyethylene (UHMWPE) by argon plasma

    International Nuclear Information System (INIS)

    Liu Hengjun; Pei Yanan; Xie Dong; Deng Xingrui; Leng, Y.X.; Jin Yong; Huang Nan

    2010-01-01

    In this work, argon (Ar) plasma generated by microwave electron cyclotron resonance (MWECR) has been used to modify the UHMWPE in order to increase the wear resistance. The results showed that the wettability, anti-scratch and wear resistance of UHMWPE treated by the Ar plasma had been improved, comparing with native UHMWPE. The FTIR and XPS spectra indicated the improvement of wettability should come from the oxygen based functional groups generated on the surface of UHMWPE. The improvement of anti-scratch and wear resistance may come from the enhancement of crosslinking of UHMWPE by Ar plasma treatment.

  5. Simultaneous and long-lasting hydrophilization of inner and outer wall surfaces of polytetrafluoroethylene tubes by transferring atmospheric pressure plasmas

    International Nuclear Information System (INIS)

    Chen, Faze; Song, Jinlong; Huang, Shuai; Xu, Wenji; Sun, Jing; Liu, Xin; Xu, Sihao; Xia, Guangqing; Yang, Dezheng

    2016-01-01

    Plasma hydrophilization is a general method to increase the surface free energy of materials. However, only a few works about plasma modification focus on the hydrophilization of tube inner and outer walls. In this paper, we realize simultaneous and long-lasting plasma hydrophilization on the inner and outer walls of polytetrafluoroethylene (PTFE) tubes by atmospheric pressure plasmas (APPs). Specifically, an Ar atmospheric pressure plasma jet (APPJ) is used to modify the PTFE tube’s outer wall and meanwhile to induce transferred He APP inside the PTFE tube to modify its inner wall surface. The optical emission spectrum (OES) shows that the plasmas contain many chemically active species, which are known as enablers for various applications. Water contact angle (WCA) measurements, x-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM) are used to characterize the plasma hydrophilization. Results demonstrate that the wettability of the tube walls are well improved due to the replacement of the surface fluorine by oxygen and the change of surface roughness. The obtained hydrophilicity decreases slowly during more than 180 d aging, indicating a long-lasting hydrophilization. The results presented here clearly demonstrate the great potential of transferring APPs for surface modification of the tube’s inner and outer walls simultaneously. (paper)

  6. Surface modification of biphasic calcium phosphate scaffolds by non-thermal atmospheric pressure nitrogen and air plasma treatment for improving osteoblast attachment and proliferation

    International Nuclear Information System (INIS)

    Choi, Yu-Ri; Kwon, Jae-Sung; Song, Doo-Hoon; Choi, Eun Ha; Lee, Yong-Keun; Kim, Kyoung-Nam; Kim, Kwang-Mahn

    2013-01-01

    Surface modifications induced by non-thermal plasma have been used extensively in biomedical applications. The attachment and proliferation of osteoblast cells are important in bone tissue engineering using scaffolds. Hence the effect of non-thermal plasma on hydroxyapatite/β-tri-calcium phosphate (HA/β-TCP) scaffolds in terms of improving osteoblast attachment and proliferation was investigated. Experimental groups were treated with non-thermal plasma for 10 min and 20 min and a control group was not treated with non-thermal plasma. For surface chemistry analysis, X-ray photoelectron spectroscopy (XPS) analysis was carried out. The hydrophilicity was determined from contact angle measurement on the surface. Atomic force microscopy analysis (AFM) was used to test the change in surface roughness and cell attachment and proliferation were evaluated using MC3T3-E1 osteoblast cells. XPS spectra revealed a decreased amount of carbon on the surface of the plasma-treated sample. The contact angle was also decreased following plasma treatment, indicating improved hydrophilicity of plasma-treated surfaces compared to the untreated disc. A significant increase in MC3T3E-1 cell attachment and proliferation was noted on plasma-treated samples as compared to untreated specimens. The results suggest that non-thermal atmospheric pressure nitrogen and air plasma treatments provide beneficial surface characteristics on HA/β-TCP scaffolds. - Highlights: ► Non-thermal plasma increased OH- and decreased C on biphasic scaffold. ► Non-thermal plasma had no effect on surface roughness. ► Non-thermal plasma resulted in hydrophilic surface. ► Non-thermal plasma resulted in better cell attachment and proliferation. ► Non-thermal plasma treatment on biphasic scaffold is useful for tissue engineering

  7. Surface water quality assessment using factor analysis

    African Journals Online (AJOL)

    2006-01-16

    Jan 16, 2006 ... Surface water, groundwater quality assessment and environ- .... Urbanisation influences the water cycle through changes in flow and water ..... tion of aquatic life, CCME water quality Index 1, 0. User`s ... Water, Air Soil Pollut.

  8. Enhancement of cell growth on honeycomb-structured polylactide surface using atmospheric-pressure plasma jet modification

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Kuang-Yao; Chang, Chia-Hsing; Yang, Yi-Wei; Liao, Guo-Chun; Liu, Chih-Tung; Wu, Jong-Shinn, E-mail: chongsin@faculty.nctu.edu.tw

    2017-02-01

    Graphical abstract: Atmospheric-pressure plasma enhances cell growth on two different pore sizes of honeycomb pattern on polylactide surface. - Highlights: • Different pore sizes of honeycomb pattern on PLA film are created. • The two-step plasma treatment provided the oxygen- and nitrogen-containing functional groups that had a major impact on cell cultivation. • The plasma treatment had a significant effect for cell proliferation. • The surface structures are the main influence on cell cultivation, while plasma treatment can indeed improve the growth environment. - Abstract: In this paper, we compare the cell growth results of NIH-3T3 and Neuro-2A cells over 72 h on flat and honeycomb structured PLA films without and with a two-step atmospheric-pressure nitrogen-based plasma jet treatment. We developed a fabrication system used for forming of a uniform honeycomb structure on PLA surface, which can produce two different pore sizes, 3–4 μm and 7–8 μm, of honeycomb pattern. We applied a previously developed nitrogen-based atmospheric-pressure dielectric barrier discharge (DBD) jet system to treat the PLA film without and with honeycomb structure. NIH-3T3 and a much smaller Neuro-2A cells were cultivated on the films under various surface conditions. The results show that the two-step plasma treatment in combination with a honeycomb structure can enhance cell growth on PLA film, should the cell size be not too smaller than the pore size of honeycomb structure, e.g., NIH-3T3. Otherwise, cell growth would be better on flat PLA film, e.g., Neuro-2A.

  9. Modifying TiO{sub 2} surface architecture by oxygen plasma to increase dye sensitized solar cell efficiency

    Energy Technology Data Exchange (ETDEWEB)

    Rajmohan, Gayathri Devi [Institute for Frontier Materials, Deakin University, Geelong Waurn Ponds, Victoria 3216 (Australia); Dai, Xiujuan J., E-mail: jane.dai@deakin.edu.au [Institute for Frontier Materials, Deakin University, Geelong Waurn Ponds, Victoria 3216 (Australia); Tsuzuki, Takuya; Lamb, Peter R. [Institute for Frontier Materials, Deakin University, Geelong Waurn Ponds, Victoria 3216 (Australia); Plessis, Johan du [School of Applied Sciences, RMIT University, GPO Box 2476 V, Melbourne, Victoria 3001 (Australia); Huang, Fuzhi; Cheng, Yi-Bing [Department of Materials Engineering, Monash University, Melbourne, Victoria 3800 (Australia)

    2013-10-31

    Oxygen plasma treatment of TiO{sub 2} films has been used to improve the efficiency of dye sensitized solar cells. Both a commercial TiO{sub 2} sample and a TiO{sub 2} thin film synthesized by a sol-gel technique were treated using a custom built inductively coupled plasma apparatus. X-ray photoelectron spectroscopy revealed that oxygen-plasma treatment increased the number of oxygen functional groups (hydroxyl groups) and introduced some Ti{sup 3+} species on the surface of TiO{sub 2}. A sample solar cell with plasma treated TiO{sub 2} showed an overall solar-to-electricity conversion efficiency of 4.3%, about a 13% increase over untreated TiO{sub 2}. The photon conversion efficiency for the plasma treated TiO{sub 2} was 34% higher than untreated TiO{sub 2}. This enhanced cell-performance is partly due to increased dye adsorption from an increase in surface oxygen functional groups and also may be partly due to Ti{sup 3+} states on the surface of TiO{sub 2}. - Highlights: • Oxygen plasma is used to generate hydroxyl groups on the surface of TiO{sub 2} • Parallel study was conducted using a spin coated TiO{sub 2} and a Commercial TiO{sub 2} film. • The plasma functionalization caused increased dye uptake. • Some species in Ti{sup 3+} state are also generated after oxygen plasma. • Dye sensitised solar cell with functionalised electrode showed improved efficiency.

  10. Recombination of chlorine atoms on plasma-conditioned stainless steel surfaces in the presence of adsorbed Cl{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Stafford, Luc; Poirier, Jean-Sebastien; Margot, Joelle [Departement de Physique, Universite de Montreal, Montreal, Quebec, H3C 3J7 (Canada); Khare, Rohit; Guha, Joydeep; Donnelly, Vincent M, E-mail: luc.stafford@umontreal.c, E-mail: vmdonnelly@uh.ed [Department of Chemical and Biomolecular Engineering, University of Houston, Houston, TX 77204 (United States)

    2009-03-07

    We investigated the interactions of atomic and molecular chlorine with plasma-conditioned stainless steel surfaces through both experiments and modelling. The recombination of Cl during adsorption and desorption of Cl{sub 2} was characterized using a rotating-substrate technique in which portions of the cylindrical substrate surface are periodically exposed to an inductively coupled chlorine plasma and then to an Auger electron spectrometer in separate, differentially pumped chambers. After several hours of exposure to the Cl{sub 2} plasma, the stainless steel substrate became coated with a Si-oxychloride-based layer (Fe : Si : O : Cl {approx} 1 : 13 : 13 : 3) due to chlorine adsorption and the erosion of the silica discharge tube. Desorption of Cl{sub 2} from this surface was monitored through measurements of pressure rises in the Auger chamber as a function of substrate rotation frequency. Significant adsorption and desorption of Cl{sub 2} was observed with the plasma off, similar to that observed previously on plasma-conditioned anodized aluminium surfaces, but with much faster desorption rates that are most likely attributable to the smoother and non-porous stainless steel surface morphology. When the plasma was turned on, a much larger pressure rise was observed due to Langmuir-Hinshelwood recombination of Cl atoms. Recombination coefficients, {gamma}{sub Cl}, ranged from 0.004 to 0.03 and increased with Cl-to-Cl{sub 2} number density ratio. This behaviour was observed previously for anodized aluminium surfaces, and was explained by the blocking of Cl recombination sites by adsorbed Cl{sub 2}. Application of this variable recombination coefficient to the modelling of high-density chlorine plasmas gives a much better agreement with measured Cl{sub 2} percent dissociations compared with predictions obtained with a recombination coefficient that is independent of plasma conditions.

  11. Surface chemistry of water-dispersed detonation nanodiamonds modified by atmospheric DC plasma afterglow

    Czech Academy of Sciences Publication Activity Database

    Štenclová, Pavla; Celedova, V.; Artemenko, Anna; Jirásek, Vít; Jíra, Jaroslav; Rezek, B.; Kromka, Alexander

    2017-01-01

    Roč. 7, č. 62 (2017), s. 38973-38980 ISSN 2046-2069 R&D Projects: GA ČR GA15-01687S Institutional support: RVO:68378271 Keywords : diamond nanoparticles * explosive detonation * barrier discharge * absorption * oxidation Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics) Impact factor: 3.108, year: 2016

  12. Measuring the Plasma Density of a Ferroelectric Plasma Source in an Expanding Plasma

    International Nuclear Information System (INIS)

    Dunaevsky, A.; Fisch, N.J.

    2003-01-01

    The initial density and electron temperature at the surface of a ferroelectric plasma source were deduced from floating probe measurements in an expanding plasma. The method exploits negative charging of the floating probe capacitance by fast flows before the expanding plasma reaches the probe. The temporal profiles of the plasma density can be obtained from the voltage traces of the discharge of the charged probe capacitance by the ion current from the expanding plasma. The temporal profiles of the plasma density, at two different distances from the surface of the ferroelectric plasma source, could be further fitted by using the density profiles for the expanding plasma. This gives the initial values of the plasma density and electron temperature at the surface. The method could be useful for any pulsed discharge, which is accompanied by considerable electromagnetic noise, if the initial plasma parameters might be deduced from measurements in expanding plasma

  13. Spherical Torus Plasma Interactions with Large-area Liquid Lithium Surfaces in CDX-U

    International Nuclear Information System (INIS)

    Kaita, R.; Majeski, R.; Boaz, M.; Efthimion, P.; Jones, B.; Hoffman, D.; Kugel, H.; Menard, J.; Munsat, T.; Post-Zwicker, A.; Soukhanovskii, V.; Spaleta, J.; Taylor, G.; Timberlake, J.; Woolley, R.; Zakharov, L.; Finkenthal, M.; Stutman, D.; Antar, G.; Doerner, R.; Luckhardt, S.; Maingi, R.; Maiorano, M.; Smith, S.

    2002-01-01

    The Current Drive Experiment-Upgrade (CDX-U) device at the Princeton Plasma Physics Laboratory (PPPL) is a spherical torus (ST) dedicated to the exploration of liquid lithium as a potential solution to reactor first-wall problems such as heat load and erosion, neutron damage and activation, and tritium inventory and breeding. Initial lithium limiter experiments were conducted with a toroidally-local liquid lithium rail limiter (L3) from the University of California at San Diego. Spectroscopic measurements showed a clear reduction of impurities in plasmas with the L3, compared to discharges with a boron carbide limiter. The evidence for a reduction in recycling was less apparent, however. This may be attributable to the relatively small area in contact with the plasma, and the presence of high-recycling surfaces elsewhere in the vacuum chamber. This conclusion was tested in subsequent experiments with a fully toroidal lithium limiter that was installed above the floor of the vacuum vessel. The new limiter covered over ten times the area of the L3 facing the plasma. Experiments with the toroidal lithium limiter have recently begun. This paper describes the conditioning required to prepare the lithium surface for plasma operations, and effect of the toroidal liquid lithium limiter on discharge performance

  14. Spherical Torus Plasma Interactions with Large-area Liquid Lithium Surfaces in CDX-U

    Energy Technology Data Exchange (ETDEWEB)

    R. Kaita; R. Majeski; M. Boaz; P. Efthimion; B. Jones; D. Hoffman; H. Kugel; J. Menard; T. Munsat; A. Post-Zwicker; V. Soukhanovskii; J. Spaleta; G. Taylor; J. Timberlake; R. Woolley; L. Zakharov; M. Finkenthal; D. Stutman; G. Antar; R. Doerner; S. Luckhardt; R. Maingi; M. Maiorano; S. Smith

    2002-01-18

    The Current Drive Experiment-Upgrade (CDX-U) device at the Princeton Plasma Physics Laboratory (PPPL) is a spherical torus (ST) dedicated to the exploration of liquid lithium as a potential solution to reactor first-wall problems such as heat load and erosion, neutron damage and activation, and tritium inventory and breeding. Initial lithium limiter experiments were conducted with a toroidally-local liquid lithium rail limiter (L3) from the University of California at San Diego. Spectroscopic measurements showed a clear reduction of impurities in plasmas with the L3, compared to discharges with a boron carbide limiter. The evidence for a reduction in recycling was less apparent, however. This may be attributable to the relatively small area in contact with the plasma, and the presence of high-recycling surfaces elsewhere in the vacuum chamber. This conclusion was tested in subsequent experiments with a fully toroidal lithium limiter that was installed above the floor of the vacuum vessel. The new limiter covered over ten times the area of the L3 facing the plasma. Experiments with the toroidal lithium limiter have recently begun. This paper describes the conditioning required to prepare the lithium surface for plasma operations, and effect of the toroidal liquid lithium limiter on discharge performance.

  15. Factors influencing the surface quality of polished tool steels

    International Nuclear Information System (INIS)

    Rebeggiani, S; Rosén, B-G

    2014-01-01

    Today’s demands on surface quality of moulds for injection moulding of plastic components involve no/low defect contents and roughness levels in the nm-range for high gloss applications. Material properties as well as operating conditions influence the mould finish, and thus the final surface of moulded products. This paper focuses on how particle content and different polishing strategies influence final surface qualities of moulds. Visual estimations of polished tool steel samples were combined with non-contact 3D-surface texture analysis in order to correlate traditional assessments to more quantitative methods, and to be able to analyse the surfaces at nanometre-level. It was found that steels with a lower proportion of particles, like carbides and oxides, gave rise to smoother polished surfaces. In a comparative study of polishers from different polishing shops, it was found that while different surface preparation strategies can lead to similar final roughness, similar preparation techniques can produce high-quality surfaces from different steel grades. However, the non-contact 3D-surface texture analysis showed that not all smooth polished surfaces have desirable functional topographies for injection moulding of glossy plastic components. (paper)

  16. Fine structure of the electromagnetic fields formed by backward surface waves in an azimuthally symmetric surface wave-excited plasma source

    International Nuclear Information System (INIS)

    Kousaka, Hiroyuki; Ono, Kouichi

    2003-01-01

    The electromagnetic fields and plasma parameters have been studied in an azimuthally symmetric surface wave-excited plasma (SWP) source, by using a two-dimensional numerical analysis based on the finite-difference time-domain (FDTD) approximation to Maxwell's equations self-consistently coupled with a fluid model for plasma evolution. The FDTD/fluid hybrid simulation was performed for different gas pressures in Ar and different microwave powers at 2.45 GHz, showing that the surface waves (SWs) occur along the plasma-dielectric interfaces to sustain overdense plasmas. The numerical results indicated that the electromagnetic SWs consist of two different waves, Wave-1 and Wave-2, having relatively shorter and longer wavelengths. The Wave-1 was seen to fade away with increasing pressure and increasing power, while the Wave-2 remained relatively unchanged over the range of pressure and power investigated. The numerical results revealed that the Wave-1 propagates as backward SWs whose phase velocity and group velocity point in the opposite directions. In contrast, the Wave-2 appeared to form standing waves, being ascribed to a superposition of forward SWs whose phase and group velocities point in the same direction. The fadeaway of the Wave-1 or backward SWs at increased pressures and increased powers was seen with the damping rate increasing in the axial direction, being related to the increased plasma electron densities. A comparison with the conventional FDTD simulation indicated that such fine structure of the electromagnetic fields of SWs is not observed in the FDTD simulation with spatially uniform and time-independent plasma distributions; thus, the FDTD/fluid hybrid model should be employed in simulating the electromagnetic fields and plasma parameters in SWPs with high accuracy

  17. Surface Treatment of PET Nonwovens with Atmospheric Plasma

    International Nuclear Information System (INIS)

    Li Shufang

    2013-01-01

    In this study, polyethylene-terephthalate (PET) nonwovens are treated using an atmospheric plasma and the effects of the treatment time, treatment power and discharge distance on the ability of water-penetration into the nonwovens are investigated. The result indicates that the method can improve the wettability of PET nonwovens remarkably, but the aging decay of the sample's wettability is found to be notable as a function of the storage time after treatment due to the internal rotation of the single bond of surface macromolecules. As shown by SEM and XPS analysis, the etching and surface reaction are significant, and water-penetration weight is found to increase remarkably with the increasing power. This variation can be attributed to momentum transfer and enhanced higher-energy particle excitation.

  18. Fast enhancement on hydrophobicity of poplar wood surface using low-pressure dielectric barrier discharges (DBD) plasma

    Science.gov (United States)

    Chen, Weimin; Zhou, Xiaoyan; Zhang, Xiaotao; Bian, Jie; Shi, Shukai; Nguyen, Thiphuong; Chen, Minzhi; Wan, Jinglin

    2017-06-01

    The hydrophilicity of woody products leads to deformation and cracks, which greatly limits its applications. Low-pressure dielectric barrier discharge (DBD) plasma using hexamethyldisiloxane was applied in poplar wood surface to enhance the hydrophobicity. The chemical properties, micro-morphology, and contact angles of poplar wood surface before and after plasma treatment were investigated by attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR), x-ray photoelectron spectroscopy (XPS), scanning electron microscope and energy dispersive analysis of X-ray (SEM-EDX), atomic force microscopy (AFM), and optical contact angle measurement (OCA). Moreover, tinfoil film was used as the base to reveal the enhancement mechanism. The results showed that hexamethyldisiloxane monomer is first broken into several fragments with active sites and hydrophobic chemical groups. Meanwhile, plasma treatment results in the formation of free radicals and active sites in the poplar wood surface. Then, the fragments are reacted with free radicals and incorporated into the active sites to form a network structure based on the linkages of Si-O-Si and Sisbnd Osbnd C. Plasma treatment also leads to the formation of acicular nano-structure in poplar wood surface. These facts synergistically enhance the hydrophobicity of poplar wood surface, demonstrating the dramatically increase in the equilibrium contact angle by 330%.

  19. Surface Texturing-Plasma Nitriding Duplex Treatment for Improving Tribological Performance of AISI 316 Stainless Steel

    Directory of Open Access Journals (Sweden)

    Naiming Lin

    2016-10-01

    Full Text Available Surface texturing-plasma nitriding duplex treatment was conducted on AISI 316 stainless steel to improve its tribological performance. Tribological behaviors of ground 316 substrates, plasma-nitrided 316 (PN-316, surface-textured 316 (ST-316, and duplex-treated 316 (DT-316 in air and under grease lubrication were investigated using a pin-on-disc rotary tribometer against counterparts of high carbon chromium bearing steel GCr15 and silicon nitride Si3N4 balls. The variations in friction coefficient, mass loss, and worn trace morphology of the tested samples were systemically investigated and analyzed. The results showed that a textured surface was formed on 316 after electrochemical processing in a 15 wt % NaCl solution. Grooves and dimples were found on the textured surface. As plasma nitriding was conducted on a 316 substrate and ST-316, continuous and uniform nitriding layers were successfully fabricated on the surfaces of the 316 substrate and ST-316. Both of the obtained nitriding layers presented thickness values of more than 30 μm. The nitriding layers were composed of iron nitrides and chromium nitride. The 316 substrate and ST-316 received improved surface hardness after plasma nitriding. When the tribological tests were carried out under dry sliding and grease lubrication conditions, the tested samples showed different tribological behaviors. As expected, the DT-316 samples revealed the most promising tribological properties, reflected by the lowest mass loss and worn morphologies. The DT-316 received the slightest damage, and its excellent tribological performance was attributed to the following aspects: firstly, the nitriding layer had high surface hardness; secondly, the surface texture was able to capture wear debris, store up grease, and then provide continuous lubrication.

  20. Plasma spray technology process parameters and applications

    International Nuclear Information System (INIS)

    Sreekumar, K.P.; Karthikeyan, J.; Ananthapadmanabhan, P.V.; Venkatramani, N.; Chatterjee, U.K.

    1991-01-01

    The current trend in the structural design philosophy is based on the use of substrate with the necessary mechanical properties and a thin coating to exhibit surface properties. Plasma spray process is a versatile surface coating technique which finds extensive application in meeting advance technologies. This report describes the plasma spray technique and its use in developing coatings for various applications. The spray system is desribed in detail including the different variables such as power input to the torch, gas flow rate, powder properties, powder injection, etc. and their interrelation in deciding the quality of the coating. A brief write-up on the various plasma spray coatings developed for different applications is also included. (author). 15 refs., 15 figs., 2 tabs